CA2508141C - Dead nozzle compensation - Google Patents

Dead nozzle compensation Download PDF

Info

Publication number
CA2508141C
CA2508141C CA002508141A CA2508141A CA2508141C CA 2508141 C CA2508141 C CA 2508141C CA 002508141 A CA002508141 A CA 002508141A CA 2508141 A CA2508141 A CA 2508141A CA 2508141 C CA2508141 C CA 2508141C
Authority
CA
Canada
Prior art keywords
data
shows
sopec
cpu
page
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CA002508141A
Other languages
French (fr)
Other versions
CA2508141A1 (en
Inventor
Simon Robert Walmsley
Mark Jackson Pulver
Richard Thomas Plunkett
Gary Shipton
Kia Silverbrook
Paul Lapstun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Memjet Technology Ltd
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AU2002953135A external-priority patent/AU2002953135A0/en
Priority claimed from AU2002953134A external-priority patent/AU2002953134A0/en
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Publication of CA2508141A1 publication Critical patent/CA2508141A1/en
Application granted granted Critical
Publication of CA2508141C publication Critical patent/CA2508141C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • G06F21/73Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information by creating or determining hardware identification, e.g. serial numbers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04505Control methods or devices therefor, e.g. driver circuits, control circuits aiming at correcting alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04508Control methods or devices therefor, e.g. driver circuits, control circuits aiming at correcting other parameters
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/0451Control methods or devices therefor, e.g. driver circuits, control circuits for detecting failure, e.g. clogging, malfunctioning actuator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04528Control methods or devices therefor, e.g. driver circuits, control circuits aiming at warming up the head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04541Specific driving circuit
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04543Block driving
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04563Control methods or devices therefor, e.g. driver circuits, control circuits detecting head temperature; Ink temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04573Timing; Delays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04586Control methods or devices therefor, e.g. driver circuits, control circuits controlling heads of a type not covered by groups B41J2/04575 - B41J2/04585, or of an undefined type
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/55Detecting local intrusion or implementing counter-measures
    • G06F21/554Detecting local intrusion or implementing counter-measures involving event detection and direct action
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/57Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/57Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
    • G06F21/575Secure boot
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/60Protecting data
    • G06F21/64Protecting data integrity, e.g. using checksums, certificates or signatures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • G06F21/74Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information operating in dual or compartmented mode, i.e. at least one secure mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/78Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure storage of data
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/125Discriminating pulses
    • H03K5/1252Suppression or limitation of noise or interference
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N1/00Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
    • H04N1/40Picture signal circuits
    • H04N1/405Halftoning, i.e. converting the picture signal of a continuous-tone original into a corresponding signal showing only two levels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2202/00Embodiments of or processes related to ink-jet or thermal heads
    • B41J2202/01Embodiments of or processes related to ink-jet heads
    • B41J2202/20Modules
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S707/00Data processing: database and file management or data structures
    • Y10S707/99931Database or file accessing
    • Y10S707/99933Query processing, i.e. searching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S707/00Data processing: database and file management or data structures
    • Y10S707/99931Database or file accessing
    • Y10S707/99939Privileged access
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49401Fluid pattern dispersing device making, e.g., ink jet

Abstract

A printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least first and second printhead modules, each of which comprises a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configured to order and time supply of the dot data to the printhead modules in accordance with their respective widths, such that a difference in relative widths of the printhead modules is at least partially compensated for.

Description

DEMANDE OU BREVET VOLUMINEUX

LA PRESENTE PARTIE DE CETTE DEMANDE OU CE BREVET COMPREND
PLUS D'UN TOME.

NOTE : Pour les tomes additionels, veuillez contacter le Bureau canadien des brevets JUMBO APPLICATIONS/PATENTS

THIS SECTION OF THE APPLICATION/PATENT CONTAINS MORE THAN ONE
VOLUME

NOTE: For additional volumes, please contact the Canadian Patent Office NOM DU FICHIER / FILE NAME:

NOTE POUR LE TOME / VOLUME NOTE:

TITLE: DEAD NOZZLE COMPENSATION

FIELD OF INVENTION
The present invention relates to techniques for compensating for one or more dead nozzles in a multi-nozzle printhead.

The invention has primarily been developed for use with a printhead comprising one or more printhead modules constructed using microelectromechanical systems (MEMS) techniques, and will be described with reference to this application. However, it will be appreciated that the invention can be applied to other types of printing technologies in which analogous problems are faced.

BACKGROUND OF INVENTION
Manufacturing a printhead that has relatively high resolution and print-speed raises a number of problems.
Difficulties in manufacturing pagewidth printheads of any substantial size arise due to the relatively small dimensions of standard silicon wafers that are used in printhead (or printhead module) manufacture. For example, if it is desired to make an 8 inch wide pagewidth printhead, only one such printhead can be laid out on a standard 8-inch wafer, since such wafers are circular in plan.
Manufacturing a pagewidth printhead from two or more smaller modules can reduce this limitation to some extent, but raises other problems related to providing a joint between adjacent printhead modules that is precise enough to avoid visible artefacts (which would typically take the form of noticeable lines) when the printhead is used.
The problem is exacerbated in relatively high-resolution applications because of the tight tolerances dictated by the small spacing between nozzles.
The quality of a joint region between adjacent printhead modules relies on factors including a precision with which the abutting ends of each module can be manufactured, the accuracy with which they can be aligned when assembled into a single printhead, and other more practical factors such as management of ink channels behind the nozzles. It will be appreciated that the difficulties include relative vertical displacement of the printhead modules with respect to each other.

Whilst some of these issues may be dealt with by careful design and manufacture, the level of precision required renders it relatively expensive to manufacture printheads within the required tolerances. It would be desirable to provide a solution to one or more of the problems associated with precision manufacture and assembly of multiple printhead modules to form a printhead, and especially a pagewidth printhead.

In some cases, it is desirable to produce a number of different printhead module types or lengths on a substrate to maximise usage of the substrate's surface area. However, different sizes and types of modules will have different numbers and layouts of print nozzles, potentially including different horizontal and vertical offsets. Where two or more modules are to be joined to form a single printhead, there is also the problem of dealing with different seam shapes between abutting ends ofjoined modules, which again may incorporate vertical or horizontal offsets between the modules. Printhead controllers are usually dedicated application specific integrated circuits (ASICs) designed for specific use with a single type of printhead module, that is used by itself rather than with other modules. It would be desirable to provide a way in which different lengths and types of printhead modules could be accounted for using a single printer controller.

Printer controllers face other difficulties when two or more printhead modules are involved, especially if it is desired to send dot data to each of the printheads directly (rather than via a single printhead connected to the controller). One concern is that data delivered to different length controllers at the same rate will cause the shorter of the modules to be ready for printing before any longer modules. Where there is little difference involved, the issue may not be of importance, but for large length differences, the result is that the bandwidth of a shared memory from which the dot data is supplied to the modules is effectively left idle once one of the modules is full and the remaining module or modules is still being filled. It would be desirable to provide a way of improving memory bandwidth usage in a system comprising a plurality of printhead modules of uneven length.

In any printing system that includes multiple nozzles on a printhead or printhead module, there is the possibility of one or more of the nozzles failing in the field, or being inoperative due to manufacturing defect. Given the relatively large size of a typical printhead module, it would be desirable to provide some form of compensation for one or more " dead" nozzles. Where the printhead also outputs fixative on a per-nozzle basis, it is also desirable that the fixative is provided in such a way that dead nozzles are compensated for.
A printer controller can take the form of an integrated circuit, comprising a processor and one or more peripheral hardware units for implementing specific data manipulation functions. A number of these units and the processor may need access to a common resource such as memory.
One way of arbitrating between multiple access requests for a common resource is timeslot arbitration, in which access to the resource is guaranteed to a particular requestor during a predetermined timeslot.

One difficulty with this arrangement lies in the fact that not all access requests make the same demands on the resource in terms of timing and latency. For example, a memory read requires that data be fetched from memory, which may take a number of cycles, whereas a memory write can commence immediately.
Timeslot arbitration does not take into account these differences, which may result in accesses being performed in a less efficient manner than might otherwise be the case. It would be desirable to provide a timeslot arbitration scheme that improved this efficiency as compared with prior art timeslot arbitration schemes.

Also of concern when allocating resources in a timeslot arbitration scheme is the fact that the priority of an access request may not be the same for all units. For example, it would be desirable to provide a timeslot arbitration scheme in which one requestor (typically the memory) is granted special priority such that its requests are dealt with earlier than would be the case in the absence of such priority.

In systems that use a memory and cache, a cache miss (in which an attempt to load data or an instruction from a cache fails) results in a memory access followed by a cache update. It is often desirable when updating the cache-in this way to update data other than that which was actually missed. A typical example would be a cache miss for a byte resulting in an entire word or line of the cache associated with that byte being updated. However, this can have the effect of tying up bandwidth between the memory (or a memory manager) and the processor where the bandwidth is such that several cycles are required to transfer the entire word or line to the cache. It would be desirable to provide a mechanism for updating a cache that improved cache update speed and/or efficiency.

Most integrated circuits an externally provided signal as (or to generate) a clock, often provided from a dedicated clock generation circuit. This is often due to the difficulties of providing an onboard clock that can operate at a speed that is predictable. Manufacturing tolerances of such on-board clock generation circuitry can result in clock rates that vary by a factor of two, and operating temperatures can increase this margin by an additional factor of two. In some cases, the particular rate at which the clock operates is not of particular concern. However, where the integrated circuit will be writing to an internal circuit that is sensitive to the time over which a signal is provided, it may be undesirable to have the signal be applied for too long or short a time. For example, flash memory is sensitive to being written too for too long a period. It would be desirable to provide a mechanism for adjusting a rate of an on-chip system clock to take into account the iinpact of manufacturing variations on clockspeed.

One form of attacking a secure chip is to induce (usually by increasing) a clock speed that takes the logic outside its rated operating frequency. One way of doing this is to reduce the temperature of the integrated circuit, which can cause the clock to race. Above a certain frequency, some logic will start malfunctioning. In some cases, the malfunction can be such that information on the chip that would otherwise be secure may become available to an external connection. It would be desirable to protect an integrated circuit from such attacks.

In an integrated circuit comprising non-volatile memory, a power failure can result in unintentional behaviour. For example, if an address or data becomes unreliable due to falling voltage supplied to the circuit but there is still sufficient power to cause a write, incorrect data can be written. Even worse, the data (incorrect or not) could be written to the wrong memory. The problem is exacerbated with multi-word writes. It would be desirable to provide a mechanism for reducing or preventing spurious writes when power to an integrated circuit is failing.

In an integrated circuit, it is often desirable to reduce unauthorised access to the contents of memory.
This is particularly the case where the memory includes a key or some other form of security information that allows the integrated circuit to communicate with another entity (such as another integrated circuit, for example) in a secure manner. It would be particularly advantageous to prevent attacks involving direct probing of memory addresses by physically investigating the chip (as distinct from electronic or logical attacks via manipulation of signals and power supplied to the integrated circuit).
It is also desirable to provide an environment where the manufacturer of the integrated circuit (or some other authorised entity) can verify or authorize code to be run on an integrated circuit.

Another desideratum would be the ability of two or more entities, such as integrated circuits, to communicate with each other in a secure manner. It would also be desirable to provide a mechanism for secure communication between a first entity and a second entity, where the two entities, whilst capable of some form of secure communication, are not able to establish such communication between themselves.
In a system that uses resources (such as a printer, which uses inks) it may be desirable to monitor and update a record related to resource usage. Authenticating ink quality can be a major issue, since the attributes of inks used by a given printhead can be quite specific. Use of incorrect ink can result in anything from misfiring or poor performance to damage or destruction of the printhead. It would therefore be desirable to provide a system that enables authentication of the correct ink being used, as well as providing various support systems secure enabling refilling of ink cartridges.
In a system that prevents unauthorized programs from being loaded onto or run on an integrated circuit, it can be laborious to allow developers of software to access the circuits during software development.
Enabling access to integrated circuits of a particular type requires authenticating software with a relatively high-level key. Distributing the key for use by developers is inherently unsafe, since a single leak of the key outside the organization could endanger security of all chips that use a related key to authorize programs. Having a small number of people with high-security clearance available to authenticate programs for testing can be inconvenient, particularly in the case where frequent incremental changes in programs during development require testing. It would be desirable to provide a mechanism for allowing access to one or more integrated circuits without risking the security of other integrated circuits in a series of such integrated circuits.

In symmetric key security, a message, denoted by M, is plaintext. The process of transforming M into ciphertext C, where the substance of M is hidden, is called encryption. The process of transforming C
back into M is called decryption. Referring to the encryption function as E, and the decryption function as D, we have the following identities:
E[M]= C
D[C] = M

Therefore the following identity is true:

D[E[M]] = M

A symmetric encryption algorithm is one where:
= the encryption function E relies on key Kl, 5 = the decryption function D relies on key K2, = K2 can be derived from Kl, and = Kl can be derived from K2.

In most symmetric algorithms, Kl equals K2. However, even if Kl does not equal K2, given that one key can be derived from the other, a single key K can suffice for the mathematical definition. Thus:

EK[M7 = C
DK[C] = M

The security of these algorithms rests very much in the key K. Knowledge of K
allows anyone to encrypt or decrypt. Consequently K must remain a secret for the duration of the value of M. For example, M may be a wartime message "My current position is grid position 123-456". Once the war is over the value of M is greatly reduced, and if K is made public, the knowledge of the combat unit's position may be of no relevance whatsoever. The security of the particular symmetric algorithm is a function of two things: the strength of the algorithm and the length of the key.
An asymmetric encryption algorithm is one where:
= the encryption function E relies on key Kl, = the decryption function D relies on key K2, = K2 cannot be derived from Kl in a reasonable amount of time, and = Kl cannot be derived from K2 in a reasonable amount of time.
Thus:
EK1 [M] = C
DK2[C] = M

These algorithms are also called public-key because one key Kl can be made public. Thus anyone can encrypt a message (using Kl) but only the person with the corresponding decryption key (K2) can decrypt and thus read the message.
In most cases, the following identity also holds:
EK2 [M] = C
DKl[C] = M
This identity is very important because it implies that anyone with the public key Kl can see M and know that it came from the owner of K2. No-one else could have generated C because to do so would imply knowledge of K2. This gives rise to a different application, unrelated to encryption - digital signatures.
A number of public key cryptographic algorithms exist. Most are impractical to implement, and many generate a very large C for a given M or require enormous keys. Still others, while secure, are far too slow to be practical for several years. Because of this, many public key systems are hybrid - a public key mechanism is used to transmit a symmetric session key, and then the session key is used for the actual messages.

All of the algorithms have a problem in terms of key selection. A random number is siinply not secure enough. The two large primes p and q must be chosen carefully - there are certain weak combinations that can be factored more easily (some of the weak keys can be tested for). But nonetheless, key selection is not a simple matter of randomly selecting 1024 bits for example. Consequently the key selection process must also be secure.
Symmetric and asymmetric schemes both suffer from a difficulty in allowing establishment of multiple relationships between one entity and a two or more others, without the need to provide multiple sets of keys. For example, if a main entity wants to establish secure communications with two or more additional entities, it will need to maintain a different key for each of the additional entities. For practical reasons, it is desirable to avoid generating and storing large numbers of keys. To reduce key numbers, two or more of the entities may use the same key to communicate with the main entity. However, this means that the main entity cannot be sure which of the entities it is communicating with. Similarly, messages from the main entity to one of the entities can be decrypted by any of the other entities with the same key. It would be desirable if a mechanism could be provided to allow secure communication between a main entity and one or more other entities that overcomes at least some of the shortcomings of prior art.

In a system where a first entity is capable of secure communication of some form, it may be desirable to establish a relationship with another entity without providing the other entity with any information related the first entity's security features. Typically, the security features might include a key or a cryptographic function. It would be desirable to provide a mechanism for enabling secure communications between a first and second entity when they do not share the requisite secret function, key or other relationship to enable them to establish trust.

A number of other aspects, features, preferences and embodiments are disclosed in the Detailed Description of the Preferred Embodiment below.

SUMMARY OF THE INVENTION
In accordance with the invention, there is provided a method of compensating for an inoperative nozzle in a printhead, the method comprising the step of:
(a) mapping dot data intended for the inoperative nozzle into one or more operative nozzles of the printhead.

Preferably, step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.

Preferably also, step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media immediately adjacent a position at which the inoperative nozzle would have printed a dot had it been operative.

In a preferred embodiment, step (a) includes the substeps of:
(i) determining one or more operative nozzles capable of printing a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative; and (ii) mapping the dot data from the inoperative nozzle to an operative nozzle determined in substep (i).
More preferably, in the event more than one operative nozzle is determined in substep (i), the dot data is remapped to one of the operative nozzles that will print a dot on print media closest to that which would have been printed by the inoperative nozzle.
It is preferred that during successive firings of the printhead, the dot data is remapped alternately to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.

In an alternative embodiment, during successive firings of the printhead, the dot data is remapped randomly, pseudo-randomly, or arbitrarily to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.

Preferably, the printhead including a plurality of sets of the nozzles for printing a corresponding plurality of channels of dot data, wherein step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles from the same set.

In one form, step (a) includes the substep of mapping the dot data into one or more operative nozzles that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.

In an alternative form, step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles including at least one nozzle from a different one of the sets.
In yet another embodiment, step (a) includes the substeps of:
determining which combination of one or more available operative nozzles near the inoperative nozzle will minimise perceived error in an image that the dot data forms part of, the determination being performed on the basis of a color model; and mapping the dot data intended for the inoperative nozzle to that combination of one or more operative nozzles.

Preferably, the inoperative nozzle is associated with a black print channel, and wherein step (a) includes remapping the dot data intended for the inoperative nozzle into a plurality of operative nozzles in other color channels to produce a process black output at or adjacent a location on print media where the inoperative nozzle would have deposited a droplet of a black printing substance in accordance with the dot data.

In a preferred embodiment, a plurality of dot data intended for a corresponding plurality of inoperative nozzles are mapped to operative nozzles.
In accordance with a second aspect of the invention, there is provided a printer controller configured to implement the method of the first aspect.

In accordance with a third aspect of the invention, there is provided a printer controller configured to implement the method of the first aspect to a printhead comprising a plurality of the nozzles.

In accordance with the invention, there is provided a method for outputting a portion of a dither matrix stored in a memory, comprising the step of (b) determining a start position and an end position in the memory;
(c) reading a plurality of dither values of the dither matrix from the memory, commencing at the start position; and (d) outputting a portion of the plurality of dither values read in step (b) Preferably two or more dither matrices are stored in the memory. A plurality of dither values are read from at least two of the dither matrices with a single read. The matrices can be different sizes.

It is preferred that each read from the memory reads at least one, and preferably two or more, lines from one or more dither matrices.

The method can also be embodied in hardware.

It is also preferred that the memory is configurable to store different dither matrices for different color channels. It is particularly preferred that a single read of the memory loads a full line for two or more dither matrices into a dither buffer. Typically, each dither matrix will be for a different color channel.
In accordance with another aspect of the invention, there is provided a printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least a first printhead module having a plurality of rows of printing nozzles, the printer controller being configured to order and time the supply of the dot data to the first printhead module such that a relative skew between adjacent rows of printing nozzles on the at least one printhead module, in a direction normal to a direction of printing, is at least partially compensated for.

Preferably, the printer controller is configured to at least partially compensate for the relative skew between adjacent rows in each of a plurality of sets of the adjacent rows.
In a preferred embodiment, wherein the relative skew between each of the plurality of the sets of the adjacent rows is the same.

Preferably, the printer controller is configured to compensate for the skew by introducing a relative delay into the dot data destined for at least one of the rows of printing nozzles. More preferably, the printhead is configured to print the dots at a predetermined spacing across its width, and the delay introduced by the printer controller equates to an integral multiple of the spacing.

It is particularly preferred, that the printhead defines a printable region between printing boundaries. Nozzles of at least one of the rows of at least one of the at least one printhead modules are positioned outside the printable region due to the skew between adjacent rows of the nozzles on the at least one printhead module.
The printer controller is configured to introduce a relative delay into the dot data supplied to at least one of the rows such that the nozzles outside the printable region do not print.

Preferably, the at least one printhead module includes at least one pair of adjacent rows of the nozzles such that each row of the pair is configured to print the same ink. The printhead is configured to provide the dot data to the pair of adjacent rows such that the dot data is shifted serially through the first of the rows then through the second of the rows, until the dot data has been supplied to all the nozzles. More preferably, the printhead is configured to provide the dot data to the pair of adjacent rows such that the dot data is shifted serially through the first of the rows in a first direction then looped back through the second of the rows in a second direction opposite the first, until the dot data has been supplied to all the nozzles.

Preferably, the printhead is configured to print a series of printhead-width rows of the dots, and wherein the first and second rows are configured to print odd and even dots, respectively, of the printhead-width rows, the printhead controller being configured to supply the one or more first rows with odd dot data and the one or more second rows with even dot data.

Preferably, the printhead has a plurality of the pairs of rows. The printer controller is configured to supply the dot data such that any relative skew between the first and second rows of each pair of rows, in a direction normal to a direction of printing, is at least partially compensated for.

In one embodiment, each printhead module is configured to print a plurality of independent inks, and the nozzles in each row are configured to print in one of the inks. The printhead controller being configured to supply each of the inks to at least one row of at least one of the printhead modules.

Preferably, at least some of the printhead modules are of mutually unequal length, the printer controller being configured to order and time the supply of the dot data to the compensate for the unequal length.

It is also preferable that the printer controller is configured to at least partially compensate for any relative 10 skew between adjacent rows of the nozzles on adjacent ones of the printhead modules.

In a preferred form of the invention, the printer controller is selectively configurable to compensate at least partially for a plurality of potential relative skews.

In one form, the controller is configured to compensate at least partly for a fixed amount of the skew.

In accordance with a further aspect, the invention comprises the printer engine comprising a printer controller according to the first aspect and a printhead, wherein the nozzles of the printhead are disposed in a printable region between printing boundaries of the printhead. The printhead includes at least one logical nozzle located outside the printable zone that can accept data but is not capable of printing. The logical nozzles are arranged to introduce a relative delay into the dot data supplied to at least one of the rows, such that dot data is supplied to the correct nozzles for printing.

In accordance with another aspect of the invention, there is provided a printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least first and second printhead modules, each comprising a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configurable during or after manufacture to order and time supply of the dot data to the printhead modules such that any relative displacement between the printhead nozzles in a direction normal to the printhead printing width is at least partially compensated for.

Preferably, the printer controller is configurable to provide compensation for any of a plurality of different amounts of the relative displacement.

More preferably, where each of the printhead modules comprises a plurality of parallel rows of the printing nozzles, the printhead is configured such that each of the rows of each printhead module has a corresponding row in each of the other printhead modules. The printer controller is controllable to introduce a relative delay into the dot data supplied to one or more of the rows, thereby to provide the compensation.
In a particularly preferred embodiment, where the printhead is configured to print the dots at a predetermined spacing in a direction in which print media is supplied for printing, the delay introduced by the printer controller equates to an integral multiple of the spacing during printing.

In accordance with a further aspect of the invention, there is a printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least first and second printhead modules, each of which comprises a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configured to order and time supply of the dot data to the printhead modules in accordance with their respective widths, such that a difference in relative widths of the printhead modules is at least partially compensated for.

Preferably, each of the printhead modules comprises a plurality of rows of the printing nozzles, the controller being configured to supply the dot data to the rows of nozzles in serial form.
More preferably, each of the printhead modules comprises one or more parallel pairs of the rows, the controller being configured to serially supply the data to a first of each of the rows of nozzles in the or each pair of rows, the data being serially clocked through the first row of the or each pair of rows, then through a second row of the or each pair or rows, until all printhead nozzles have received their respective data.

It is preferred that the data is clocked through the second row in a direction substantially opposite to that in which it was clocked through the first row.

In another aspect, there is provided a printer controller for supplying dot data to a printhead comprising at least one printhead module, the printer controller being configurable to supply the dot data to a selectable one of a plurality of potential printhead module types, each having a different number of nozzles for receiving the dot data.

Preferably, the printer controller includes non-volatile memory for storing at least one parameter value, the at least one parameter value determining which of the potential printhead types the printer controller has been configured to supply the dot data to.

More preferably, the printer controller is configurable to supply the dot data to the printhead module on the basis of one or more printer module widths indicated by the at least one parameter.

In a preferred embodiment, the printer controller is configurable to supply the dot data to a plurality of the printhead modules, on the basis of one or more widths of the printhead modules indicated by the at least one parameter.
In accordance with a further aspect of the invention, there is provided a method of accounting for dead nozzle remapping in a multi-nozzle printhead, including remapping a fixative intended for a dot to be printed by the dead nozzle.

In one form, the remapping includes remapping the fixative to an operative nozzle to which dot data intended for the dead nozzle for printing at or adjacent a position at which the dead nozzle would have printed.
Alternatively, or in addition, the remapping includes preventing output of fixative onto the position where the dead nozzle would have printed a dot had it been operative.
In accordance with a further aspect of the invention, there is provided a method for arbitrating between a plurality of access requests issued in relation to a resource by a plurality of requestors, wherein each request can be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the method including the steps of:
(e) receiving a plurality of the access requests; (the requests are not placed anywhere, they are simply received) (f) maintaining a current pointer that points to a current timeslot in a timeslot list, and at least one lookahead pointer that points to a future timeslot in the timeslot list; and (g) in the event an access request as arbitrated via the lookahead pointer is of the first type, initiating performance of the access request earlier than the position in the list suggests it would be performed should it be started when the current pointer reached the timeslot.

Preferably, step (g) includes the substep of performing the access request indicated by the lookahead pointer immediately after the access request indicated by the current pointer is performed.
It is preferred that step (g) includes the substep of performing the access request indicated by the lookahead pointer immediately after the access request indicated by the current pointer is performed.

In a preferred embodiment, the number of timeslots between the timeslot indicated by the lookahead pointer and the timeslot indicated by the current pointer takes into account a latency difference between performing an access request of the first type and at least one of the other access request types.

In accordance with another aspect of the invention, there is provided an integrated circuit including:
a plurality of operative units, each of which is capable of issuing a request for access to a memory accessible by the integrated circuit; and an timeslot arbitrator for arbitrating between requests issued by the operative units for access to the memory, wherein each request can be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the timeslot arbitrator being configured to:
(h) receive a plurality of the access requests;
(i) maintain a current pointer that points to a current timeslot in a timeslot list, and at least one lookahead pointer that points to a future timeslot in the timeslot list; and (j) in the event the access request as arbitrated via the lookahead pointer is of the first type, performing the access request earlier than the position in the list suggests it should be performed should it be started when the current pointer reached the tinmeslot.

Preferably, the first type of access request is a memory write request.

Preferably, the integrated circuit includes a memory interface unit operatively connected with, and under the control of, the timeslot arbitrator, and wherein the memory interface is operatively connected to:
one or more of the operative units via one or more communications buses, and the memory via a memory bus of greater width than the communications buses.

In a preferred form, the number of timeslots between the timeslot indicated by the lookahead pointer and the timeslot indicated by the current pointer takes into account a latency difference between performing an access request of the first type and at least one of access request types.

In accordance with a further aspect of the invention, there is provided a method of arbitrating between access requests from a plurality of requestors for access to a resource, wherein at least one of the requestors is defmed as higher priority access to the resource, the method comprising the steps of (k) receiving a plurality of the access requests;
(1) in the event an access request from the at least one of the requestors is received, initiating performance of the access request in preference to the requestor as specified by the timeslot list and regardless of whether or not the at least one of the requestors is in the timeslot list.
Preferably, the at least one requestor requires lower latency access to the resource than at least one of the other requestors from which access requests can be received.

Preferably, the at least one requestor is a processor and/or the resource is a memory.
Preferably, step (1) includes the substep ofperforming the access request from the requestor immediately following completion of any current access request being reformed.

In a preferred form, step (1) is performed such that a frequency of the at least one requestor being granted preferential performance of its access requests is limited within a time period. More preferably, early performance of access requests from the at least one requestor is restricted to a maximum number of times within a predetermined number of timeslots.

Preferably, the requestors are hardware units on an integrated circuit and the method is implemented by a timeslot arbitrator unit on the integrated circuit.
In accordance with another aspect of the invention, there is provided a method according to the third aspect, wherein each request can also be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the method including the steps of:
(m) receiving a plurality of the access requests;
(n) maintaining a current pointer that points to a current timeslot in the timeslot list, and at least one lookahead pointer that points to a future timeslot in the timeslot list; and (o) in the event an access request as arbitrated via the lookahead pointer is of the first type, initiating performance of the access request earlier than its position in the list suggests it should be performed should it be started when the current pointer reached the timeslot.

In accordance with another aspect of the invention, there is provided a method of updating a cache in an integrated circuit comprising:
the cache a processor connected to the cache via a cache bus;
a memory interface connected to the cache via a first bus and to the processor via a second bus, the first bus being wider than the second bus or the cache bus; and memory connected to the memory interface via a memory bus;
the method comprising the steps of:
(p) following a cache miss, using the processor to issue a request for first data via a first address, the first data being that associated with the cache miss;
(q) in response to the request, using the memory interface to fetch the first data from the memory, and sending the first data to the processor;
(r) sending, from the memory interface and via the first bus, the first data and additional data, the additional data being that stored in the memory adjacent the first data;
(s) updating the cache with the first data and the additional data via the first bus; and (t) updating flags in the cache associated with the first data and the additional data, such that the updated first data and additional data in the cache is valid.

Preferably, the processor is configured to attempt a cache update with the first data upon receiving it from the memory interface, the method further including the step of preventing the attempted cache update by the processor from being successful, thereby preventing interference with the cache update of steps (s) and/or (t).
More preferably, steps (r), (s), and (t) are performed substantially simultaneously.
In one embodiment, steps (s) and (t) are performed by the memory interface.

Preferably, steps (s) and (t) are performed in response to the processor attempting to update the cache following step (r). More preferably, the memory interface is configured to monitor the processor to determine when it attempts to update the cache following step (r). ' In accordance with a fiuther aspect of the invention, there is provided an integrated circuit, comprising a processor, an onboard system clock for generating a clock signal, and clock trim circuitry, the integrated circuit being configured to:
5 (u) receive an external signal;
(v) determine either the number of cycles of the clock signal during a predetennined number of cycles of the external signal, or the number of cycles of the external signal during a predetermined number of cycles of the clock signal;
(w) store a trim value in the integrated circuit, the trim value having been determined on the basis of the 10 determined number of cycles; and (x) use the trim value to control the internal clock frequency.
Preferably, the integrated circuit is configured to, between steps (v) and (w):
output the result of the determination of step (v); and 15 receive the trim value from an external source.

Preferably, the integrated circuit includes non-volatile memory, and (w) includes storing the trim value in the memory. More preferably, the memory is flash RAM.

In a preferred form step (x) includes loading the trim value from the memory into a register and using the trim value in the register to control a frequency of the internal clock.

In a preferred form, the trim value is determined and stored permanently in the integrated circuit. More preferably, the circuit includes one or more fuses that are intentionally blown following step (w), thereby preventing the stored trim value from subsequently being changed.

In a preferred embodiment, the system clock further includes a voltage controlled oscillator (VCO), an output frequency of which is controlled by the trim value. More preferably, the integrated circuit further includes a digital to analog convertor configured to convert the trim value to a voltage and supply the voltage to an input of the VCO, thereby to control the output frequency of the VCO.

Preferably, the integrated circuit is configured to operate under conditions in which the signal for which the number of cycles is being determined is at a considerably higher frequency than the other signal.

More preferably, the integrated circuit is configured to operate when a ratio of the number of cycles determined in step (v) and the predetermined number of cycles is greater than about 2. It is particularly preferred that the ratio is greater than about 4.
Preferably, the integrated circuit is disposed in a package having an external pin for receiving the external signal. More preferably, the pin is a serial communication pin configurable for serial communication when the trim value is not being set.

Preferably, the trim value was also determined on the basis of a compensation factor that took into account a temperature of the integrated circuit when the number of cycles are being determined.

Preferably, the trim value received was determined by the external source, the external source having determined the trim value including a compensation factor based on a temperature of the integrated circuit when the number of cycles are being determined.

Preferably, the trim value is determined by performing a number of iterations of determining the number of cycles, and averaging the determined number.

In accordance with a further aspect of the invention, there is provided an integrated circuit comprising a processor, non-volatile memory, an input for receiving power from a power supply and a power detection unit, wherein the integrated circuit is configured to enable multi-word writes to the non-volatile memory, the power detection unit being configured to:
monitor a quality of power supplied to the input;
in the event the quality of the power drops below a predetermined threshold, preventing subsequent words in any multi-word write currently being performed from being written to the memory.

Preferably, the integrated circuit is configured to prevent any further writes of any type to the memory once the quality is determined to have dropped below the threshold.
Preferably, the quality is a voltage.
Preferably, the memory is flash memory.

Preferably, the power detection unit is configured to provide a reset signal to at least some other circuits on the integrated circuit once any current writes have been fnished.

In accordance with another aspect of the invention, there is provided an integrated circuit comprising a processor, a memory that the processor can access, a memory access unit for controlling accesses to the memory, an input for receiving power for the integrated circuit from an external power source, and a power detection unit, the power detection unit being configured to:
monitor a quality of power supplied to the input;
in the event the quality of the power drops below a predetermined threshold, disabling a power supply to circuitry for use in writing to the memory, such that the memory access unit's ability to alter data in the memory is disabled prior to address or data values to be written to the memory becoming unreliable due to failing power.

Preferably, the memory is flash memory and the power supply is one or more charge pump circuits. More preferably, a voltage output by the power supply falls fast enough that the voltage supplied to the flash memory becomes too low to enable a change in contents of the flash memory before the voltage levels of the address or data values become invalid.

Preferably, the integrated circuit is configured to cause a reset of at least some of the circuitry on the integrated circuit following disabling of the power supply.

More preferably, the integrated circuit is programmed or designed to have a variable delay between disabling of the power supply and causing the reset.

In accordance with a further aspect of the invention, there is provided an integrated circuit comprising a processor and memory, the memory storing a set of data representing program code and/or an operating value, wherein each bit of the data is stored as a bit/inverse-bit pair in corresponding pairs of physically adjacent bit cells in the memory.

Preferably, the integrated circuit further includes a memory management unit configured to receive a request for the set of data and to test, during processing of the request, whether the respective pairs of physically adjacent bit-cells that correspond to the set of data contain bit/inverse-bit pairs, thereby to confirm the validity of the set of data as stored in the memory. More preferably, the memory management unit is configured to store sets of data as sets of bit/inverse-bit pairs in the memory.
Preferably, the integrated circuit is selectively operable in either of first and second modes, wherein:
in the first mode, the memory management unit is configured to receive and process a request for the set of data, and to test, during processing of the request, whether the respective pairs of physically adjacent bit-cells corresponding to the set of data contain bit/inverse-bit pairs, thereby to confirm the validity of the set of data as stored in the memory; and in the second mode, the memory management unit is configured to receive and process a request for data stored in the memory, without testing whether pairs of physically adjacent bit-cells contain bit/inverse-bit pairs.

More preferably:
in the first mode, the memory management unit is configured to store a set of data associated with a memory write request as a corresponding set of bit/inverse-bit pairs, each of the bit/inverse-bit pairs being physically adjacent each other; and in the second mode, the memory management unit is configured to store a set of data associated with a memory write request as the set of data without corresponding inverse-bits.
Preferably, the integrated circuit is configured to boot into the first mode by default.

Preferably, the integrated circuit is configured to implement a defensive action in the event the test fails.
More preferably, the defensive action includes resetting the integrated circuit.

In an alternative embodiment, the defensive reaction includes returni.ng second data other than that the subject of the test.
Preferably, the second data is a string of identical digits.

Preferably, the defensive reaction is different depending upon whether the set of data represents program code or an operating value.
More preferably, in the event the test fails and the set of data is an operating value, the integrated circuit is configured to replace the failed value with a substitute value.

More preferably, the substitute value is selected to disrupt a program running on the integrated circuit.
Preferably, the substitute causes at least some circuitry on the integrated circuit to reset.

In a preferred embodiment, in the event the test fails, the integrated circuit is permanently prevented from ranning software.
Preferably, in the event the test fails, the integrated circuit is configured to delete from the memory some or all of the bit values associated with the set of data.

More preferably, in the event the test fails, the integrated circuit is configured to delete some or all of the contents of the memory.

In accordance with another aspect of the invention, there is provided an integrated circuit comprising a processor and memory storing:
secret information accessible via a first address, the secret information comprising a string of bit values;
an inverse-string accessible via a second address, the inverse-string comprising a string of bit values, wherein each of the bit values in the inverse-string is the logical inverse of a bit value at a corresponding bit position in the secret information, the integrated circuit being programmed with code configured to:
(i) receive a request for the secret information; and (ii) test whether the bit-values of the inverse string are the inverse of the bit-values at respective corresponding bit positions of the secret information.

In accordance with a fiuther aspect of the invention, there is provided a method of ensuring validity of secret information stored in a memory in the form of a string of bit values accessible via a first address, the memory also storing an inverse-string accessible via a second address, the inverse-string comprising a string of bit values that are the logical inverses of the bit values at corresponding respective bit positions of the secret information, the method including the steps of:
receiving a request for the secret information; and testing whether the bit-values of the inverse string are the inverse of the bit-values at respective corresponding bit positions of the secret information.

Preferably, the integrated circuit is configured and programmed to perform a defensive action in the event the test fails.
More preferably, the defensive action incudes deleting or destroying some or all of the contents of the memory in the event the test fails. Preferably, the defensive action includes deleting or destroying at least the secret information and/or the inverse string.

Preferably, the defensive action includes preventing the processor from executing software.
Preferably, the defensive action includes resetting some or all of logic on the integrated circuit.
Preferably, the first and second addresses are at the same address in the memory.
Preferably, the string and inverse string are stored at different sub-addresses within the same address.

In accordance with a further aspect of the invention, there is provided method of manufacturing a plurality of the integrated circuits, comprising the steps, for each of the plurality of integrated circuits, of storing the secret information and the inverse string at the first and second addresses in the memory of the integrated circuit; and storing the code on the integrated circuit;
wherein the first and second addresses are randomly, pseudo-randomly or arbitrarily selected for each of the integrated circuits and the code for each integrated circuit is customised to know the first and second addresses of its secret information and inverse string.

Preferably, the first and second addresses are restricted to one of two potential locations in the memory of each integrated circuit, the secret information and the inverse string for each integrated circuit being allocated to the first and second addresses randomly, pseudo-randomly or arbitrarily.

More preferably, the secret information differs between at least two of the integrated circuits.

In accordance with another aspect of the invention, there is provided a plurality of integrated circuits, each of the integrated circuits comprising a processor and non-volatile memory, and including code for running 5 identical software processes, wherein each of the integrated circuits also includes secret information used by the software process, the secret information in each chip being located in a different location in the memory relative to a plurality of the other chips.

Preferably, the code on each integrated circuit is such that the software process of each chip knows the 10 location in memory via which the secret information is accessible.

In accordance with a fiiuther aspect of the invention, there is provided a method of manufacturing a plurality of the integrated circuits, including the steps of:
manufacturing a plurality of physical integrated circuits; and 15 injecting, into the non-volatile memory of each of the integrated circuits:
code for running a software process; and secret information;
wherein the secret information is positioned in relatively different locations of the non-volatile memories and the code on each integrated circuit is such that the software process of each integrated circuit knows the 20 location in memory via which the secret information is accessible on that integrated circuit.

In accordance with another aspect of the invention, there is provided an integrated circuit comprising a processor and non-volatile memory, the non-volatile memory storing a first number and a second number, wherein the second number is the result of an encryption function taking a third number and secret information as operands, the integrated circuit comprising software configured to decrypt the second number using the first number, thereby to determine the secret information as required.

Preferably, the first and third numbers are the same.

Preferably, the first and second numbers are of the same length.

Preferably, the first number is a random number that was generated using a stochastic process.
Preferably, the encryption function is an XOR logical function.
Preferably, the software is configured to decrypt the second number by performing an XOR logical function using the first and second numbers as operands.

In accordance with a fiirther aspect of the invention, there is provided a method of manufacturing a plurality of integrated circuits in accordance with claim 1, including the steps, for each integrated circuit, of:
determining the first number, the third number and the secret information;
generating the second number by way of an encryption function that uses the third number and the secret information as operands;
storing the first and second numbers on the integrated circuit.
Preferably, the first number is different amongst at least a plurality of the integrated circuits.
Preferably, the first numbers are determined randomly, pseudo-randomly, or arbitrarily.

Preferably, the first number is stored on the integrated circuit first, then extracted therefrom for use in generating the third and thence the second number.

In accordance with another aspect of the invention, there is provided a method of enabling authenticated communication of information between at least a primary entity and each of one or more secondary entities, each of the one or more secondary entities having an identifier associated with it, the method including the steps of allocating first secret information to the primary entity;
for each of the one or more secondary entities, determining second secret information, the second secret information being the result of a one way function applied to that second secret entity's identifier and the first secret information;
allocating the second secret information to the or each secondary entity.

Preferably, the identifiers allocated to the secondary entities are generated stochastically, pseudo-randomly or arbitrarily.
Preferably, the one way function is a hash function.

Preferably, the first secret information is a key. More preferably, the one way function is a SHA function.
Preferably, each of the entities is implemented in an integrated circuit.

Preferably, each of the entities is implemented in an integrated circuit separate from the integrated circuits in which the other entities are implemented.

Preferably, one or more of the secondary entities are implemented in a corresponding plurality of integrated circuits.

Preferably, the primary entity is implemented in an integrated circuit.

Preferably, both the primary and secondary entities are implemented in integrated circuits.
Preferably, the first entity wishes to communicate with one of the second entities, the method including the steps, in the first entity, of:
receiving data from the second entity;
using the data and the first secret information to generate the second secret information associated with the second entity.

Preferably, the data contains an identifier for the second entity Preferably, the first entity wishes to send an authenticated message to the second entity, the method including the steps, in the first entity, of:
using the generated second secret information to sign a message, thereby generating a digital signature;
outputting the message and the digital signature for use by the second entity, which can validate the message by using the digital signature and its own copy of the second secret information.

Preferably, the generated signature includes a nonce from the first entity, and the output from the first entity includes the nonce, thereby enabling the second entity to validate the message using the digital signature, the nonce, and its own copy of the second secret information.
Preferably, the data contains a first nonce.

Preferably, the first entity wishes to send an authenticated message to the second entity, the method including the steps, in the first entity, of using the generated second secret information and the first nonce to sign a message, thereby generating a digital signature;
outputting the message and the digital signature for use by the second entity, which can validate the message by using the digital signature and its own copy of the second secret information.

Preferably, the generated signature includes a second nonce from the first entity, and the output from the first entity includes the second nonce, thereby enabling the second entity to validate the message using the digital signature, the first and second nonces, and its own copy of the second secret information.

Preferably, the first entity wishes to send an encrypted message to the second entity, the method including the steps, in the first entity, of:
using the generated second secret information to encrypt a message, thereby generating an encrypted message;
outputting the encrypted message for use by the second entity, which can decrypt the message by using its own copy of the second secret information.
Preferably, the encrypted message includes a nonce from the first entity, and the output from the first entity includes the nonce, thereby enabling the second entity to decrypt the message using the nonce, and its own copy of the second secret information.

Preferably, the first entity wishes to send an encrypted message that incorporates the first nonce to the second entity, the method including the steps, in the first entity, of:
using the generated second secret information to encrypt a message and the first nonce, thereby generating an encrypted message;
outputting the encrypted message for use by the second entity, which can decrypt the encrypted message by using its own copy of the second secret information.

Preferably, the encrypted message includes a second nonce from the first entity, and the output from the first entity includes the second nonce.

In accordance with another aspect of the invention, there is provided a method of generating and sending a message from a first entity, the method including the steps of:
determining a message including an action;
generating an authentication code on the basis of the action and a parameter, the parameter being indicative of an attribute of the action; and sending the message and authentication code from the first entity.

In accordance with a further aspect of the invention, there is provided a method of generating and sending a message from a first entity, the first entity including an identifier that distinguishes it from a plurality of other entities of a similar type, the method comprising the steps of:
determining a message including an action;
generating an authentication code on the basis of the action and a parameter, the parameter being based on the identifier; and sending the message and authentication code from the first entity.

Preferably, the action is a function, and the parameter is indicative of the function.

More preferably, the entity is capable of generating messages for each of a plurality of types of function, and the parameter is indicative of the type of function comprised by the message that is sent.

Preferably, the message includes one or more operands of the function.

Preferably, the function is a read function and the one or more operands include an address to be read.
Preferably, the function is a write function and the one or more operands include data to be written.
Preferably, the types of function include at least a read and a write, wherein the authentication step produces a different authentication code depending upon whether the action is a read or a write.

Preferably, the authentication step produces includes authentication codes In accordance with another aspect of the invention, there is provided a method of generating a first authentication code for a first message for a first function, wherein operands for the first authentication function used to generate the first authentication code include at least part of the first message and at least one identifier associated with the first function, Preferably, the method further including the steps of verifying the authentication code in accordance with the at least one identifier associated with the first function.

Preferably, the identifier is indicative of a type of the function.
Preferably, the at least one identifier is indicative of the entity generating the authentication code.
Preferably, the at least one identifier is indicative of an entity for which the authentication code is generated.
Preferably, the method includes the step, prior to generating the authentication code, of receiving a request from the entity for the first message, the request including information indicative of an identity of the entity.
In accordance with another aspect of the invention, there is provided a method of preventing a first action associated with a first message from being performed in a target entity, the method including the steps of:
sending the first message to the target entity, the first message being configured to cause the entity to perform the first action and a second action; and sending a second message to the target entity, the second message being configured to cause the entity to perform a third action;
wherein the entity is configured such that performance of the second action and the third action is mutually incompatible.

In accordance with a further aspect of the invention, there is provided a method of attempting first write and a second write to first and second security fields in a target entity, the method including the steps of sending a first message to the target entity, the first message being configured to cause the entity to perform an action and to update the first and second security fields; and sending a second message to the target entity, the second message being configured to cause the entity to update the first and second security fields;
wherein the security fields have write restrictions associated with them such that updating the security fields in accordance with the first message prevents subsequent updating of the security fields in accordance with the second message, and wherein updating the security fields in accordance with the second message prevents subsequent updating of the security fields with the first message, and wherein the first action is only performed when updating of the security fields by the first message is successful.

In accordance with a further aspect of the invention, there is provided a method of performing a second 5 attempted write to two security fields in a target entity to prevent subsequent application of an earlier attempted write to a data field, wherein:
each of the first and second security fields has a monotonically changeable write restriction associated with it; and the first attempted write included a first data value for the data field and first and second security 10 values for the first and second security fields respectively;
the method including the step of sending a second write to the target entity, the second write including third and fourth security values for the first and second security fields respectively, wherein the write restrictions are such that application of the third and fourth security values to the first and second fields are mutually incompatible with application of the first and second security values to the first and second 15 security fields, such that if any of the fields cannot be written to, none of them are written to.
Preferably, the write restrictions:
prevent the second write from being performed in the event that the first write was previously performed; and 20 prevent the first write from subsequently being performed in the event that the second write is performed.

Preferably, the second write is sent in response to a notification that the first write was not successfully performed.
Preferably, the second write is sent in response to a notification that the first write was not received.
Preferably, the second write is sent after a predetermined time has elapsed after sending the first write without receiving confirmation of the first write being received or successfully performed.
Preferably, the method further includes the step of sending the second write a plurality of times until the second write is successfully received and/or performed.

Preferably, the method further includes the step of verifying the successful second write by performing an authenticated read of the first and second security fields.

Preferably, the method farther includes updating a value related to the data field.

Preferably, the write permissions are such that only decrementing or incrementing of the security fields are permitted.

More preferably, the write permissions are such that only decrementing of the security fields is permitted, and wherein:
the value in the first security field prior to the first attempted write was x;
the value in the second security field prior to the first attempted write was y;
the value of the first security data was x-a;
the value of the second security data was y-b;
the value of the third security data being x-c; and the value of the fourth security data being y-d;
wherein a<b, d<c and a, b, c and d are >0.

It is particularly preferable that a=d and b=c, and even more preferable that a=d=1 and b=c=2.

Preferably, the target entity is a first integrated circuit and the messages are sent by a second integrated circuit.

In accordance with another aspect of the invention, there is provided a method of enabling selection of one or more pieces of secret information stored in a first entity, the first entity also storing at least one value indicative of at least one attribute for each of the one or more pieces of secret information, the method comprising the steps of:
(y) receiving at the first entity a request from a second entity for one or more of the values for one or more of the pieces of secret information stored in the first entity; and (z) in response to the request, outputting the values to the second entity.

Preferably, each of the pieces of secret information has an associated index and the request in step (y) includes one or more of the indexes to identify those pieces of secret information for which the values are requested.

Preferably, the request in step (y) is a request for the values all of the pieces of secret information and the response in step (z) orders the values such that the second entity can determine which values are associated with which piece of secret information, and can use the order to generate an index for the secret information.
Preferably, the method further includes the steps, in the first entity and following step (z), of:
(iii) receiving a request from the second entity identifying a function and identifying the index of a piece of secret information to be used in performing the function; and (iv) performing the function using the identified piece of secret information.

Preferably, the method further includes the steps, in the first entity and following step (z), of=
(v) receiving a request from the second entity identifying a function and a piece of secret information to be used in performing the function; and (vi) performing the function using at least the identified piece of secret information, the identified piece of secret information being identified in the request of step (v) on the basis of at least one of the values output in step (z).

Preferably, the secret information is stored in one or more physical locations of the first entity, and wherein the values are not indicative of those physical locations.

Preferably, the first entity is implemented in a first integrated circuit and the second entity is implemented in a second integrated circuit.
Preferably, the first integrated circuit includes a memory for storing the pieces of secret information and the values.

Preferably, there is a plurality of the first integrated circuits, wherein the physical location of a piece of the secret information having particular attributes is mutually different for at least some of the first integrated circuits.

Preferably, each of the pieces of secret information is a key for use with a corresponding authentication, encryption or decryption function.
Preferably, the integrated circuit is programmed and configured to apply at least one of the authentication, encryption or decryption functions to data using the corresponding key as an operand.

Preferably, the attribute stored for at least one of the pieces of secret information is the length of that at least one of the pieces of secret information.

Preferably, the attribute stored for at least one of the pieces of secret information is the authentication, encryption or decryption type associated with that at least one of the pieces of secret information.

Preferably, the attribute value stored for at least one of the pieces of secret information is indicative of a permission associated with that at least one of the pieces of secret information.

In accordance with a further aspect of the invention, there is provided a system including first and second integrated circuits, the first integrated circuit implementing the first entity of claim 1, the second integrated circuit being programmed and configured to issue a request to the first integrated circuit for attribute values of any secret information stored by the first integrated circuit, and the first integrated circuit being programmed and configured to respond to the request by supplying the attribute values of the pieces of secret information to the external source.

Preferably, the second integrated circuit is a printer controller chip and the first integrated circuit is a peripheral chip in communication with the printer controller.

Preferably, the printer controller chip is installed in a printer and the peripheral chip is in a package that is releasably attachable to the printer via a connector, the connector enabling communication between the printer controller chip and the peripheral chip.

Preferably, the printer controller chip and the peripheral chip are installed in a printer.
Preferably, the package is an ink refill cartridge.

Preferably, the package is a performance setting cartridge configured to set a performance level of the printer.
Preferably, in the event at least one of the pieces of secret information can be altered or updated, the first integrated circuit is configured to alter the attribute values associated with that at least one piece of secret information as required by the alteration or update such that the update or alteration of the at least one piece of secret information and its associated attributes is atomic.

In accordance with another aspect of the invention, there is provided an integrated circuit including an on-board system clock, the integrated circuit including a clock filter configured to determine a temperature of the integrated circuit and to alter an output of the system clock based on the temperature.

Preferably, the clock filter is configured to alter the output of the system clock in the event the temperature is outside a predetermined temperature range.
More preferably, altering the output includes preventing the clock signal from reaching one or more logical circuits on the integrated circuit to which it would otherwise be applied.

It is particularly preferred that the predetermined temperature range is selected such that a temperature-related speed of the system clock output that is not due to the clock filter is within a predetermined frequency range.
It is desirable that the frequency range be within an operating frequency of some or all of the logic circuitry to which the system clock is supplied.

In the preferred form of the invention, the clock filter is configured to prevent the system clock from reaching some or all of the logic circuitry in the event the temperature falls below a predetermined level. This level is chosen to be high enough that race conditions, in which the clock speeds up to the point where logic circuitry behaviour becomes unpredictable, are avoided.

In accordance with another aspect of the present invention, there is provided a method of manufacturing a series of integrated circuits having related functionality, the method including the steps of:

(vii) determining an identifier;
(viii) permanently storing the identifier on one of the integrated circuits;
(ix) repeating steps (vii) and (viii) for each integrated circuit in the series;
wherein the identifiers for the series are determined in such a way that knowing the identifier of one of the integrated circuits does not improve the ability of an attacker to determine the identifier of any of the other integrated circuits.

Preferably, the identifier for each integrated circuit is determined using a stochastic mechanism, thereby rendering highly improbable the replication of some or all of the series of identifiers stored on the series of the integrated circuits.

In accordance with another aspect of the invention, there is provided a series of integrated circuits having related functionality, wherein each of the integrated circuits incorporates an identifier determined and stored in accordance with the first aspect.
Preferably, each of the integrated circuits is a printer controller.

In accordance with another aspect of the invention, there is provided a first integrated circuit of a series of integrated circuits according to the second aspect, operable in first and second mode, wherein in the first mode, supervisor code can access the identifier and in the second mode, user code cannot access the identifier.
Preferably, the supervisor mode is available to a program upon verification of that program by a boot program of the integrated circuit.

Preferably, the identifier is mapped into a key K.
Preferably, K is the identifier.

Preferably, K is created by applying a hash function or one-way function to the identifier.
Preferably, the integrated circuit is configured to produce and output a message, the message including a result of encrypting K.

In accordance with another aspect of the invention, there is provided a method of injecting a key into a target integrated circuit, comprising the step of receiving the message generated by the first integrated circuit of claim 10, and transferring a second key into the target integrated circuit, the second key being based on K.
Preferably, the method includes generating the second key by manipulating K
with a function.

More preferably, the function uses K and a code associated with the target integrated circuit as operands.

Preferably, the code is a code that is relatively unique to the target integrated circuit.

Preferably, K and the second key enable secure communication between the first integrated circuit and the 5 target integrated circuit.

Preferably, the second integrated circuit is configured to communicate securely with a third integrated circuit, thereby enabling it to act as an intermediary between the first integrated circuit and the third integrated circuit, allowing secure communication therebetween.
Preferably, the first integrated circuit and the third integrated circuit do not share a key for use in the secure communication.

Preferably, the first integrated circuit is a printer controller configured to perform an authenticated read of the third integrated circuit by securely communicating via the second integrated circuit.

Preferably, the authenticated read relates to monitoring or updating usage of a resource.

In accordance with another aspect of the invention,, there is provided a method of enabling software development for an integrated circuit, the integrated circuit being configured to run a boot program that prevents unverified software from subsequently being loaded onto, or run by, the integrated circuit, the method including the step of loading an intermediate program onto the integrated circuit, the intermediate program being customised for a particular one or more of a plurality of potential integrated circuits that, when run on the processor, enables loading or running of code on only the particular one or more integrated circuits.
Preferably, the intermediate program enables the loading or running of unverified code on only the particular one or more integrated circuits.

Preferably, the intermediate program enables the loading or rumiing of the code only when the code includes data indicative of the particular one or more integrated circuits.

Preferably, the intermediate program includes an intermediate boot key, such that the intermediate program enables loading or running of the code only when the code is verified in accordance with the intennediate boot key.
In accordance with another aspect of the invention, there is provided an integrated circuit configured to run a boot program that prevents unverified software from subsequently being loaded onto, or run by, the integrated circuit.

Preferably, the integrated circuit is programmed with program code configured to:

receive software data and a digital signature of the software data generate a first digest from the software data; and compare the first digest against a second digest obtained via the digital signature that accompanied the received software data;
wherein the program is considered valid when the first and second digests match.
Preferably, one or both of the digests were generated using a SHA1 function.

Preferably, the boot program contains a plurality of keys, and one of the keys is selected for use in generating the first digest, the key being selected in accordance with a selection criterion.

Preferably, the selection criterion is time-based, a particular one of the keys being selected depending on the time the selection is made.

Preferably, the selection criteria relates to a physical arrangement or configuration of the integrated circuit.
Preferably, the physical arrangement or configuration includes one or more of the following:
one or more pads wired to a reference voltage or to ground;
one or more fuses, one or more of which has been blown; or the contents of non-volatile memory.

Preferably, the integrated circuit is programmed with program code configured to:
receive encrypted software data, decrypt the software data; and validate the software data;
wherein the decrypted software is executed only when the validation is successful.
Preferably, the encryption function is RSA.

Preferably, the boot program contains a plurality of keys, and one of the keys is selected for use in decrypting the software data, the key being selected in accordance with a selection criterion.

Preferably, the selection criterion is time-based, a particular one of the keys being selected depending on the time the selection is made.
Preferably, the selection criteria relates to a physical arrangement or configuration of the integrated circuit.
Preferably, the physical arrangement or configuration includes one or more of the following:
one or more pads wired to a reference voltage or to ground;
one or more fuses, one or more of which has been blown; or WO 2004/050369 , PCT/AU2003/001616 the contents of non-volatile memory.

In accordance with a further aspect of the invention, there is provided a method of passing validated information along a series of entities, the series of entities including a source entity, a series of at least one intermediate entity, and a target entity, wherein each of the entities shares a validation parameter with its immediately neighbouring entity or entities in the series, the method comprising the steps, commencing in the source entity, of (x) in the current entity, generating a validation code for the information, the validation code being based on the validation parameter shared between the current entity and the next entity in the series;
(xi) outputting the validation code;
(xii) receiving the validation code in the next entity in the series and making that entity the current entity;
(xiii) verifying the information via the validation code in the current entity using the validation parameter required to verify it;
(xiv) repeating steps (x) to (xi) until the last intermediate entity in the series has output the validation code it generated;
(xv) receiving the validation code in the target entity and verifying the information via the validation code and the validation parameter required to verify it.

Preferably, step (xi) includes the substep of outputting the information.
Preferably, step (xv) includes receiving the information and using it during the verification.
Preferably, step (xii) includes receiving the information and using it during the verification.

Preferably, a controller is in contact with at least some of the entities, the controller being configured to pass the information and/or the validation codes between adjacent entities in the series.

Preferably, step (x) is performed in response to an instruction issued by the controller.

Preferably, the instruction includes a request for the information upon which the validation is to be performed.
Preferably, the validation code is a digital signature produced by a digital signature function using the information and the validation parameter as operands.

Preferably, the validation parameter is a key Preferably, the key is a symmetric key Preferably, the validation parameter is an asymmetric key-pair, and the public and private components of the key-pair are in respective neighbouring entities in the series.

Preferably, the validation code is a digital signature generated with a digital signature function using the key or key-pair component, the information and at least one nonce as inputs.

Preferably, the at least one nonce is generated in the current entity in response to an instruction issued by the neighbouring entity of the current entity closer to the target entity.

Preferably, the at least one nonce is randomly, pseudo-randomly or arbitrarily generated number.

Preferably, the at least one nonce is supplied to the current entity in an instruction issued by the neighbouring entity of the current entity closer to the target entity.

Preferably, the nonce is randomly, pseudo-randomly or arbitrarily generated number.

Preferably, a different validation parameter is used for the validation step performed at any two adjacent entities.

Preferably, at least one of the entities is an integrated circuit.

Preferably, the target entity is a printer controller integrated circuit.
Preferably, the source entity is a printer controller integrated circuit.

Preferably, either the source entity or the target entity is a printer controller integrated circuit and the at least one intermediate entity is a verification chip associated with the printer controller.

Preferably, the controller is a printer controller integrated circuit.
Preferably, one of the entities is the controller.
Preferably, the printer controller has a relatively unique identity and the verification chip includes a key based on the unique identity.

Preferably, the source or target entity is an integrated circuit associated with a package that contains ink.
In accordance with a further aspect of the invention, there is provided an integrated circuit incorporating niicroelectromechanical systems (MEMS), having a total area greater than an area of at least one of the reticles used to manufacture it.

Preferably, the integrated circuit includes a stitch region where the multiple reticle fields overlapped during manufacturing of the integrated circuit.

Preferably, the surface area of the integrated circuit is larger than a single stepping field of a reticle used to manufacture the integrated circuit.

In accordance with another aspect of the invention, there is provided an integrated circuit according to the first aspect, manufactured using at least two different types of reticles.

Preferably, the integrated circuit is manufactured using multiple applications of the same reticle.
Preferably, the integrated circuit is a printhead.

In accordance with a further aspect of the invention, there is provided a method of manufacturing an integrated circuit incorporating MEMS, comprising laying out the integrated circuit using a plurality of overlapping reticles.

Preferably, the overlapping reticles are the same as each other.
Preferably, the reticles are different to each other.

Preferably, the reticles are different lengths.

Preferably, a plurality of the integrated circuits are manufactured on a single substrate wafer, wherein each of the integrated circuits is manufactured incorporating MEMS, comprising laying out of the integrated circuit using a plurality of overlapping reticles.

Preferably, at least some of the integrated circuits are different to each other.
Preferably, the integrated circuits are of different lengths.

In accordance with another aspect of the invention, there is provided a method of laying out an integrated circuit, the method including the steps of:
defing a layout of an integrated circuit;
defining a joint path;
modifying at least one element within an overlap area adjacent the joint path to take into account reticle field overlap during a subsequent manufacturing step.

In accordance with another embodiment of the invention, there is provided, in a system comprising a plurality of consumers of one or more common resources, a method of tracking usage of the one or more common resources, comprising the steps of:
from each consumer, broadcasting to each of the other consumers a value indicative of an amount of 5 the one or more resources consumed;
at each of the consumers, receiving the broadcasted values from the other consumers; and in each consumer, storing a record of the total of the values that the consumer broadcasted and the values received from the other consumers.

10 Preferably, a memory stores a total indicative of the sum of all values broadcast by the consumers, the method further comprising the steps of, for each of at least a plurality of the consumers:
performing an authenticated read of the total in the memory;
comparing the total in the consumer's record with the total read from the memory; and in the event the totals do not match, performing an action.
Preferably, the memory is in one of the consumers and comprises that consumer's record.
Preferably, the action includes halting printing and or outputting an error message;

Preferably, the values are broadcast in a non-secure manner.

Preferably, the value is signless, thereby preventing recrediting of the total in the memory.
Preferably, the consumers are printer controllers.
Preferably, each of the printer controllers controls printing to a different part of print media to be printed.
Preferably, the resource is ink and the one or more values represent one or more corresponding inks consumed by one or more printheads associated with the printer controllers.

BRIEF DESCRIPTION OF THE DRAWINGS
Preferred and other embodiments of the invention will now be described, by way of example only, with reference to the accompanying drawings, in which:
Figure 1 is an example of state machine notation Figure 2 shows document data flow in a printer Figure 3 is an example of a single printer controller (hereinafter "SoPEC") A4 simplex printer system Figure 4 is an example of a dual SoPEC A4 duplex printer system Figure 5 is an example of a dual SoPEC A3 simplex printer system Figure 6 is an example of a quad SoPEC A3 duplex printer system Figure 7 is an example of a SoPEC A4 simplex printing system with an extra SoPEC used as DRAM storage Figure 8 is an example of an A3 duplex printing system featuring four printing SoPECs Figure 9 shows pages containing different numbers of bands Figure 10 shows the contents of a page band Figure 11 illustrates a page data path from host to SoPEC
Figure 12 shows a page structure Figure 13 shows a SoPEC system top level partition Figure 14 shows a SoPEC CPU memory map (not to scale) Figure 15 is a block diagram of CPU
Figure 16 shows CPU bus transactions Figure 17 shows a state machine for a CPU subsystem slave Figure 18 shows a SoPEC CPU memory map (not to scale) Figure 19 shows an external signal view of a memory management unit (hereinafter "MMU") sub-block partition Figure 20 shows an internal signal view of an MMU sub-block partition Figure 21 shows a DRAM write buffer Figure 22 shows DIU waveforms for multiple transactions Figure 23 shows a SoPEC LEON CPU core Figure 24 shows a cache data RAM wrapper Figure 25 shows a realtime debug unit block diagram Figure 26 shows interrupt acknowledge cycles for single and pending interrupts Figure 27 shows an A3 duplex system featuring four printing SoPECs with a single SoPEC DRAM
device Figure 28 is an SCB block diagram Figure 29 is a logical view of the SCB of figure 28 Figure 30 shows an ISf configuration with four SoPEC devices Figure 31 shows half-duplex interleaved transmission from ISIMaster to ISISlave Figure 32 shows ISI transactions Figure 33 shows an ISI long packet Figure 34 shows an ISI ping packet Figure 35 shows a short ISI packet Figure 36 shows successful transmission of two long packets with sequence bit toggling Figure 37 shows sequence bit operation with errored long packet Figure 38 shows sequence bit operation with ACK error Figure 39 shows an ISI sub-block partition Figure 40 shows an ISI serial interface engine functional block diagram Figure 41 is an SIE edge detection and data IO diagram Figure 42 is an SIE Rx/Tx state machine Tx cycle state diagram Figure 43 shows an SIE Rx/Tx state machine Tx bit stuff '0' cycle state diagram Figure 44 shows an SIE Rx/Tx state machine Tx bit stuff '1' cycle state diagram Figure 45 shows an SIE RxlTx state machine Rx cycle state diagram Figure 46 shows an SIE Tx functional timing example Figure 47 shows an SIE Rx functional timing example Figure 48 shows an SIE Rx/Tx FIFO block diagram Figure 49 shows SIE RxlTx FIFO control signal gating Figure 50 shows an SIE bit stuffing state machine Tx cycle state diagram Figure 51 shows an SIE bit stripping state machine Rx cycle state diagram Figure 52 shows a CRC16 generation/checking shift register Figure 53 shows circular buffer operation Figure 54 shows duty cycle select Figure 55 shows a GPIO partition Figure 56 shows a motor control RTL diagram Figure 57 is an input de-glitch RTL diagram Figure 58 is a frequency analyser RTL diagram Figure 59 shows a brushless DC controller Figure 60 shows a period measure unit Figure 61 shows line synch generation logic Figure 62 shows an ICU partition Figure 63 is an interrupt clear state diagram Figure 63A Timers sub-block paration diagram Figure 64 is a watchdog timer RTL diagram Figure 65 is a generic timer RTL diagram Figure'66 is a schematic of a timing pulse generator Figure 67 is a Pulse generator RTL diagram Figure 68 shows a SoPEC clock relationship Figure 69 shows a CPR block partition Figure 70 shows reset deglitch logic Figure 71 shows reset synchronizer logic Figure 72 is a clock gate logic diagram Figure 73 shows a PLL and Clock divider logic Figure 74 shows a PLL control state machine diagram Figure 75 shows a LSS master system-level interface Figure 76 shows START and STOP conditions Figure 77 shows an LSS transfer of 2 data bytes Figure 78 is an example of an LSS write to a QA Chip Figure 79 is an example of an LSS read from QA Chip Figure 80 shows an LSS block diagram Figure 81 shows an LSS multi-command transaction Figure 82 shows start and stop generation based on previous bus state Figure 83 shows an LSS master state machine Figure 84 shows LSS master timing Figure 85 shows a SoPEC system top level partition Figure 86 shows an ead bus with 3 cycle random DRAM read accesses Figure 87 shows interleaving of CPU and non-CPU read accesses Figure 88 shows interleaving of read and write accesses with 3 cycle random DRAM accesses Figure 89 shows interleaving of write accesses with 3 cycle random DRAM
accesses Figure 90 shows a read protocol for a SoPEC Unit making a single 256-bit access Figure 91 shows a read protocol for a SoPEC Unit making a single 256-bit access Figure 92 shows a write protocol for a SoPEC Unit making a single 256-bit access Figure 93 shows a protocol for a posted, masked, 128-bit write by the CPU
Figure 94 shows a write protocol shown for CDU making four contiguous 64bit accesses Figure 95 shows timesiot-based arbitration Figure 96 shows timesiot based arbitration with separate pointers Figure 97 shows a first example (a) of separate read and write arbitration Figure 98 shows a second example (b) of separate read and write arbitration Figure 99 shows a third example (c) ofseparate read and write arbitration Figure 100 shows a DIU partition Figure 101 shows a DIU partition Figure 102 shows multiplexing and address translation logic for two memory instances Figure 103 shows a timing of dau_dcu valid, dcu_dau adv and dcu_dau wadv Figure 104 shows a DCU state machine Figure 105 shows random read timing Figure 106 shows random write timing Figure 107 shows refresh timing Figure 108 shows page mode write timing Figure 109 shows timing of non-CPU DIU read access Figure 110 shows timing of CPU DIU read access Figure 111 shows a CPU DIU read access Figure 112 shows timing of CPU DIU write access Figure 113 shows timing of a non-CDU / non-CPU DIU write access Figure 114 shows timing of CDU DIU write access Figure 115 shows command multiplexor sub-block partifion Figure 116 shows command multiplexor timing at DIU requestors interface Figure 117 shows generation of re_arbitrate and re_arbitrate wadv Figure 118 shows CPU interface and arbitration logic Figure 119 shows arbitration timing Figure 120 shows setting RotationSync to enable a new rotation.
Figure 121 shows a timesiot based arbitration Figure 122 shows a timeslot based arbitration with separate pointers Figure 123 shows a CPU pre-access write lookahead pointer Figure 124 shows arbitration hierarchy Figure 125 shows hierarchical round-robin priority comparison Figure 126 shows a read multiplexor partition Figure 127 shows a read command queue (4 deep buffer) Figure 128 shows state-machines for shared read bus accesses Figure 129 shows a write multiplexor partition Figure 130 shows a read multiplexer timing for back-to-back shared read bus transfer Figure 131 shows a write multiplexer partition Figure 132 shows a block diagram of a PCU
Figure 133 shows PCU accesses to PEP registers Figure 134 shows command arbitration and execution Figure 135 shows DRAM command access state machine Figure 136 shows an outline of contone data flow with respect to CDU
Figure 137 shows a DRAM storage arrangement for a single line of JPEG 8x8 blocks in 4 colors Figure 138 shows a read control unit state machine Figure 139 shows a memory arrangement of JPEG blocks Figure 140 shows a contone data write state machine Figure 141 shows lead-in and lead-out clipping of contone data in multi-SoPEC
environment Figure 142 shows a block diagram of CFU
Figure 143 shows a DRAM storage arrangement for a single line of JPEG blocks in 4 colors Figure 144 shows a block diagram of color space converter Figure 145 shows a converter/invertor Figure 146 shows a high-level block diagram of LBD in context Figure 147 shows a schematic outline of the LBD and the SFU
Figure 148 shows a block diagram of lossless bi-level decoder Figure 149 shows a stream decoder block diagram Figure 150 shows a command controller block diagram Figure 151 shows a state diagram for command controller (CC) state machine Figure 152 shows a next edge unit block diagram Figure 153 shows a next edge unit buffer diagram Figure 154 shows a next edge unit edge detect diagram Figure 155 shows a state diagram for the next edge unit state machine Figure 156 shows a line fill unit block diagram Figure 157 shows a state diagram for the Line Fill Unit (LFU) state machine 5 Figure 158 shows a bi-level DRAM buffer Figure 159 shows interfaces between LBD/SFU/HCU
Figure 160 shows an SFU sub-block parti6on Figure 161 shows an LBDPrevLineFifo sub-block Figure 162 shows timing of signals on the LBDPrevLineFIFO interface to DIU and address 10 generator Figure 163 shows timing of signals on LBDPrevLineFIFO interface to DIU and address generator Figure 164 shows LBDNextLineFifo sub-block Figure 165 shows timing of signals on-LBDNextLineFIFO interface to DIU and address generator Figure 166 shows LBDNextLineFIFO DIU interface state diagram 15 Figure 167 shows an LDB to SFU write interface Figure 168 shows an LDB to SFU read interface (within a line) Figure 169 shows an HCUReadLineFifo Sub-block Figure 170 shows a DIU write Interface Figure 171 shows a DIU Read Interface multiplexing by select hrfplf 20 Figure 172 shows DIU read request arbitration logic Figure 173 shows address generation Figure 174 shows an X scaling control unit Figure 175 Y shows a scaling control unit Figure 176 shows an overview of X and Y scaling at HCU interface 25 Figure 177 shows a high level block diagram of TE in context Figure 178 shows a QR Code Figure 179 shows Netpage tag structure Figure 180 shows a Netpage tag with data rendered at 1600 dpi (magniFied view) Figure 181 shows an example of 2x2 dots for each block of QR code 30 Figure 182 shows placement of tags for portrait & landscape printing Figure 183 shows agGeneral representation of tag placement Figure 184 shows composition of SoPEC's tag format structure Figure 185 shows a simple 3x3 tag structure Figure 186 shows 3x3 tag redesigned for 21 x 21 area (not simple replication) 35 Figure 187 shows a TE Block Diagram Figure 188 shows a TE Hierarchy Figure 189 shows a block diagram of PCU accesses Figure 190 shows a tag encoder top-level FSM
Figure 191 shows generated control signals 40 Figure 192 shows logic to combine dot information and encoded data Figure 193 shows generation of Lastdotintag/1 Figure 194 shows generation of Dot Position Valid Figure 195 shows generation of write enable to the TFU
Figure 196 shows generation of Tag Dot Number Figure 197 shows TDI Architecture Figure 198 shows data flow through the TDI
Figure 199 shows raw tag data interface block diagram Figure 200 shows an RTDI State Flow Diagram Figure 201 shows a relationship between TE endoftagdata, cdu_startofbandstore and cdu_endofbandstore Figure 202 shows a TDi State Flow Diagram Figure 203 shows mapping of the tag data to codewords 0-7 Figure 204 shows coding and mapping of uncoded fixed tag data for (15,5) RS
encoder Figure 205 shows mapping of pre-coded fixed tag data Figure 206 shows coding and mapping of variable tag data for (15,7) RS encoder Figure 207 shows coding and mapping of uncoded fixed tag data for (15,7) RS
encoder Figure 208 shows mapping of 2D decoded variable tag data Figure 209 shows a simple block diagram for an m=4 Reed Solomon encoder Figure 210 shows an RS encoder I/O diagram Figure 211 shows a(15,5) & (15,7) RS encoder block diagram Figure 212 shows a (15,5) RS encoder timing diagram Figure 213 shows a (15,7) RS encoder timing diagram Figure 214 shows a circuit for multiplying by alpha3 Figure 215 shows adding two field elements Figure 216 shows an RS encoder implementation Figure 217 shows an encoded tag data interface Figure 218 shows an encoded fixed tag data interface Figure 219 shows an encoded variable tag data interface .Figure 220 shows an encoded variable tag data sub-buffer Figure 221 shows a breakdown of the tag format structure Figure 222 shows a TFSI FSM state flow diagram Figure 223 shows a TFS block diagram Figure 224 shows a table A interface block diagram Figure 225 shows a table A address generator Figure 226 shows a table C interface block diagram Figure 227 shows a table B interface block diagram Figure 228 shows interfaces between TE, TFU and HCU
Figure 229 shows a 16-byte FIFO in TFU
Figure 230 shows a high level block diagram showing the HCU and its external interfaces Figure 231 shows a block diagram of the HCU

Figure 232 shows a block diagram of the control unit Figure 233 shows a block diagram of determine advdot unit Figure 234 shows a page structure Figure 235 shows a block diagram of a margin unit Figure 236 shows a block diagram of a dither matrix table interface Figure 237 shows an example of reading lines of dither matrix from DRAM
Figure 238 shows a state machine to read dither matrix table Figure 239 shows a contone dotgen unit Figure 240 shows a block diagram of dot reorg unit Figure 241 shows an HCU to DNC interface (also used in DNC to DWU, LLU to PHI) Figure 242 shows SFU to HCU interface (all feeders to HCU) Figure 243 shows representative logic of the SFU to HCU interface Figure 244 shows a high-level block diagram of DNC
Figure 245 shows a dead nozzle table format Figure 246 shows set of dots operated on for error diffusion Figure 247 shows a block diagram of DNC
Figure 248 shows a sub-block diagram of ink replacement unit Figure 249 shows a dead nozzle table state machine Figure 250 shows logic for dead nozzle removal and ink replacement Figure 251 shows a sub-block diagram of error diffusion unit Figure 252 shows a maximum length 32-bit LFSR used for random bit generation Figure 253 shows a high-level data flow diagram of DWU in context Figure 254 shows a printhead nozzle layout for 36-nozzle bi-lithic printhead Figure 255 shows a printhead nozzle layout for a 36-nozzle bi-lithic printhead Figure 256 shows a dot line store logical representation Figure 257 shows a conceptual view of printhead row alignment Figure 258 shows a conceptual view of printhead rows (as seen by the LLU and PHI) Figure 259 shows a comparison of 1.5x v 2x buffering Figure 260 shows an even dot order in DRAM (increasing sense, 13320 dot wide line) Figure 261 shows an even dot order in DRAM (decreasing sense, 13320 dot wide line) Figure 262 shows a dotline FIFO data structure in DRAM
Figure 263 shows a DWU partition Figure 264 shows a buffer address generator sub-block Figure 265 shows a DIU Interface sub-block Figure 266 shows an interface controller state diagram Figure 267 shows a high level data flow diagram of LLU in context Figure 268 shows paper and printhead nozzles relationship (example with D,=D2=5) Figure 269 shows printhead structure and dot generate order Figure 270 shows an order of dot data generation and transmission Figure 271 shows a conceptual view of printhead rows Figure 272 shows a dotline FIFO data structure in DRAM (LLU specification) Figure 273 shows an LLU partition Figure 274 shows a dot generator RTL diagram Figure 275 shows a DIU interface Figure 276 shows an interface controller state diagram Figure 277 shows high-level data flow diagram of PHI in context Figure 278 shows power on reset Figure 279 shows printhead data rate equalization Figure 280 shows a printhead structure and dot generate order Figure 281 shows an order of dot data generation and transmission Figure 282 shows an order of dot data generation and transmission (single printhead case) Figure 283 shows printhead interface timing parameters Figure 284 shows printhead timing with margining Figure 285 shows a PHI block partition Figure 286 shows a sync generator state diagram Figure 287 shows a line sync de-glitch RTL diagram Figure 288 shows a fire generator state diagram Figure 289 shows a PHI controller state machine Figure 290 shows a datapath unit partition Figure 291 shows a dot order controller state diagram Figure 292 shows a data generator state diagram Figure 293 shows data serializer timing Figure 294 shows a data serializer RTL Diagram Figure 295 shows printhead types 0 to 7 Figure 296 shows an ideal join between two dilithic printhead segments Figure 297 shows an example of a join between two bilithic printhead segments Figure 298 shows printable vs non-printable area under new definition (looking at colors as if 1 row only) Figure 299 shows identification of printhead nozzles and shift-register sequences for printheads in arrangement 1 Figure 300 shows demultiplexing of data within the printheads in arrangement 1 Figure 301 shows double data rate signalling for a type 0 printhead in arrangement 1 Figure 302 shows double data rate signalling for a type 1 printhead in arrangement 1 Figure 303 shows identification of printheads nozzles and shift-register sequences for printheads in arrangement 2 Figure 304 shows demultiplexing of data within the printheads in arrangement 2 Figure 305 shows double data rate signalling for a type 0 printhead in arrangement 2 Figure 306 shows double data rate signalling for a type I printhead in arrangement 2 Figure 307 shows all 8 printhead arrangements Figure 308 shows a printhead structure Figure 309 shows a column Structure Figure 310 shows a printhead dot shift register dot mapping to page Figure 311 shows data timing during printing Figure 312 shows print quality Figure 313 shows fire and select shift register setup for printing Figure 314 shows a fire pattem across butt end of printhead chips Figure 315 shows fire pattern generation Figure 316 shows determination of select shift register value Figure 317 shows timing for printing signals figure 318 shows initialisation of printheads figure 319 shows a nozzle test latching circuit figure 320 shows nozzle testing figure 321 shows a temperature reading figure 322 shows CMOS testing figure 323 shows a reticle layout figure 324 shows a stepper pattern on Wafer Figure 325 shows relationship between datasets Figure 326 shows a validation hierarchy Figure 327 shows development of operating system code Figure 328 shows protocol for directly verifying reads from ChipR
Figure 329 shows a protocol for signature translation protocol Figure 330 shows a protocol for a direct authenticated write Figure 331 shows an alternative protocol for a direct authenticated write Figure 332 shows a protocol for basic update of permissions Figure 333 shows a protocol for a multiple-key update Figure 334 shows a protocol for a single-key authenticated read Figure 335 shows a protocol for a single-key authenticated write Figure 336 shows a protocol for a single-key update of permissions Figure 337 shows a protocol for a single-key update Figure 338 shows a protocol for a multiple-key single-M authenticated read Figure 339 shows a protocol for a multiple-key authenticated write Figure 340 shows a protocol for a multiple-key update of permissions Figure 341 shows a protocol for a multiple-key update Figure 342 shows a protocol for a multiple-key multiple-M authenticated read Figure 343 shows a protocol for a multiple-key authenticated write Figure 344 shows a protocol for a multiple-key update of permissions Figure 345 shows a protocol for a multiple-key update Figure 346 shows relationship of permissions bits to M[n] access bits Figure 347 shows 160-bit maximal period LFSR
Figure 348 shows clock filter Figure 349 shows tamper detection line Figure 350 shows an oversize nMOS transistor layout of Tamper Detection Line Figure 351 shows a Tamper Detection Line Figure 352 shows how Tamper Detection Lines cover the Noise Generator 5 Figure 353 shows a prior art FET Implementation of CMOS inverter Figure 354 shows non-flashing CMOS
Figure 355 shows components of a printer-based refill device Figure 356 shows refilling of printers by printer-based refill device Figure 357 shows components of a home refill station 10 Figure 358 shows a three-ink reservoir unit Figure 359 shows refill of ink cartridges in a home refill station Figure 360 shows components of a commercial refill station Figure 361 shows an ink reservoir unit Figure 362 shows refill of ink cartridges in a commercial refill station (showing a single refill unit) 15 Figure 363 shows equivalent signature generation Figure 364 shows a basic field definition Figure 365 shows an example of defining field sizes and positions Figure 366 shows permissions Figure 367 shows a first example of permissions for a field 20 Figure 368 shows a second example of permissions for a field Figure 369 shows field attributes Figure 370 shows an output signature generation data format for Read Figure 371 shows an input signature verification data format for Test Figure 372 shows an output signature generation data format for Translate 25 Figure 373 shows an input signature verification data format for WriteAuth Figure 374 shows input signature data format for ReplaceKey Figure 375 shows a key replacement map Figure 376 shows a key replacement map after K, is replaced Figure 377 shows a key replacement process 30 Figure 378 shows an output signature data format for GetProgramKey Figure 379 shows transfer and rollback process Figure 380 shows an upgrade flow Figure 381 shows authorised ink refill paths in the printing system Figure 382 shows an input signature verification data format for XferAmount 35 Figure 383 shows a transfer and rollback process Figure 384 shows an upgrade flow Figure 385 shows authorised upgrade paths in the printing system Figure 386 shows a direct signature validation sequence Figure 387 shows signature validation using translation 40 Figure 388 shows setup of preauth field attributes Figure 389 shows a high level block diagram of QA Chip Figure 390 shows an analogue unit Figure 391 shows a serial bus protocol for trimming Figure 392 shows a block diagram of a trim unit Figure 393 shows a block diagram of a CPU of the QA chip Figure 394 shows block diagram of an MIU
Figure 395 shows a block diagram of memory components Figure 396 shows a first byte sent to an IOU
Figure 397 shows a block diagram of the IOU
Figure 398 shows a relationship between external SDa and SCIk and generation of internal signals Figure 399 shows block diagram of ALU
Figure 400 shows a block diagram of DataSel Figure 401 shows a block diagram of ROR
Figure 402 shows a block diagram of the ALU's 10 block Figure 403 shows a block diagram of PCU
Figure 404 shows a block diagram of an Address Generator Unit Figure 405 shows a block diagram for a Counter Unit Figure 406 shows a block diagram of PMU
Figure 407 shows a state machine for PMU
Figure 408 shows a block diagram of MRU
Figure 409 shows simplified MAU state machine Figure 410 shows power-on reset behaviour Figure 411 shows a ring oscillator block diagram Figure 412 shows a system clock duty cycle DETAILED DESCRIPTION OF PREFERRED AND OTHER EMBODIMENTS
It will be appreciated that the detailed description that follows takes the form of a highly detailed design of the invention, including supporting hardware and software. A high level of detailed disclosure is provided to ensure that one skilled in the art will have ample guidance for implementing the invention.

Imperative phrases such as "must", "requires", "necessary" and "important"
(and similar language) should be read as being indicative of being necessary only for the preferred embodiment actually being described. As such, unless the opposite is clear from the context, imperative wording should not be interpreted as such. Nothing in the detailed description is to be understood as limiting the scope of the invention, which is intended to be defined as widely as is defined in the accompanying claims.

Indications of expected rates, frequencies, costs, and other quantitative values are exemplary and estimated only, and are made in good faith. Nothing in this specification should be read as implying that a particular commercial embodiment is or will be capable of a particular performance level in any measurable area.

It will be appreciated that the principles, methods and hardware described throughout this document can be applied to other fields. Much of the security-related disclosure, for example, can be applied to many other fields that require secure communications between entities, and certainly has application far beyond the field of printers.

SYSTEM OVERVIEW
The preferred of the present invention is implemented in a printer using microelectromechanical systems (MEMS) printheads. The printer can receive data from, for example, a personal computer such as an IBM compatible PC or Apple computer. In other embodiments, the printer can receive data directly from, for example, a digital still or video camera. The particular choice of communication link is not important, and can be based, for example, on USB, Firewire, Bluetooth or any other wireless or hardwired communications protocol.

PRINT SYSTEM OVERVIEW
3 Introduction This document describes the SoPEC (Small office home office Print Engine Controller) ASIC
(Application Specific Integrated Circuit) suitable for use in, for example, SoHo printer products. The SoPEC ASIC is intended to be a low cost solution for bi-lithic printhead control, replacing the multichip solutions in larger more professional systems with a single chip.
The increased cost competitiveness is achieved by integrating several systems such as a modified PEC1 printing pipeline, CPU control system, peripherals and memory sub-system onto one SoC
ASIC, reducing component count and simplifying board design.

This section will give a general introduction to Memjet printing systems, introduce the components that make a bi-Iithic printhead system, describe possible system architectures and show how several SoPECs can be used to achieve A3 and A4 duplex printing. The section "SoPEC ASIC"
describes the SoC SoPEC ASIC, with subsections describing the CPU, DRAM and Print Engine Pipeline subsystems. Each section gives a detailed description of the blocks used and their operation within the overall print system. The final section describes the bi-lithic printhead construction and associated implications to the system due to its makeup.

4 Nomenclature 4.1 BI-LITHIC PRINTHEAD NOTATION
A bi-lithic based printhead is constructed from 2 printhead ICs of varying sizes. The notation M:N is used to express the size relationship of each IC, where M specifies one printhead IC in inches and N specifies the remaining printhead IC in inches.
The'SoPEC/MoPEC Bilithic Printhead Reference' document [10] contains a description of the bi-lithic printhead and related terminology.

4.2 DEFINITIONS
The following terms are used throughout this specification:
Bi-lithic printhead Refers to printhead constructed from 2 printhead ICs CPU Refers to CPU core, caching system and MMU.
ISI-Bridge chip A device with a high speed interface (such as USB2.0, Ethernet or IEEE1 394) and one or more ISI interfaces. The ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to.
ISIMaster The ISIMaster is the only device allowed to initiate communication on the Inter Sopec Interface (ISI) bus. The ISIMaster interfaces with the host.
ISISIave Multi-SoPEC systems will contain one or more ISISIave SoPECs connected to the ISI bus. ISISlaves can only respond to communication initiated by the ISIMaster.
LEON Refers to the LEON CPU core.
LineSyncMaster The LineSyncMaster device generates the line synchronisation pulse that all SoPECs in the system must synchronise their line outputs to.
Multi-SoPEC Refers to SoPEC based print system with multiple SoPEC devices Netpage Refers to page printed with tags (normally in infrared ink).
PEC1 Refers to Print Engine Controller version 1, precursor to SoPEC used to control printheads constructed from multiple angled printhead segments.
Printhead IC Single MEMS IC used to construct bi-lithic printhead PrintMaster The PrintMaster device is responsible for coordinating all aspects of the print operation. There may only be one PrintMaster in a system.

QA Chip Quality Assurance Chip Storage SoPEC An ISISlave SoPEC used as a DRAM store and which does not print.
Tag Refers to pattern which encodes information about its position and orientation which allow it to be optically located and its data contents read.
4.3 ACRONYM AND ABBREVIATIONS
The following acronyms and abbreviations are used in this specification CFU Contone FIFO Unit CPU Central Processing Unit DIU DRAM Interface Unit DNC Dead Nozzle Compensator DRAM Dynamic Random Access Memory DWU DotLine Writer Unit GPIO General Purpose Input Output HCU Halftoner Compositor Unit ICU Interrupt Controller Unit ISI Inter SoPEC Interface LDB Lossless Bi-level Decoder LLU Line Loader Unit LSS Low Speed Serial interface MEMS Micro Electro Mechanical System MMU Memory Management Unit PCU SoPEC Controller Unit PHI PrintHead Interface PSS Power Save Storage Unit RDU Real-time Debug Unit ROM Read Only Memory SCB Serial Communication Block SFU Spot FIFO Unit SMG4 Silverbrook Modified Group 4.
SoPEC Small office home office Print Engine Controller SRAM Static Random Access Memory TE Tag Encoder TFU Tag FIFO Unit TIM Timers Unit USB Universal Serial Bus 4.4 PSEUDOCODE NOTATION
In general the pseudocode examples use C like statements with some exceptions.
Symbol and naming convections used for pseudocode.
ll Comment = Assignment Operator equal, not equal, less than, greater than Operator addition, subtraction, multiply, divide, modu!us &,J,^, , ,- Bitwise AND, bitwise OR, bitwise exclusive OR, left shift, right shift, complement AND,OR,NOT Logical AND, Logical OR, Logical inversion 5 [XX:YY] Array/vector specifier {a, b, c} Concatenation operation ++, __ Increment and decrement 4.4.1 Register and signal naming conventions In general register naming uses the C style conventions with capitalization to denote word 10 delimiters. Signals use RTL style notation where underscore denote word delimiters. There is a direct translation between both convention. For example the CmdSourceFifo register is equivalent to cmd source_fifo signal.
4.5 STATE MACHINE NOTATION
State machines should be described using the pseudocode notation outlined above. State machine 15 descriptions use the convention of underline to indicate the cause of a transition from one state to another and plain text (no underline) to indicate the effect of the transition i.e. signal transitions which occur when the new state is entered.
A sample state machine is shown in Figure 1.
5 Printing Considerations 20 A bi-lithic printhead produces 1600 dpi bi-level dots. On low-diffusion paper, each ejected drop forms a 22.5 m diameter dot. Dots are easily produced in isolation, allowing dispersed-dot dithering to be exploited to its fullest. Since the bi-lithic printhead is the width of the page and operates with a constant paper velocity, color planes are printed in perfect registration, allowing ideal dot-on-dot printing. Dot-on-dot printing minimizes 'muddying' of midtones caused by inter-color bleed.
25 A page layout may contain a mixture of images, graphics and text.
Continuous-tone (contone) images and graphics are reproduced using a stochastic dispersed-dot dither.
Unlike a clustered-dot (or amplitude-modulated) dither, a dispersed-dot (or frequency-modulated) dither reproduces high spatial frequencies (i.e. image detail) almost to the limits of the dot resolution, while simultaneously reproducing lower spatial frequencies to their full color depth, when spatially integrated by the eye.
30 A stochastic dither matrix is carefully designed to be free of objectionable low-frequency patterns when tiled across the image. As such its size typically exceeds the minimum size required to support a particular number of intensity levels (e.g. 16x16x 8 bits for 257 intensity levels).
Human contrast sensitivity peaks at a spatial frequency of about 3 cycles per degree of visual field and then falls off logarithmically, decreasing by a factor of 100 beyond about 40 cycles per degree 35 and becoming immeasurable beyond 60 cycles per degree [25][25]. At a normal viewing distance of 12 inches (about 300mm), this translates roughly to 200-300 cycles per inch (cpi) on the printed page, or 400-600 samples per inch according to Nyquist's theorem.
In practice, contone resolution above about 300 ppi is of limited utility outside special applications such as medical imaging. Offset printing of magazines, for example, uses contone resolutions in the 40 range 150 to 300 ppi. Higher resolutions contribute slightly to color error through the dither.

Black text and graphics are reproduced directly using bi-level black dots, and are therefore not anti-aliased (i.e. low-pass filtered) before being printed. Text should therefore be supersampled beyond the perceptual limits discussed above, to produce smoother edges when spatially integrated by the eye. Text resolution up to about 1200 dpi continues to contribute to perceived text sharpness (assuming low-diffusion paper, of course).
A Netpage printer, for example, may use a contone resolution of 267 ppi (i.e.
1600 dpi / 6), and a black text and graphics resolution of 800 dpi. A high end office or departmental printer may use a contone resolution of 320 ppi (1600 dpi / 5) and a black text and graphics resolution of 1600 dpi.
Both formats are capable of exceeding the quality of commercial (offset) printing and photographic reproduction.

6 Document Data Flow 6.1 CONSIDERATIONS
Because of the page-width nature of the bi-lithic printhead, each page must be printed at a constant speed to avoid creating visible artifacts. This means that the printing speed can't be varied to match the input data rate. Document rasterization and document printing are therefore decoupled to ensure the printhead has a constant supply of data. A page is never printed until it is fully rasterized.
This can be achieved by storing a compressed version of each rasterized page image in memory.
This decoupling also allows the RIP(s) to run ahead of the printer when rasterizing simple pages, buying time to rasterize more complex pages.
Because contone color images are reproduced by stochastic dithering, but black text and line graphics are reproduced directly using dots, the compressed page image format contains a separate foreground bi-level black layer and background contone color layer.
The black layer is composited over the contone layer after the contone layer is dithered (although the contone layer has an optional black component). A final layer of Netpage tags (in infrared or black ink) is optionally added to the page for printout.
Figure 2 shows the flow of a document from computer system to printed page.
At 267 ppi for example, a A4 page (8.26 inches x 11.7 inches) of contone CMYK
data has a size of 26.3MB. At 320 ppi, an A4 page of contone data has a size of 37.8MB. Using lossy contone compression algorithms such as JPEG [27], contone images compress with a ratio up to 10:1 without noticeable loss of quality, giving compressed page sizes of 2.63MB at 267 ppi and 3.78 MB
at 320 ppi.
At 800 dpi, a A4 page of bi-level data has a size of 7.4MB. At 1600 dpi, a Letter page of bi-level data has a size of 29.5 MB. Coherent data such as text compresses very well.
Using lossless bi-level compression algorithms such as SMG4 fax as discussed in Section 8.1.2.3.1, ten-point plain text compresses with a ratio of about 50:1. Lossless bi-level compression across an average page is about 20:1 with 10:1 possible for pages which compress poorly. The requirement for SoPEC is to be able to print text at 10:1 compression. Assuming 10:1 compression gives compressed page sizes of 0.74 MB at 800 dpi, and 2.95 MB at 1600 dpi.

Once dithered, a page of CMYK contone image data consists of 116MB of bi-level data. Using lossless bi-level compression algorithms on this data is pointless precisely because the optimal dither is stochastic - i.e. since it introduces hard-to-compress disorder.
Netpage tag data is optionally supplied with the page image. Rather than storing a compressed bi-level data layer for the Netpage tags, the tag data is stored in its raw form.
Each tag is supplied up to 120 bits of raw variable data (combined with up to 56 bits of raw fixed data) and covers up to a 6mm x 6mm area (at 1600 dpi). The absolute maximum number of tags on a A4 page is 15,540 when the tag is only 2mm x 2mm (each tag is 126 dots x 126 dots, for a total coverage of 148 tags x 105 tags). 15,540 tags of 128 bits per tag gives a compressed tag page size of 0.24 MB.
The multi-layer compressed page image format therefore exploits the relative strengths of lossy JPEG contone image compression, lossiess bi-level text compression, and tag encoding. The format is compact enough to be storage-efficient, and simple enough to allow straightforward real-time expansion during printing.
Since text and images normally don't overlap, the normal worst-case page image size is image only, while the normal best-case page image size is text only. The addition of worst case Netpage tags adds 0.24MB to the page image size. The worst-case page image size is text over image plus tags. The average page size assumes a quarter of an average page contains images. Table 1 shows data sizes for compressed Letter page for these different options.
Table 1. Data sizes for A4 page (8.26 inches x 11.7 inches) 267 ppi contone 320 ppi contone 800 dpi bi-level 1600 dpi bi-level Image only (contone), 10:1 compression 2.63 MB 3.78 MB
ext only (bi-level), 10:1 compression 0.74 MB 2.95 MB
Netpage tags, 1600 dpi 0.24 MB 0.24 MB
orst case (text + image + tags) 3.61 MB 6.67 MB
verage (text + 25% image + tags) 1.64 MB .25 MB
6.2 DOCUMENT DATA FLOW
The Host PC rasterizes and compresses the incoming document on a page by page basis. The page is restructured into bands with one or more bands used to construct a page. The compressed data is then transferred to the SoPEC device via the USB link. A complete band is stored in SoPEC
embedded memory. Once the band transfer is complete the SoPEC device reads the compressed data, expands the band, normalizes contone, bi-level and tag data to 1600 dpi and transfers the resultant calculated dots to the bi-lithic printhead.
The document data flow is = The RIP software rasterizes each page description and compress the rasterized page image.
= The infrared layer of the printed page optionally contains encoded Netpage [5] tags at a programmable density.

= The compressed page image is transferred to the SoPEC device via the USB
normally on a band by band basis.
= The print engine takes the compressed page image and starts the page expansion.
= The first stage page expansion consists of 3 operations performed in parallel = expansion of the JPEG-compressed contone layer = expansion of the SMG4 fax compressed bi-level layer = encoding and rendering of the bi-level tag data.
= The second stage dithers the contone layer using a programmable dither matrix, producing up to four bi-level layers at full-resolution.
= The second stage then composites the bi-level tag data layer, the bi-level SMG4 fax de-compressed layer and up to four bi-level JPEG de-compressed layers into the full-resolution page image.
= A fixative layer is also generated as required.
= The last stage formats and prints the bi-level data through the bi-lithic printhead via the printhead interface.
The SoPEC device can print a full resolution page with 6 color planes. Each of the color planes can be generated from compressed data through any channel (either JPEG compressed, bi-level SMG4 fax compressed, tag data generated, or fixative channel created) with a maximum number of 6 data channels from page RIP to bi-lithic printhead color planes.
The mapping of data channels to color planes is programmable, this allows for multiple color planes in the printhead to map to the same data channel to provide for redundancy in the printhead to assist dead nozzle compensation.
Also a data channel could be used to gate data from another data channel. For example in stencil mode, data from the bilevel data channel at 1600 dpi can be used to filter the contone data channel at 320 dpi, giving the effect of 1600 dpi contone image.
6.3 PAGE CONSIDERATIONS DUE TO SOPEC
The SoPEC device typically stores a complete page of document data on chip.
The amount of storage available for compressed pages is limited to 2Mbytes, imposing a fixed maximum on compressed page size. A comparison of the compressed image sizes in Table 2 indicates that SoPEC would not be capable of printing worst case pages unless they are split into bands and printing commences before all the bands for the page have been downloaded. The page sizes in the table are shown for comparison purposes and would be considered reasonable for a professional level printing system. The SoPEC device is aimed at the consumer level and would not be required to print pages of that complexity. Target document types for the SoPEC device are shown Table 2.

Table 2. Page content targets for SoPEC

JPage Content Description Calculation JSize (MByte) Best Case picture Image, 267ppi with 3 colors,8.26x11.7x267x267x3 1.97 4 size @10:1 Full page text, 800dpi A4 size 8.26x11.7x800x800 @0.74 10:1 Mixed Graphics and Tex 6x4x267x267x3 @ 5:1 1.55 - Image of 6 inches x 4 inches @ 267 ppi and 3800x800x73 @ 10:1 colors - Remaining area text -73 inches2, 800 dpi Best Case Photo, 3 Colors, 6.6 MegaPixel Image 6.6 Mpixel @ 10:1 2.00 If a document with more complex pages is required, the page RIP software in the host PC can determine that there is insufficient memory storage in the SoPEC for that document. In such cases the RIP software can take two courses of action. It can increase the compression ratio until the compressed page size will fit in the SoPEC device, at the expense of document quality, or divide the page into bands and allow SoPEC to begin printing a page band before all bands for that page are downloaded. Once SoPEC starts printing a page it cannot stop, if SoPEC
consumes compressed data faster than the bands can be downloaded a buffer underrun error could occur causing the print to fail. A buffer underrun occurs if a line synchronisation pulse is received before a line of data has been transferred to the printhead.
Other options which can be considered if the page does not fit completely into the compressed page store are to slow the printing or to use multiple SoPECs to print parts of the page. A Storage SoPEC ( Section 7.2.5) could be added to the system to provide guaranteed bandwidth data delivery. The print system could also be constructed using an ISI-Bridge chip (Section 7.2.6) to provide guaranteed data delivery.
7 Memjet Printer Architecture The SoPEC device can be used in several printer configurations and architectures.
In the general sense every SoPEC based printer architecture will contain:
= One or more SoPEC devices.
= One or more bi-lithic printheads.
= Two or more LSS busses.
= Two or more QA chips.
= USB 1.1 connection to host or ISI connection to Bridge Chip.
= ISI bus connection between SoPECs (when multiple SoPECs are used).
Some example printer configurations as outlined in Section 7.2. The various system components are outlined briefly in Section 7.1.
7.1 SYSTEM COMPONENTS
7.1.1 SoPEC Print Engine Controller The SoPEC device contains several system on a chip (SoC) components, as well as the print engine pipeline control application specific logic.

7.1.1.1 Print Engine Pipeline (PEP) Logic The PEP reads compressed page store data from the embedded memory, optionally decompresses the data and formats it for sending to the printhead. The print engine pipeline functionality includes expanding the page image, dithering the contone layer, compositing the black layer over the 5 contone layer, rendering of Netpage tags, compensation for dead nozzles in the printhead, and sending the resultant image to the bi-lithic printhead.
7.1.1.2 Embedded CPU
SoPEC contains an embedded CPU for general purpose system configuration and management.
The CPU performs page and band header processing, motor control and sensor monitoring (via the 10 GPIO) and other system control functions. The CPU can perform buffer management or report buffer status to the host. The CPU can optionally run vendor application specific code for general print control such as paper ready monitoring and LED status update.
7.1.1.3 Embedded Memory Buffer A 2.5Mbyte embedded memory buffer is integrated onto the SoPEC device, of which approximately 15 2Mbytes are available for compressed page store data. A compressed page is divided into one or more bands, with a number of bands stored in memory. As a band of the page is consumed by the PEP for printing a new band can be downloaded. The new band may be for the current page or the next page.
Using banding it is possible to begin printing a page before the complete compressed page is 20 downloaded, but care must be taken to ensure that data is always available for printing or a buffer underrun may occur.
An Storage SoPEC acting as a memory buffer (Section 7.2.5) or an ISI-Bridge chip with attached DRAM (Section 7.2.6) could be used to provide guaranteed data delivery.
7.1.1.4 Embedded USB 1.1 Device 25 The embedded USB 1.1 device accepts compressed page data and control commands from the host PC, and facilitates the data transfer to either embedded memory or to another SoPEC device in multi-SoPEC systems.
7.1.2 Bi-lithic Printhead The printhead is constructed by abutting 2 printhead ICs together. The printhead ICs can vary in 30 size from 2 inches to 8 inches, so to produce an A4 printhead several combinations are possible.
For example two printhead ICs of 7 inches and 3 inches could be used to create a A4 printhead (the notation is 7:3). Similarly 6 and 4 combination (6:4), or 5:5 combination. For an A3 printhead it can be constructed from 8:6 or an 7:7 printhead IC combination. For photographic printing smaller printheads can be constructed.
35 7.1.3 LSS interface bus Each SoPEC device has 2 LSS system buses for communication with QA devices for system authentication and ink usage accounting. The number of QA devices per bus and their position in the system is unrestricted with the exception that PRINTER QA and INK QA
devices should be on separate LSS busses.
40 7.1.4 QA devices Each SoPEC system can have several QA devices. Normally each printing SoPEC
will have an associated PRINTER QA. Ink cartridges will contain an INK QA chip. PRINTER QA
and INK QA
devices should be on separate LSS busses. All QA chips in the system are physically identical with flash memory contents defining PRINTER QA from INK QA chip.
7.1.5 ISI interface The Inter-SoPEC Interface (ISI) provides a communication channel between SoPECs in a multi-SoPEC system. The ISIMaster can be SoPEC device or an ISI-Bridge chip depending on the printer configuration. Both compressed data and control commands are transferred via the interface.
7.1.6 ISI-Bridge Chip A device, other than a SoPEC with a USB connection, which provides print data to a number of slave SoPECs. A bridge chip will typically have a high bandwidth connection, such as USB2.0, Ethernet or IEEE1394, to a host and may have an attached external DRAM for compressed page storage. A bridge chip would have one or more ISI interfaces. The use of multiple ISI buses would allow the construction of independent print systems within the one printer.
The ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to.
7.2 POSSIBLE SOPEC SYSTEMS
Several possible SoPEC based system architectures exist. The following sections outline some possible architectures. It is possible to have extra SoPEC devices in the system used for DRAM
storage. The QA chip configurations shown are indicative of the flexibility of LSS bus architecture, but not limited to those configurations.
7.2.1 A4 Simplex with 1 SoPEC device In Figure 3, a single SoPEC device can be used to control two printhead ICs.
The SoPEC receives compressed data through the USB device from the host. The compressed data is processed and transferred to the printhead.
7.2.2 A4 Duplex with 2 SoPEC devices In Figure 4, two SoPEC devices are used to control two bi-lithic printheads, each with two printhead ICs. Each bi-lithic printhead prints to opposite sides of the same page to achieve duplex printing.
The SoPEC connected to the USB is the ISIMaster SoPEC, the remaining SoPEC is an ISISlave.
The ISIMaster receives all the compressed page data for both SoPECs and re-distributes the compressed data over the Inter-SoPEC Interface (ISI) bus.
It may not be possible to print an A4 page every 2 seconds in this configuration since the USB 1.1 connection to the host may not have enough bandwidth. An alternative would be for each SoPEC to have its own USB 1.1 connection. This would allow a faster average print speed.
7.2.3 A3 Simplex with 2 SoPEC devices In Figure 5, two SoPEC devices are used to control one A3 bi-Iithic printhead.
Each SoPEC controls only one printhead IC (the remaining PHI port typically remains idle). This system uses the SoPEC
with the USB connection as the ISIMaster. In this dual SoPEC configuration the compressed page store data is split across 2 SoPECs giving a total of 4Mbyte page store, this allows the system to use compression rates as in an A4 architecture, but with the increased page size of A3. The ISIMaster receives all the compressed page data for all SoPECs and re-distributes the compressed data over the Inter-SoPEC Interface (ISI) bus.
It may not be possible to print an A3 page every 2 seconds in this configuration since the USB 1.1 connection to the host will only have enough bandwidth to supply 2Mbytes every 2 seconds. Pages which require more than 2MBytes every 2 seconds will therefore print more slowly. An alternative would be for each SoPEC to have its own USB 1.1 connection. This would allow a faster average print speed.
7.2.4 A3 Duplex with 4 SoPEC devices In Figure 6 a 4 SoPEC system is shown. It contains 2 A3 bi-lithic printheads, one for each side of an A3 page. Each printhead contain 2 printhead ICs, each printhead IC is controlled by an independent SoPEC device, with the remaining PHI port typically unused. Again the SoPEC
with USB 1.1 connection is the ISIMaster with the other SoPECs as ISISlaves. In total, the system contains 8Mbytes of compressed page store (2Mbytes per SoPEC), so the increased page size does not degrade the system print quality, from that of an A4 simplex printer. The ISIMaster receives all the compressed page data for all SoPECs and re-distributes the compressed data over the Inter-SoPEC Interface (ISI) bus.
It may not be possible to print an A3 page every 2 seconds in this configuration since the USB 1.1 connection to the host will only have enough bandwidth to supply 2Mbytes every 2 seconds. Pages which require more than 2MBytes every 2 seconds will therefore print more slowly. An alternative would be for each SoPEC or set of SoPECs on the same side of the page to have their own USB
1.1 connection (as ISISlaves may also have direct USB connections to the host). This would allow a faster average print speed.
7.2.5 SoPEC DRAM storage solution: A4 Simplex with I printing SoPEC and 1 memory SoPEC
Extra SoPECs can be used for DRAM storage e.g. in Figure 7 an A4 simplex printer can be built with a single extra SoPEC used for DRAM storage. The DRAM SoPEC can provide guaranteed bandwidth delivery of data to the printing SoPEC. SoPEC configurations can have multiple extra SoPECs used for DRAM storage.
7.2.6 ISI-Bridge chip solution: A3 Duplex system with 4 SoPEC devices In Figure 8, an ISI-Bridge chip provides slave-only ISI connections to SoPEC
devices. Figure 8 shows a ISI-Bridge chip with 2 separate ISI ports. The ISI-Bridge chip is the ISIMaster on each of the ISI busses it is connected to. All connected SoPECs are ISISlaves. The ISI-Bridge chip will typically have a high bandwidth connection to a host and may have an attached external DRAM for compressed page storage.
An alternative to having a ISI-Bridge chip would be for each SoPEC or each set of SoPECs on the same side of a page to have their own USB 1.1 connection. This would allow a faster average print speed.
8 Page Format and Printflow When rendering a page, the RIP produces a page header and a number of bands (a non-blank page requires at least one band) for a page. The page header contains high level rendering parameters, and each band contains compressed page data. The size of the band will depend on the memory available to the RIP, the speed of the RIP, and the amount of memory remaining in SoPEC while printing the previous band(s). Figure 9 shows the high level data structure of a number of pages with different numbers of bands in the page.
Each compressed band contains a mandatory band header, an optional bi-level plane, optional sets of interleaved contone planes, and an optional tag data plane (for Netpage enabled applications).
Since each of these planes is optional', the band header specifies which planes are included with the band. Figure 10 gives a high-level breakdown of the contents of a page band.

A single SoPEC has maximum rendering restrictions as follows:
= 1 bi-level plane = 1 contone interleaved plane set containing a maximum of 4 contone planes = 1 tag data plane = a bi-lithic printhead with a maximum of 2 printhead ICs The requirement for single-sided A4 single SoPEC printing is = average contone JPEG compression ratio of 10:1, with a local minimum compression ratio of 5:1 for a single line of interleaved JPEG blocks.
= average bi-level compression ratio of 10:1, with a local minimum compression ratio of 1:1 for a single line.
If the page contains rendering parameters that exceed these specifications, then the RIP or the Host PC must split the page into a format that can be handled by a single SoPEC.
In the general case, the SoPEC CPU must analyze the page and band headers and generate an appropriate set of register write commands to configure the units in SoPEC for that page. The various bands are passed to the destination SoPEC(s) to locations in DRAM
determined by the host.
The host keeps a memory map for the DRAM, and ensures that as a band is passed to a SoPEC, it is stored in a suitable free area in DRAM. Each SoPEC is connected to the ISl bus or USB bus via its Serial communication Block (SCB). The SoPEC CPU configures the SCB to allow compressed data bands to pass from the USB or ISI through the SCB to SoPEC DRAM. Figure 11 shows an example data flow for a page destined to be printed by a single SoPEC. Band usage information is generated by the individual SoPECs and passed back to the host.

SoPEC has an addressing mechanism that permits circular band memory allocation, thus facilitating easy memory management. However it is not strictly necessary that all bands be stored together.
As long as the appropriate registers in SoPEC are set up for each band, and a given band is contiguous2, the memory can be allocated in any way.
'Although a band must contain at least one plane 2Contiguous allocation also includes wrapping around in SoPEC's band store memory.

8.1 PRINT ENGINE EXAMPLE PAGE FORMAT
This section describes a possible format of compressed pages expected by the embedded CPU in SoPEC. The format is generated by software in the host PC and interpreted by embedded software in SoPEC. This section indicates the type of information in a page format structure, but implementations need not be limited to this format. The host PC can optionally perform the majority of the header processing.
The compressed format and the print engines are designed to allow real-time page expansion during printing, to ensure that printing is never interrupted in the middle of a page due to data underrun.
The page format described here is for a single black bi-level layer, a contone layer, and a Netpage tag layer. The black bi-level layer is defined to composite over the contone layer.
The black bi-level layer consists of a bitmap containing a 1-bit opacity for each pixel. This black layer matte has a resolution which is an integer or non-integer factor of the printer's dot resolution.
The highest supported resolution is 1600 dpi, i.e. the printer's full dot resolution.
The contone layer, optionally passed in as YCrCb, consists of a 24-bit CMY or 32-bit CMYK color for each pixel. This contone image has a resolution which is an integer or non-integer factor of the printer's dot resolution. The requirement for a single SoPEC is to support 1 side per 2 seconds A4/Letter printing at a resolution of 267 ppi, i.e. one-sixth the printer's dot resolution.
Non-integer scaling can be performed on both the contone and bi-level images.
Only integer scaling can be performed on the tag data.
The black bi-level layer and the contone layer are both in compressed form for efficient storage in the printer's internal memory.
8.1.1 Page structure A single SoPEC is able to print with full edge bleed for Letter and A3 via different stitch part combinations of the bi-lithic printhead. It imposes no margins and so has a printable page area which corresponds to the size of its paper. The target page size is constrained by the printable page area, less the explicit (target) left and top margins specified in the page description. These relationships are illustrated below.
8.1.2 Compressed page format Apart from being implicitly defined in relation to the printable page area, each page description is complete and self-contained. There is no data stored separately from the page description to which the page description refers.3 The page description consists of a page header which describes the size and resolution of the page, followed by one or more page bands which describe the actual page content.
8.1.2.1 Page header Table 3 shows an example format of a page header.

3SOPEC relies on dither matrices and tag structures to have already been set up, but these are not considered to be part of a general page format. It is trivial to extend the page format to allow exact specification of dither matrices and tag structures.

Table 3. Page header format ield ormat description signature 16-bit integer Page header format signature.
version 16-bit integer Page header format version number.
structure size 16-bit integer Size of page header.
band count 16-bit integer Number of bands specified for this page.
arget resolution (dpi) 16-bit integer Resolution of target page. This is always 1600 for the Memjet printer.
arget page width 16-bit integer idth of target page, in dots.
arget page height 32-bit integer Height of target page, in dots.
arget left margin for black and 16-bit integer idth of target left margin, in dots, for blac contone and contone.
arget top margin for black and 16-bit integer Height of target top margin, in dots, for black contone and contone.
arget right margin for black and 16-bit integer idth of target right margin, in dots, for black contone and contone.
arget bottom margin for black16-bit integer Height of target bottom margin, in dots, fo and contone black and contone.
arget left margin for tags 16-bit integer idth of target left margin, in dots, for tags.
arget top margin for tags 16-bit integer Height of target top margin, in dots, for tags.
arget right margin for tags 16-bit integer idth of target right margin, in dots, for tags.
arget bottom margin for tags 16-bit integer Height of target bottom margin, in dots, fo ags.
generate tags 16-bit integer Specifies whether to generate tags for this page (0 - no, 1 - yes).
ixed tag data 128-bit integer This is only valid if generate tags is set.
ag vertical scale factor 16-bit integer Scale factor in vertical direction from tag data resolution to target resolution. Valid range =
1-511. Integer scaling only ag horizontal scale factor 16-bit integer Scale factor in horizontal direction from tag data resolution to target resolution. Valid range = 1-511. Integer scaling only.
bi-level layer vertical scale factor 16-bit integer Scale factor in vertical direction from bi-level resolution to target resolution (must be 1 or greater). May be non-integer.
Expressed as a fraction with upper 8-bits the numerator and the lower 8 bits the denominator.

bi-level layer horizontal scale fac-16-bit integer Scale factor in horizontal direction from bi or level resolution to target resolution (must be 1 or greater). May be non-integer. Expressed as a fraction with upper 8-bits the numerato and the lower 8 bits the denominator.
bi-level layer page width 16-bit integer idth of bi-level layer page, in pixels.
bi-level layer page height 32-bit integer Height of bi-level layer page, in pixels.
contone flags 16 bit integer Defines the color conversion that is required or the JPEG data.
Bits 2-0 specify how many contone planes here are (e.g. 3 for CMY and 4 for CMYK).
Bit 3 specifies whether the first 3 color planes need to be converted back from YCrCb to CMY. Only valid if b2-0 = 3 or 4.
0 - no conversion, leave JPEG colors alone 1 - color convert.
Bits 7-4 specifies whether the YCrCb was generated directly from CMY, or whether it as converted to RGB first via the step: R
255-C, G = 255-M, B= 255-Y. Each of the color planes can be individually inverted.
Bit 4:
0 - do not invert color plane 0 1- invert color plane 0 Bit 5:
0 - do not invert color plane I
1- invert color plane 1 Bit 6:
0 - do not invert color plane 2 1- invert color plane 2 Bit 7:
0 - do not invert color plane 3 1- invert color plane 3 Bit 8 specifies whether the contone data is JPEG compressed or non-compressed:
0 - JPEG compressed 1 - non-compressed The remaining bits are reserved (0).
contone vertical scale factor 16-bit integer Scale factor in vertical direction from contone channel resolution to target resolution. Valid range = 1-255. May be non-integer.
Expressed as a fraction with upper 8-bits the numerator and the lower 8 bits the denominator.
contone horizontal scale factor 16-bit integer Scale factor in horizontal direction from contone channel resolution to target resolution. Valid range = 1-255. May be non-integer.
Expressed as a fraction with upper 8-bits the numerator and the lower 8 bits the denominator.
contone page width 16-bit integer idth of contone page, in contone pixels.
contone page height 32-bit integer Height of contone page, in contone pixels.
reserved up to 128 bytes Reserved and 0 pads out page header to multiple of 128 bytes.

The page header contains a signature and version which allow the CPU to identify the page header format. If the signature and/or version are missing or incompatible with the CPU, then the CPU can reject the page.
The contone flags define how many contone layers are present, which typically is used for defining whether the contone layer is CMY or CMYK. Additionally, if the color planes are CMY, they can be optionally stored as YCrCb, and further optionally color space converted from CMY directly or via RGB. Finally the contone data is specified as being either JPEG compressed or non-compressed.
The page header defines the resolution and size of the target page. The bi-level and contone layers are clipped to the target page if necessary. This happens whenever the bi-level or contone scale factors are not factors of the target page width or height.
The target left, top, right and bottom margins define the positioning of the target page within the printable page area.
The tag parameters specify whether or not Netpage tags should be produced for this page and what orientation the tags should be produced at (landscape or portrait mode). The fixed tag data is also provided.
The contone, bi-level and tag layer parameters define the page size and the scale factors.
8.1.2.2 Band format Table 4 shows the format of the page band header.
Table 4. Band header format ield ormat description signature 16-bit integer Page band header format signature.

ersion 16-bit integer Page band header format version number.
structure size 16-bit integer Size of page band header.
bi-level layer band height 16-bit integer Height of bi-level layer band, in black pixels.
bi-level layer band data size 32-bit integer Size of bi-level layer band data, in bytes.
contone band height 16-bit integer Height of contone band, in contone pixels.
contone band data size 32-bit integer Size of contone plane band data, in bytes.
ag band height 16-bit integer Height of tag band, in dots.
ag band data size 32-bit integer Size of unencoded tag data band, in bytes.
Can be 0 which indicates that no tag data is provided.
reserved up to 128 bytes Reserved and 0 pads out band header to multiple of 128 bytes.
The bi-level layer parameters define the height of the black band, and the size of its compressed band data. The variable-size black data follows the page band header.
The contone layer parameters define the height of the contone band, and the size of its compressed page data. The variable-size contone data follows the black data.
The tag band data is the set of variable tag data half-lines as required by the tag encoder. The format of the tag data is found in Section 26.5.2. The tag band data follows the contone data.
Table 5 shows the format of the variable-size compressed band data which follows the page band header.
Table 5. Page band data format ield ormat Description black data Modified G4 facsimile bitstream Compressed bi-level layer.
contone data JPEG bytestream Compressed contone datalayer.
tag data map ag data array ag data format. See Section 26.5.2.
The start of each variable-size segment of band data should be aligned to a 256-bit DRAM word boundary.
The following sections describe the format of the compressed bi-level layers and the compressed contone layer. section 26.5.1 on page 442 describes the format of the tag data structures.
8.1.2.3 Bi-level data compression The (typically 1600 dpi) black bi-level layer is losslessly compressed using Silverbrook Modified Group 4 (SMG4) compression which is a version of Group 4 Facsimile compression [22] without Huffman and with simplified run length encodings. Typically compression ratios exceed 10:1. The encoding are listed in Table 6 and Table 7.
Table 6. Bi-Level group 4 facsimile style compression encodings Encoding Description same as Group 41000 Pass Command: aO ~- b2, skip next two edges 4 See section 8.1.2.3 on page 63 for note regarding the use of this standard Facsimile 1 ertical(0): aO E- b1, color =!color 110 ertical(1): aO F- b1 + 1, color =!color 010 ertical(-1): aO F- b1 - 1, color =!color 110000 ertica!(2): aO <- b1 + 2, color =!co!or 010000 ertical(-2): aO E- b1 - 2, color =!color Unique to this 100000 ertical(3): aO <- b1 + 3, color =!color implementation 000000 ertical(-3): aO +- b1 - 3, color = !color <RL><RL>100 Horizontal: aO F- aO + <RL> + <RL>
SMG4 has a pass through mode to cope with local negative compression. Pass through mode is activated by a special run-length code. Pass through mode continues to either end of line or for a pre-programmed number of bits, whichever is shorter. The special run-length code is always executed as a run-length code, followed by pass through. The pass through escape code is a medium length run-length with a run of less than or equal to 31.
Table 7. Run length (RL) encodings Encoding Description Unique to thisRRRRR1 Short Black Runlength (5 bits) implementation RRRRRI Short White Runlength (5 bits) RRRRRRRRRR10 Medium Black Runlength (10 bits) RRRRRRRR10 Medium White Runlength (8 bits) RRRRRRRRRR10 Medium Black Runlength with RRRRRRRRRR <=
31, Enter pass through RRRRRRRR10 Medium White Runiength with RRRRRRRR <=
31, Enter pass through RRRRRRRRRRRRRRROO Long Black Runlength (15 bits) RRRRRRRRRRRRRRROO Long White Runlength (15 bits) Since the compression is a bitstream, the encodings are read right (least significant bit) to left (most significant bit). The run lengths given as RRRR in Table are read in the same way (least significant bit at the right to most significant bit at the left).
Each band of bi-level data is optionally self contained. The first line of each band therefore is based on a'previous' blank line or the last line of the previous band.
8.1.2.3.1 Group 3 and 4 facsimile compression The Group 3 Facsimile compression algorithm [22] losslessly compresses bi-level data for transmission over slow and noisy telephone lines. The bi-level data represents scanned black text and graphics on a white background, and the algorithm is tuned for this class of images (it is explicitly not tuned, for example, for halftoned bi-level images). The ID
Group 3 algorithm runlength-encodes each scanline and then Huffman-encodes the resulting runlengths. Runlengths in the range 0 to 63 are coded with terminating codes. Runlengths in the range 64 to 2623 are coded with make-up codes, each representing a multiple of 64, followed by a terminating code.
Runlengths exceeding 2623 are coded with multiple make-up codes followed by a terminating code.
5 The Huffman tables are fixed, but are separately tuned for black and white runs (except for make-up codes above 1728, which are common). When possible, the 2D Group 3 algorithm encodes a scanline as a set of short edge deltas (0, 1, 2, 3) with reference to the previous scanline. The delta symbols are entropy-encoded (so that the zero delta symbol is only one bit long etc.) Edges within a 2D-encoded line which can't be delta-encoded are runiength-encoded, and are identified by 10 a prefix. 1 D- and 2D-encoded lines are marked differently. I D-encoded lines are generated at regular intervals, whether actually required or not, to ensure that the decoder can recover from line noise with minimal image degradation. 2D Group 3 achieves compression ratios of up to 6:1 [32].
The Group 4 Facsimile algorithm [22] losslessly compresses bi-level data for transmission over error-free communications lines (i.e. the lines are truly error-free, or error-correction is done at a 15 lower protocol level). The Group 4 algorithm is based on the 2D Group 3 algorithm, with the essential modification that since transmission is assumed to be error-free, 1 D-encoded lines are no longer generated at regular intervals as an aid to error-recovery. Group 4 achieves compression ratios ranging from 20:1 to 60:1 for the CCITT set of test images [32].
The design goals and performance of the Group 4 compression algorithm qualify it as a 20 compression algorithm for the bi-level layers. However, its Huffman tables are tuned to a lower scanning resolution (100-400 dpi), and it encodes runiengths exceeding 2623 awkwardly.
8.1.2.4 Contone data compression The contone layer (CMYK) is either a non-compressed bytestream or is compressed to an interleaved JPEG bytestream. The JPEG bytestream is complete and self-contained. It contains all 25 data required for decompression, including quantization and Huffman tables.
The contone data is optionally converted to YCrCb before being compressed (there is no specific advantage in color-space converting if not compressing). Additionally, the CMY
contone pixels are optionally converted (on an individual basis) to RGB before color conversion using R=255-C, G=255-M, B=255-Y. Optional bitwise inversion of the K plane may also be performed. Note that this 30 CMY to RGB conversion is not intended to be accurate for display purposes, but rather for the purposes of later converting to YCrCb. The inverse transform will be applied before printing.
8.1.2.4.1 JPEG compression The JPEG compression algorithm [27] lossily compresses a contone image at a specified quality level. It introduces imperceptible image degradation at compression ratios below 5:1, and negligible 35 image degradation at compression ratios below 10:1 [33].
JPEG typically first transforms the image into a color space which separates luminance and chrominance into separate color channels. This allows the chrominance channels to be subsampled without appreciable loss because of the human visual system's relatively greater sensitivity to luminance than chrominance. After this first step, each color channel is compressed separately.

The image is divided into 8x8 pixel blocks. Each block is then transformed into the frequency domain via a discrete cosine transform (DCT). This transformation has the effect of concentrating image energy in relatively lower-frequency coefficients, which allows higher-frequency coefficients to be more crudely quantized. This quantization is the principal source of compression in JPEG.
Further compression is achieved by ordering coefficients by frequency to maximize the likelihood of adjacent zero coefficients, and then runlength-encoding runs of zeroes.
Finally, the runlengths and non-zero frequency coefficients are entropy coded. Decompression is the inverse process of compression.
8.1.2.4.2 Non-compressed format If the contone data is non-compressed, it must be in a block-based format bytestream with the same pixel order as would be produced by a JPEG decoder. The bytestream therefore consists of a series of 8x8 block of the original image, starting with the top left 8x8 block, and working horizontally across the page (as it will be printed) until the top rightmost 8x8 block, then the next row of 8x8 blocks (left to right) and so on until the lower row of 8x8 blocks (left to right). Each 8x8 block consists of 64 8-bit pixels for color plane 0 (representing 8 rows of 8 pixels in the order top left to bottom right) followed by 64 8-bit pixels for color plane 1 and so on for up to a maximum of 4 color planes.
If the original image is not a multiple of 8 pixels in X or Y, padding must be present (the extra pixel data will be ignored by the setting of margins).
8.1.2.4.3 Compressed format If the contone data is compressed the first memory band contains JPEG headers (including tables) plus MCUs (minimum coded units). The ratio of space between the various color planes in the JPEG stream is 1:1:1:1. No subsampling is permitted. Banding can be completely arbitrary i.e there can be multiple JPEG images per band or 1 JPEG image divided over multiple bands. The break between bands is only memory alignment based.
8.1.2.4.4 Conversion of RGB to YCrCb (in RIP) YCrCb is defined as per CCIR 601-1 [24] except that Y, Cr and Cb are normalized to occupy all 256 levels of an 8-bit binary encoding and take account of the actual hardware implementation of the inverse transform within SoPEC.
The exact color conversion computation is as follows:
= Y* = (9805/32768)R + (1 9235/32768)G + (3728/32768)B
= Cr* _ (16375/32768)R - (13716/32768)G - (2659/32768)B + 128 = Cb* _ -(5529/32768)R - (10846/32768)G + (1 6375/32768)B + 128 Y, Cr and Cb are obtained by rounding to the nearest integer. There is no need for saturation since ranges of Y*, Cr* and Cb* after rounding are [0-255], [1-255] and [1-255]
respectively. Note that full accuracy is possible with 24 bits. See [14] for more information.
SoPEC ASIC
9 Overview The Small Office Home Office Print Engine Controller (SoPEC) is a page rendering engine ASIC
that takes compressed page images as input, and produces decompressed page images at up to 6 channels of bi-level dot data as output. The bi-level dot data is generated for the Memjet bi-lithic printhead. The dot generation process takes account of printhead construction, dead nozzles, and allows for fixative generation.
A single SoPEC can control 2 bi-lithic printheads and up to 6 color channels at 10,000 lines/sec5, equating to 30 pages per minute. A single SoPEC can perform full-bleed printing of A3, A4 and Letter pages. The 6 channels of colored ink are the expected maximum in a consumer SOHO, or office Bi-lithic printing environment:
= CMY, for regular color printing.
= K, for black text, line graphics and gray-scale printing.
= IR (infrared), for Netpage-enabled [5] applications.
= F (fixative), to enable printing at high speed. Because the bi-fithic printer is capable of printing so fast, a fixative may be required to enable the ink to dry before the page touches the page already printed. Otherwise the pages may bleed on each other. In low speed printing environments the fixative may not be required.
SoPEC is color space agnostic. Although it can accept contone data as CMYX or RGBX, where X is an optional 4th channel, it also can accept contone data in any print color space. Additionally, SoPEC provides a mechanism for arbitrary mapping of input channels to output channels, including combining dots for ink optimization, generation of channels based on any number of other channels etc. However, inputs are typically CMYK for contone input, K for the bi-level input, and the optional Netpage tag dots are typically rendered to an infra-red layer. A fixative channel is typically generated for fast printing applications.
SoPEC is resolution agnostic. It merely provides a mapping between input resolutions and output resolutions by means of scale factors. The expected output resolution is 1600 dpi, but SoPEC
actually has no knowledge of the physical resolution of the Bi-lithic printhead.
SoPEC is page-length agnostic. Successive pages are typically split into bands and downloaded into the page store as each band of information is consumed and becomes free.
SoPEC provides an interface for synchronization with other SoPECs. This allows simple multi-SoPEC solutions for simultaneous A3/A4/Letter duplex printing. However, SoPEC
is also capable of printing only a portion of a page image. Combining synchronization functionality with partial page rendering allows multiple SoPECs to be readily combined for alternative printing requirements including simultaneous duplex printing and wide format printing.
Table 8 lists some of the features and corresponding benefits of SoPEC.
Table 8. Features and Benefits of SoPEC

Feature Benefits Optimised print architecture in 30ppm full page photographic quality color printing from a hardware desktop PC

510,000 lines per second equates to 30 A4/Letter pages per minute at 1600 dpi 0.13micron CMOS High speed (>3 million transistors) Low cost High functionality 900 Million dots per second Extremely fast page generation 10,000 lines per second at 1600 0.5 A4/Letter pages per SoPEC chip per second dpi 1 chip drives up to 133,920 Low cost page-width printers nozzles 1 chip drives up to 6 color planes 99% of SoHo printers can use 1 SoPEC device Integrated DRAM No external memory required, leading to low cost systems Power saving sleep mode SoPEC can enter a power saving sleep mode to reduce power dissipation between print jobs PEG expansion Low bandwidth from PC
Low memory requirements in printer Lossless bitplane expansion High resolution text and line art with low bandwidth from PC
(e.g. over USB) Netpage tag expansion Generates interactive paper Stochastic dispersed dot dither Optically smooth image quality No moire effects Hardware compositor for 6 image Pages composited in real-time planes Dead nozzle compensation Extends printhead life and yield Reduces printhead cost Color space agnostic Compatible with all inksets and image sources including RGB, CMYK, spot, CIE L*a*b*, hexachrome, YCrCbK, sRGB and other Color space conversion Higher quality / lower bandwidth Computer interface USB1.1 interface to host and ISI interface to ISI-Bridge chip hereby allowing connection to IEEE 1394, Bluetooth etc.
Cascadable in resolution Printers of any resolution Cascadable in color depth Special color sets e.g. hexachrome can be used Cascadable in image size Printers of any width up to 16 inches Cascadable in pages Printers can print both sides simultaneously Cascadable in speed Higher speeds are possible by having each SoPEC print one erticaf strip of the page.
Fixative channel data generation Extremely fast ink drying without wastage Built-in security Revenue models are protected Undercolor removal on dot-by-dot Reduced ink usage basis Does not require fonts for high No font substitution or missing fonts speed operation Flexible printhead configuration Many configurations of printheads are supported by one chip ype Drives Bi-lithic printheads directly No print driver chips required, results in lower cost Determines dot accurate ink usage Removes need for physical ink monitoring system in ink cartridges 9.1 PRINTING RATES
The required printing rate for SoPEC is 30 sheets per minute with an inter-sheet spacing of 4 cm.
To achieve a 30 sheets per minute print rate, this requires:
300mm x 63 (dot/mm) / 2 sec = 105.8 seconds per line, with no inter-sheet gap.
340mm x 63 (dot/mm) / 2 sec = 93.3 .seconds per line, with a 4 cm inter-sheet gap.
A printline for an A4 page consists of 13824 nozzles across the page [2]. At a system clock rate of 160 MHz 13824 dots of data can be generated in 86.4 seconds. Therefore data can be generated fast enough to meet the printing speed requirement. It is necessary to deliver this print data to the print-heads.
Printheads can be made up of 5:5, 6:4, 7:3 and 8:2 inch printhead combinations [2]. Print data is transferred to both print heads in a pair simultaneously. This means the longest time to print a line is determined by the time to transfer print data to the longest print segment.
There are 9744 nozzles across a 7 inch printhead. The print data is transferred to the printhead at a rate of 106 MHz (2/3 of the system clock rate) per color plane. This means that it will take 91.9 s to transfer a single line for a 7:3 printhead configuration. So we can meet the requirement of 30 sheets per minute printing with a 4 cm gap with a 7:3 printhead combination. There are 11160 across an 8 inch printhead. To transfer the data to the printhead at 106 MHz will take 105.3 ps. So an 8:2 printhead combination printing with an inter-sheet gap will print slower than 30 sheets per minute.
9.2 SOPEC BASIC ARCHITECTURE
From the highest point of view the SoPEC device consists of 3 distinct subsystems = CPU Subsystem = DRAM Subsystem = Print Engine Pipeline (PEP) Subsystem See Figure 13 for a block level diagram of SoPEC.
9.2.1 CPU Subsystem The CPU subsystem controls and configures all aspects of the other subsystems.
It provides general support for interfacing and synchronising the external printer with the internal print engine. It also controls the low speed communication to the QA chips. The CPU subsystem contains various peripherals to aid the CPU, such as GPIO (includes motor control), interrupt controller, LSS Master and general timers. The Serial Communications Block (SCB) on the CPU subsystem provides a full speed USB1.1 interface to the host as well as an Inter SoPEC Interface (ISI) to other SoPEC devices.
9.2.2 DRAM Subsystem The DRAM subsystem accepts requests from the CPU, Serial Communications Block (SCB) and blocks within the PEP subsystem. The DRAM subsystem (in particular the DIU) arbitrates the various requests and determines which request should win access to the DRAM.
The DIU arbitrates based on configured parameters, to allow sufficient access to DRAM for all requestors. The DIU
5 also hides the implementation specifics of the DRAM such as page size, number of banks, refresh rates etc.
9.2.3 Print Engine Pipeline (PEP) subsystem The Print Engine Pipeline (PEP) subsystem accepts compressed pages from DRAM
and renders them to bi-level dots for a given print line destined for a printhead interface that communicates 10 directly with up to 2 segments of a bi-lithic printhead.
The first stage of the page expansion pipeline is the CDU, LBD and TE. The CDU
expands the JPEG-compressed contone (typically CMYK) layer, the LBD expands the compressed bi-level layer (typically K), and the TE encodes Netpage tags for later rendering (typically in IR or K ink). The output from the first stage is a set of buffers: the CFU, SFU, and TFU. The CFU and SFU buffers 15 are implemented in DRAM.
The second stage is the HCU, which dithers the contone layer, and composites position tags and the bi-level spotO layer over the resulting bi-level dithered layer. A number of options exist for the way in which compositing occurs. Up to 6 channels of bi-level data are produced from this stage.
Note that not all 6 channels may be present on the printhead. For example, the printhead may be 20 CMY only, with K pushed into the CMY channels and IR ignored.
Alternatively, the position tags may be printed in K if IR ink is not available (or for testing purposes).
The third stage (DNC) compensates for dead nozzles in the printhead by color redundancy and error diffusing dead nozzle data into surrounding dots.
The resultant bi-level 6 channel dot-data (typically CMYK-IRF) is buffered and written out to a set of 25 line buffers stored in DRAM via the DWU.
Finally, the dot-data is loaded back from DRAM, and passed to the printhead interface via a dot FIFO. The dot FIFO accepts data from the LLU at the system clock rate (pcik), while the PHI
removes data from the FIFO and sends it to the printhead at a rate of 2/3 times the system clock rate (see Section 9.1).
9.3 SOPEC BLOCK DESCRIPTION
Looking at Figure 13, the various units are described here in summary form:
Table 9. Units within SoPEC

Subsystem Unit Unit Name Description cronym DRAM DIU DRAM interface unit Provides the interface for DRAM read and write access for the various SoPEC units, CPU and the SCB block. The DIU provides arbitration between competing units controls DRAM

access.
DRAM Embedded DRAM 20Mbits of embedded DRAM, CPU CPU Central Processing CPU for system configuration and control Unit MMU Memory Management Limits access to certain memory address areas Unit in CPU user mode RDU Real-time Debug Unit Facilitates the observation of the contents of most of the CPU addressable registers in SoPEC in addition to some pseudo-registers in realtime.
IM General Timer Contains watchdog and general system timers LSS Low Speed Serial Low level controller for interfacing with the QA
Interfaces chips GPIO General Purpose IOs General IO controller, with built-in Motor control unit, LED pulse units and de-glitch circuitry ROM Boot ROM 16 KBytes of System Boot ROM code ICU Interrupt Controller General Purpose interrupt controller with Unit configurable priority, and masking.
CPR Clock, Power and Central Unit for controlling and generating the Reset block system clocks and resets and powerdown mechanisms PSS Power Save Storage Storage retained while system is powered down USB Universal Serial Bus USB device controller for interfacing with the Device host USB.
ISI Inter-SoPEC Interface ISI controller for data and control communication with other SoPEC's in a multi-SoPEC system SCB Serial Communication Contains both the USB and ISI blocks.
Block Print Engine PCU PEP controller Provides external CPU with the means to read Pipeline and write PEP Unit registers, and read and (PEP) write DRAM in single 32-bit chunks.
CDU Contone decoder unit Expands JPEG compressed contone layer and writes decompressed contone to DRAM
CFU Contone FIFO Unit Provides line buffering between CDU and HCU
LBD Lossless Bi-level Expands compressed bi-level layer.
Decoder SFU Spot FIFO Unit Provides line buffering between LBD and HCU
E ag encoder Encodes tag data into line of tag dots.

FU ag FIFO Unit Provides tag data storage between TE and HCU
HCU Halftoner compositor Dithers contone layer and composites the bi-unit level spot 0 and position tag dots.
DNC Dead Nozzle Compensates for dead nozzles by color Compensator redundancy and error diffusing dead nozzle data into surrounding dots.
DWU Dotline Writer Unit rites out the 6 channels of dot data for a given printline to the line store DRAM
LLU Line Loader Unit Reads the expanded page image from line store, formatting the data appropriately for the bi-lithic printhead.
PHI PrintHead Interface Is responsible for sending dot data to the bi-lithic printheads and for providing line synchronization between multiple SoPECs.
Iso provides test interface to printhead such as temperature monitoring and Dead Nozzle Identification.

9.4 ADDRESSING SCHEME IN SOPEC
SoPEC must address = 20 Mbit DRAM.
= PCU addressed registers in PEP.
= CPU-subsystem addressed registers.
SoPEC has a unified address space with the CPU capable of addressing all CPU-subsystem and PCU-bus accessible registers (in PEP) and all locations in DRAM. The CPU
generates byte-aligned addresses for the whole of SoPEC.
22 bits are sufficient to byte address the whole SoPEC address space.
9.4.1 DRAM addressing scheme The embedded DRAM is composed of 256-bit words. However the CPU-subsystem may need to write individual bytes of DRAM. Therefore it was decided to make the DIU byte addressable. 22 bits are required to byte address 20 Mbits of DRAM.
Most blocks read or write 256-bit words of DRAM. Therefore only the top 17 bits i.e. bits 21 to 5 are required to address 256-bit word aligned locations.
The exceptions are = CDU which can write 64-bits so only the top 19 address bits i.e. bits 21-3 are required.
= The CPU-subsystem always generates a 22-bit byte-aligned DIU address but it will send flags to the DIU indicating whether it is an 8, 16 or 32-bit write.
AII DIU accesses must be within the same 256-bit aligned DRAM word.
9.4.2 PEP Unit DRAM addressing PEP Unit configuration registers which specify DRAM locations should specify 256-bit aligned DRAM addresses i.e. using address bits 21:5. Legacy blocks from PEC1 e.g. the LBD and TE may need to specify 64-bit aligned DRAM addresses if these reused blocks DRAM
addressing is difficult to modify. These 64-bit aligned addresses require address bits 21:3. However, these 64-bit aligned addresses should be programmed to start at a 256-bit DRAM word boundary.
Unlike PEC1, there are no constraints in SoPEC on data organization in DRAM
except that all data structures must start on a 256-bit DRAM boundary. If data stored is not a multiple of 256-bits then the last word should be padded.
9.4.3 CPU subsystem bus addressed registers The CPU subsystem bus supports 32-bit word aligned read and write accesses with variable access timings. See section 11.4 for more details of the access protocol used on this bus. The CPU
subsystem bus does not currently support byte reads and writes but this can be added at a later date if required by imported IP.
9.4.4 PCU addressed registers in PEP
The PCU only supports 32-bit register reads and writes for the PEP blocks. As the PEP blocks only occupy a subsection of the overall address map and the PCU is explicitly selected by the MMU
when a PEP block is being accessed the PCU does not need to perform a decode of the higher-order address bits. See Table 11 for the PEP subsystem address map.
9.5 SoPEC MEMORY MAP
9.5.1 Main memory map The system wide memory map is shown in Figure 14 below. The memory map is discussed in detail in Section 11 11 Central Processing Unit (CPU).
9.5.2 CPU-bus peripherals address map The address mapping for the peripherals attached to the CPU-bus is shown in Table 10 below. The MMU performs the decode of cpu adr[21:12] to generate the relevant cpu block select signal for each block. The addressed blocks decode however many of the lower order bits of cpu adr[11:2]
are required to address all the registers within the block.
Table 10. CPU-bus peripherals address map Block_base ddress ROM_base Ox0000_0000 MMU_base Ox0001_0000 IM base Ox0001 1000 LSS_base Ox0001_2000 GPIO_base Ox0001_3000 SCB base Ox0001 4000 ICU_base Ox0001_5000 CPR_base Ox0001 6000 DIU base Ox0001 7000 PSS_base 0x0001_8000 Reserved 0x0001_9000 to Ox0001_FFFF
PCU base 0x0002 0000 to 0x0002 BFFF
9.5.3 PCU Mapped Registers (PEP blocks) address map The PEP blocks are addressed via the PCU. From Figure 14, the PCU mapped registers are in the range 0x0002_0000 to 0x0002_BFFF. From Table 11 it can be seen that there are 12 sub-blocks within the PCU address space. Therefore, only four bits are necessary to address each of the sub-blocks within the PEP part of SoPEC. A further 12 bits may be used to address any configurable register within a PEP block. This gives scope for 1024 configurable registers per sub-block (the PCU mapped registers are all 32-bit addressed registers so the upper 10 bits are required to individually address them). This address will come either from the CPU or from a command stored in DRAM. The bus is assembled as follows:
= address[15:12] = sub-block address, = address[n:2] = register address within sub-block, only the number of bits required to decode the registers within each sub-block are used, = address[1:0] = byte address, unused as PCU mapped registers are all 32-bit addressed registers.
So for the case of the HCU, its addresses range from 0x7000 to Ox7FFF within the PEP subsystem or from 0x0002_7000 to 0x0002_7FFF in the overall system.
Table 11. PEP blocks address map Block_base ddress PCU_base 0x0002_0000 CDU_base 0x0002_1000 CFU_base 0x0002_2000 LBD_base 0x0002_3000 SFU_base 0x0002 4000 E_base 0x0002_5000 FU_base 0x0002_6000 HCU_base 0x00027000 DNC_base 0x0002_8000 DW U_base 0x0002 9000 LLU_base 0x0002_A000 PHI base 0x0002 B000 to 0x0002 BFFF
9.6 BUFFER MANAGEMENT IN SOPEC
As outlined in Section 9.1, SoPEC has a requirement to print 1 side every 2 seconds i.e. 30 sides per minute.
9.6.1 Page buffering Approximately 2 Mbytes of DRAM are reserved for compressed page buffering in SoPEC. If a page is compressed to fit within 2 Mbyte then a complete page can be transferred to DRAM before printing. However, the time to transfer 2 Mbyte using USB 1.1 is approximately 2 seconds. The .worst case cycle time to print a page then approaches 4 seconds. This reduces the worst-case print 5 speed to 15 pages per minute.
9.6.2 Band buffering The SoPEC page-expansion blocks support the notion of page banding. The page can be divided into bands and another band can be sent down to SoPEC while we are printing the current band.
Therefore we can start printing once at least one band has been downloaded.
10 The band size granularity should be carefully chosen to allow efficient use of the USB bandwidth and DRAM buffer space. It should be small enough to allow seamless 30 sides per minute printing but not so small as to introduce excessive CPU overhead in orchestrating the data transfer and parsing the band headers. Band-finish interrupts have been provided to notify the CPU of free buffer space. It is likely that the host PC will supervise the band transfer and buffer management instead 15 of the SoPEC CPU.
If SoPEC starts printing before the complete page has been transferred to memory there is a risk of a buffer underrun occurring if subsequent bands are not transferred to SoPEC
in time e.g. due to insufficient USB bandwidth caused by another USB peripheral consuming USB
bandwidth. A buffer underrun occurs if a line synchronisation pulse is received before a line of data has been 20 transferred to the printhead and causes the print job to fail at that line.
If there is no risk of buffer underrun then printing can safely start once at least one band has been downloaded.
If there is a risk of a buffer underrun occurring due to an interruption of compressed page data transfer, then the safest approach is to only start printing once we have loaded up the data for a complete page. This means that a worst case latency in the region of 2 seconds (with USB1.1) will 25 be incurred before printing the first page. Subsequent pages will take 2 seconds to print giving us the required sustained printing rate of 30 sides per minute.
A Storage SoPEC (Section 7.2.5) could be added to the system to provide guaranteed bandwidth data delivery. The print system could also be constructed using an ISI-Bridge chip (Section 7.2.6) to provide guaranteed data delivery.
30 The most efficient page banding strategy is likely to be determined on a per page/ print job basis and so SoPEC will support the use of bands of any size.
10 SoPEC Use Cases 10.1 INTRODUCTION
This chapter is intended to give an overview of a representative set of scenarios or use cases which 35 SoPEC can perform. SoPEC is by no means restricted to the particular use cases described and not every SoPEC system is considered here.
In this chapter we discuss SoPEC use cases under four headings:
1) Normal operation use cases.
2) Security use cases.
40 3) Miscellaneous use cases.

4) Failure mode use cases.
Use cases for both single and multi-SoPEC systems are outlined.
Some tasks may be composed of a number of sub-tasks.
The realtime requirements for SoPEC software tasks are discussed in " 11 Central Processing Unit (CPU)" under Section 11.3 Realtime requirements.
10.2 NORMAL OPERATION IN A SINGLE SOPEC SYSTEM WITH USB HOST CONNECTION
SoPEC operation is broken up into a number of sections which are outlined below. Buffer management in a SoPEC system is normally performed by the host.
10.2.1 Powerup Powerup describes SoPEC initialisation following an external reset or the watchdog timer system reset.
A typical powerup sequence is:
1) Execute reset sequence for complete SoPEC.
2) CPU boot from ROM.
3) Basic configuration of CPU peripherals, SCB and DIU. DRAM initialisation.
USB Wakeup.
4) Download and authentication of program (see Section 10.5.2).
5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
7) Download and authenticate any further datasets.
10.2.2 USB wakeup The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR
block (chapter 16). Normaily the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled. In a single SoPEC system, wakeup can be initiated following a USB reset from the SCB.
A typical USB wakeup sequence is:
1) Execute reset sequence for sections of SoPEC in sleep mode.
2) CPU boot from ROM, if CPU-subsystem was in sleep mode.
3) Basic configuration of CPU peripherals and DIU, and DRAM initialisation, if required.
4) Download and authentication of program using results in Power-Safe Storage (PSS) (see Section 10.5.2).
5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
7) Download and authenticate using results in PSS of any further datasets (programs).
10.2.3 Print initialization This sequence is typically performed at the start of a print job following powerup or wakeup:
1) Check amount of ink remaining via QA chips.
2) Download static data e.g. dither matrices, dead nozzle tables from host to DRAM.

3) Check printhead temperature, if required, and configure printhead with firing pulse profile etc.
accordingly.
4) Initiate printhead pre-heat sequence, if required.
10.2.4 First page download Buffer management in a SoPEC system is normally performed by the host.
First page, first band download and processing:
1) The host communicates to the SoPEC CPU over the USB to check that DRAM
space remaining is sufficient to download the first band.
2) The host downloads the first band (with the page header) to DRAM.
3) When the complete page header has been downloaded the SoPEC CPU processes the page header, calculates PEP register commands and writes directly to PEP registers or to DRAM.
4) If PEP register commands have been written to DRAM, execute PEP commands from DRAM via PCU.
Remaining bands download and processing:
1) Check DRAM space remaining is sufficient to download the next band.
2) Download the next band with the band header to DRAM.
3) When the complete band header has been downloaded, process the band header according to whichever band-related register updating mechanism is being used.
10.2.5 Start printing 1) Wait until at least one band of the first page has been downloaded.
One approach is to only start printing once we have loaded up the data for a complete page.
If we start printing before the complete page has been transferred to memory we run the risk of a buffer underrun occurring because compressed page data was not transferred to SoPEC
in time e.g. due to insufficient USB bandwidth caused by another USB
peripheral consuming USB bandwidth.
2) Start all the PEP Units by writing to their Go registers, via PCU commands executed from DRAM or direct CPU writes. A rapid startup order for the PEP units is outlined in Table 12.
Table 12. Typical PEP Unit startup order for printing a page.

Step# Unit PHI

6 CFU, SFU, TFU

8 E, LBD
3) Print ready interrupt occurs (from PHI).

4) Start motor control, if first page, otherwise feed the next page. This step could occur before the print ready interrupt.
5) Drive LEDs, monitor paper status.
6) Wait for page alignment via page sensor(s) GPIO interrupt.
7) CPU instructs PHI to start producing line syncs and hence commence printing, or wait for an external device to produce line syncs.
8) Continue to download bands and process page and band headers for next page.
10.2.6 Next page(s) download As for first page download, performed during printing of current page.
10.2.7 Between bands When the finished band flags are asserted band related registers in the CDU, LBD, TE need to be re-programmed before the subsequent band can be printed. This can be via PCU
commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed.
These registers can also be reprogrammed directly by the CPU or most likely by updating from shadow registers.
The finished band flag interrupts the CPU to tell the CPU that the area of memory associated with the band is now free.
10.2.8 During page print Typically during page printing ink usage is communicated to the QA chips.
1) Calculate ink printed (from PHI).
2) Decrement ink remaining (via QA chips).
3) Check amount of ink remaining (via QA chips). This operation may be better performed while the page is being printed rather than at the end of the page.
10.2.9 Page finish These operations are typically performed when the page is finished:
1) Page finished interrupt occurs from PHI.
2) Shutdown the PEP blocks by de-asserting their Go registers. A typical shutdown order is defined in Table 13. This will set the PEP Unit state-machines to their idle states without resetting their configuration registers.
3) Communicate ink usage to QA chips, if required.
Table 13. End of page shutdown order for PEP Units.
Step# Unit I PHI (will shutdown by itself in the normal case at the end of a page) 2 DWU (shutting this down stalls the DNC and therefore the HCU and above) 3 LLU (should already be halted due to PHI at end of last line of page) TE (thiis the only dot supplier likely to be running, halted by the HCU) 5 CDU (this is likely to already be halted due to end of contone band) 6 CFU, SFU, TFU, LBD (order unimportant, and should already be halted due to end of band) 7 HCU, DNC (order unimportant, should already have halted) 10.2.10 Start of next page These operations are typically performed before printing the next page:
1) Re-program the PEP Units via PCU command processing from DRAM based on page header.
2) Go to Start printing.
10.2.11 End of document 1) Stop motor control.
10.2.12 Sleep mode The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR
block described in Section 16.
1) Instruct host PC via USB that SoPEC is about to sleep.
2) Store reusable authentication results in Power-Safe Storage (PSS).
3) Put SoPEC into defined sleep mode.
10.3 NORMAL OPERATION IN A MULTI-SOPEC SYSTEM - ISIMASTER SOPEC
In a multi-SoPEC system the host generally manages program and compressed page download to all the SoPECs. Inter-SoPEC communication is over the ISI link which will add a latency.
In the case of a multi-SoPEC system with just one USB 1.1 connection, the SoPEC with the USB
connection is the ISIMaster. The ISI-bridge chip is the ISIMaster in the case of an ISI-Bridge SoPEC
configuration. While it is perfectly possible for an ISISlave to have a direct USB connection to the host we do not treat this scenario explicitly here to avoid possible confusion.
In a multi-SoPEC system one of the SoPECs will be the PrintMaster. This SoPEC
must manage and control sensors and actuators e.g. motor control. These sensors and actuators could be distributed over all the SoPECs in the system. An ISIMaster SoPEC may also be the PrintMaster SoPEC.
In a multi-SoPEC system each printing SoPEC will generally have its own PRINTER_QA chip (or at least access to a PRINTER QA chip that contains the SoPEC's SOPEC_id_key) to validate operating parameters and ink usage. The results of these operations may be communicated to the PrintMaster SoPEC.
In general the ISIMaster may need to be able to:
= Send messages to the ISISlaves which will cause the ISISlaves to send their status to the ISIMaster.
= Instruct the ISISlaves to perform certain operations.
As the ISI is an insecure interface commands issued over the ISI are regarded as user mode commands. Supervisor mode code running on the SoPEC CPUs will allow or disallow these commands. The software protocol needs to be constructed with this in mind.
The ISIMaster will initiate all communication with the ISISlaves.
SoPEC operation is broken up into a number of sections which are outlined below.
10.3.1 Powerup Powerup describes SoPEC initialisation following an external reset or the watchdog timer system reset.
1) Execute reset sequence for complete SoPEC.
2) CPU boot from ROM.
5 3) Basic configuration of CPU peripherals, SCB and DIU. DRAM initialisation USB Wakeup 4) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless the SoPEC CPU has explicitly disabled this function).
5) Download and authentication of program (see Section 10.5.3).
6) Execution of program from DRAM.
10 7) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
8) Download and authenticate any further datasets (programs).
9) The initial dataset may be broadcast to all the ISISlaves.
10) ISIMaster master SoPEC then waits for a short time to allow the authentication to take place on the ISISIave SoPECs.
15 11) Each ISISlave SoPEC is polled for the result of its program code authentication process.
12) If all ISISlaves report successful authentication the OEM code module can be distributed and authenticated. OEM code will most likely reside on one SoPEC.
10.3.2 USB wakeup The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR
20 block [16]. Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled. For an ISIMaster SoPEC connected to the host via USB, wakeup can be initiated following a USB reset from the SCB.
25 A typical USB wakeup sequence is:
1) Execute reset sequence for sections of SoPEC in sleep mode.
2) CPU boot from ROM, if CPU-subsystem was in sleep mode.
3) Basic configuration of CPU peripherals and DIU, and DRAM initialisation, if required.
4) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless 30 the SoPEC CPU has explicitly disabled this function).
5) Download and authentication of program using results in Power-Safe Storage (PSS) (see Section 10.5.3).
6) Execution of program from DRAM.
7) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
35 8) Download and authenticate any further datasets (programs) using results in Power-Safe Storage (PSS) (see Section 10.5.3).
9) Following steps as per Powerup.
10.3.3 Print initialization This sequence is typically performed at the start of a print job following powerup or wakeup:
40 1) Check amount of ink remaining via QA chips which may be present on a ISISlave SoPEC.

2) Download static data e.g. dither matrices, dead nozzle tables from host to DRAM.
3) Check printhead temperature, if required, and configure printhead with firing pulse profile etc.
accordingly. Instruct ISISlaves to also perform this operation.
4) Initiate printhead pre-heat sequence, if required. Instruct ISISlaves to also perform this operation 10.3.4 First page download Buffer management in a SoPEC system is normally performed by the host.
1) The host communicates to the SoPEC CPU over the USB to check that DRAM
space remaining is sufficient to download the first band.
2) The host downloads the first band (with the page header) to DRAM.
3) When the complete page header has been downloaded the SoPEC CPU processes the page header, calculates PEP register commands and write directly to PEP registers or to DRAM.
4) If PEP register commands have been written to DRAM, execute PEP commands from DRAM
via PCU.
Poll ISISlaves for DRAM status and download compressed data to ISISlaves.
Remaining first page bands download and processing:
1) Check DRAM space remaining is sufficient to download the next band.
2) Download the next band with the band header to DRAM.
3) When the complete band header has been downloaded, process the band header according to whichever band-related register updating mechanism is being used.
Poll ISISlaves for DRAM status and download compressed data to ISISlaves.
10.3.5 Start printing 1) Wait until at least one band of the first page has been downloaded.
2) Start all the PEP Units by writing to their Go registers, via PCU commands executed from DRAM or direct CPU writes, in the suggested order defined in Table .
3) Print ready interrupt occurs (from PHI). Poll ISISlaves until print ready interrupt.
4) Start motor control (which may be on an ISISlave SoPEC), if first page, otherwise feed the next page. This step could occur before the print ready interrupt.
5) Drive LEDS, monitor paper status (which may be on an ISISlave SoPEC).
6) Wait for page alignment via page sensor(s) GPIO interrupt (which may be on an ISISlave SoPEC).
7) If the LineSyncMaster is a SoPEC its CPU instructs PHI to start producing master line syncs.
Otherwise wait for an external device to produce line syncs.
8) Continue to download bands and process page and band headers for next page.
10.3.6 Next page(s) download As for first page download, performed during printing of current page.
10.3.7 Between bands When the finished band flags are asserted band related registers in the CDU, LBD and TE need to be re-programmed. This can be via PCU commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed. These registers can also be reprogrammed directly by the CPU or by updating from shadow registers. The finished band flag interrupts to the CPU, tell the CPU that the area of memory associated with the band is now free.
10.3.8 During page print Typically during page printing ink usage is communicated to the QA chips.
1) Calculate ink printed (from PHI).
2) Decrement ink remaining (via QA chips).
3) Check amount of ink remaining (via QA chips). This operation may be better performed while the page is being printed rather than at the end of the page.
10.3.9 Page finish These operations are typically performed when the page is finished:
1) Page finished interrupt occurs from PHI. Poll ISISlaves for page finished interrupts.
2) Shutdown the PEP blocks by de-asserting their Go registers in the suggested order in Table . This will set the PEP Unit state-machines to their startup states.
3) Communicate ink usage to QA chips, if required.
10.3.10 Start of next page These operations are typically performed before printing the next page:
1) Re-program the PEP Units via PCU command processing from DRAM based on page header.
2) Go to Start printing.
10.3.11 End of document 1) Stop motor control. This may be on an ISISlave SoPEC.
10.3.12 Sleep mode The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR
block [16]. This may be as a result of a command from the host or as a result of a timeout.
1) Inform host PC of which parts of SoPEC system are about to sleep.
2) Instruct ISISlaves to enter sleep mode.
3) Store reusable cryptographic results in Power-Safe Storage (PSS).
4) Put ISIMaster SoPEC into defined sleep mode.
10.4 NORMAL OPERATION IN A MULTI-SOPEC SYSTEM - ISISLAVE SOPEC
This section the outline typical operation of an ISISlave SoPEC in a multi-SoPEC system. The ISIMaster can be another SoPEC or an ISI-Bridge chip. The ISISlave communicates with the host either via the ISIMaster or using a direct connection such as USB. For this use case we consider only an ISISlave that does not have a direct host connection. Buffer management in a SoPEC
system is normally performed by the host.
10.4.1 Powerup Powerup describes SoPEC initialisation following an external reset or the watchdog timer system reset.
A typical powerup sequence is:
1) Execute reset sequence for complete SoPEC.
2) CPU boot from ROM.

3) Basic configuration of CPU peripherals, SCB and DIU. DRAM initialisation.
4) Download and authentication of program (see Section 10.5.3).
5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
7) SoPEC identification by sampling GPIO pins to determine ISIld. Communicate ISIld to ISIMaster.
8) Download and authenticate any further datasets.
10.4.2 ISI wakeup *
The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR
block [16]. Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled. In an ISISlave SoPEC, wakeup can be initiated following an ISI
reset from the SCB.
A typical ISI wakeup sequence is:
1) Execute reset sequence for sections of SoPEC in sleep mode.
2) CPU boot from ROM, if CPU-subsystem was in sleep mode.
3) Basic configuration of CPU peripherals and DIU, and DRAM initialisation, if required.
4) Download and authentication of program using results in Power-Safe Storage (PSS) (see Section 10.5.3).
5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER QA and authenticate operating parameters.
7) SoPEC identification by sampling GPIO pins to determine ISIId. Communicate ISIld to ISIMaster.
8) Download and authenticate any further datasets.
10.4.3 Print initialization This sequence is typically performed at the start of a print job following powerup or wakeup:
1) Check amount of ink remaining via QA chips.
2) Download static data e.g. dither matrices, dead nozzle tables from ISI to DRAM.
3) Check printhead temperature, if required, and configure printhead with firing pulse profile etc.
accordingly.
4) Initiate printhead pre-heat sequence, if required.
10.4.4 First page download Buffer management in a SoPEC system is normally performed by the host via the ISI.
1) Check DRAM space remaining is sufficient to download the first band.
2) The host downloads the first band (with the page header) to DRAM via the ISI.
3) When the complete page header has been downloaded, process the page header, calculate PEP register commands and write directly to PEP registers or to DRAM.
4) If PEP register commands have been written to DRAM, execute PEP commands from DRAM
via PCU.
Remaining first page bands download and processing:

1) Check DRAM space remaining is sufficient to download the next band.
2) The host downloads the first band (with the page header) to DRAM via the ISI.
3) When the complete band header has been downloaded, process the band header according to whichever band-related register updating mechanism is being used.
10.4.5 Start printing 1) Wait until at least one band of the first page has been downloaded.
2) Start all the PEP Units by writing to their Go registers, via PCU commands executed from DRAM or direct CPU writes, in the order defined in Table .
3) Print ready interrupt occurs (from PHI). Communicate to PrintMaster via ISI.
4) Start motor control, if attached to this ISISlave, when requested by PrintMaster, if first page, otherwise feed next page. This step could occur before the print ready interrupt 5) Drive LEDS, monitor paper status, if on this ISISlave SoPEC, when requested by PrintMaster 6) Wait for page alignment via page sensor(s) GPIO interrupt, if on this ISISlave SoPEC, and send to PrintMaster.
7) Wait for line sync and commence printing.
8) Continue to download bands and process page and band headers for next page.
10.4.6 Next page(s) download As for first band download, performed during printing of current page.
10.4.7 Between bands When the finished band flags are asserted band related registers in the CDU, LBD and TE need to be re-programmed. This can be via PCU commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed. These registers can also be reprogrammed directly by the CPU or by updating from shadow registers. The finished band flag interrupts to the CPU tell the CPU that the area of memory associated with the band is now free.
10.4.8 During page print Typically during page printing ink usage is communicated to the QA chips.
1) Calculate ink printed (from PHI).
2) Decrement ink remaining (via QA chips).
3) Check amount of ink remaining (via QA chips). This operation may be better performed while the page is being printed rather than at the end of the page.
10.4.9 Page finish These operations are typically performed when the page is finished:
1) Page finished interrupt occurs from PHI. Communicate page finished interrupt to PrintMaster.
2) Shutdown the PEP blocks by de-asserting their Go registers in the suggested order in Table =35 . This will set the PEP Unit state-machines to their startup states.
3) Communicate ink usage to QA chips, if required.
10.4.10 Startof next page These operations are typically performed before printing the next page:
1) Re-program the PEP Units via PCU command processing from DRAM based on page header.

2) Go to Start printing.
10.4.11 End of document Stop motor control, if attached to this ISISlave, when requested by PrintMaster.
10.4.12 Powerdown 5 In this mode SoPEC is no longer powered.
1) Powerdown ISISlave SoPEC when instructed by ISIMaster.
10.4.13 Sleep The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR
block [16]. This may be as a result of a command from the host or ISIMaster or as a result of a 10 timeout.
1) Store reusable cryptographic results in Power-Safe Storage (PSS).
2) Put SoPEC into defined sleep mode.
10.5 SECURITY USE CASES
Please see the `SoPEC Security Overview' 19] document for a more complete description of SoPEC
15 security issues. The SoPEC boot operation is described in the ROM chapter of the SoPEC
hardware design specification, Section 17.2.
10.5.1 Communication with the QA chips Communication between SoPEC and the QA chips (i.e. INK_QA and PRINTER_QA) will take place on at least a per power cycle and per page basis. Communication with the QA
chips has three 20 principal purposes: validating the presence of genuine QA chips (i.e the printer is using approved consumables), validation of the amount of ink remaining in the cartridge and authenticating the operating parameters for the printer. After each page has been printed, SoPEC
is expected to communicate the number of dots fired per ink plane to the QA chipset. SoPEC
may also initiate decoy communications with the QA chips from time to time.
25 Process:
= When validating ink consumption SoPEC is expected to principally act as a conduit between the PRINTER_QA and INK QA chips and to take certain actions (basically enable or disable printing and report status to host PC) based on the result. The communication channels are insecure but all traffic is signed to guarantee authenticity.
30 Known Weaknesses = All communication to the QA chips is over the LSS interfaces using a serial communication protocol. This is open to observation and so the communication protocol could be reverse engineered. In this case both the PRINTER_QA and INK QA chips could be replaced by impostor devices (e.g. a single FPGA) that successfully emulated the communication 35 protocol. As this would require physical modification of each printer this is considered to be an acceptably low risk. Any messages that are not signed by one of the symmetric keys (such as the SoPEC_id_key) could be reverse engineered. The imposter device must also have access to the appropriate keys to crack the system.
= If the secret keys in the QA chips are exposed or cracked then the system, or parts of it, is 40 compromised.

Assumptions:
[1] The QA chips are not involved in the authentication of downloaded SoPEC
code [2] The QA chip in the ink cartridge (INK_QA) does not directly affect the operation of the cartridge in any way i.e. it does not inhibit the flow of ink etc.
[3] The INK_QA and PRINTER_QA chips are identical in their virgin state. They only become a INK_QA or PRINTER_QA after their FlashROM has been programmed.
10.5.2 Authentication of downloaded code in a single SoPEC system Process:
1) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless the SoPEC CPU has explicitly disabled this function).
2) The program is downloaded to the embedded DRAM.
3) The CPU calculates a SHA-1 hash digest of the downloaded program.
4) The ResetSrc register in the CPR block is read to determine whether or not a power-on reset occurred.
5) If a power-on reset occurred the signature of the downloaded code (which needs to be in a known location such as the first or last N bytes of the downloaded code) is decrypted using the Silverbrook public bootOkey stored in ROM. This decrypted signature is the expected SHA-1 hash of the accompanying program. The encryption algorithm is likely to be a public key algorithm such as RSA. If a power-on reset did not occur then the expected SHA-1 hash is retrieved from the PSS and the compute intensive decryption is not required.
6) The calculated and expected hash values are compared and if they match then the programs authenticity has been verified.
7) If the hash values do not match then the host PC is notified of the failure and the SoPEC will await a new program download.
8) If the hash values match then the CPU starts executing the downloaded program.
9) If, as is very likely, the downloaded program wishes to download subsequent programs (such as OEM code) it is responsible for ensuring the authenticity of everything it downloads. The downloaded program may contain public keys that are used to authenticate subsequent downloads, thus forming a hierarchy of authentication. The SoPEC ROM does not control these authentications - it is solely concerned with verifying that the first program downloaded has come from a trusted source.
10) At some subsequent point OEM code starts executing. The Silverbrook supervisor code acts as an O/S to the OEM user mode code. The OEM code must access most SoPEC
functionality via system calls to the Silverbrook code.
11) The OEM code is expected to perform some simple 'turn on the lights' tasks after which the host PC is informed that the printer is ready to print and the Start Printing use case comes into play.
Known Weaknesses:
= If the Silverbrook private bootOkey is exposed or cracked then the system is seriously compromised. A ROM mask change would be required to reprogram the bootOkey.

10.5.3 Authentication of downloaded code in a multi-SoPEC system 10. 5.3. 9 ISIMaster SoPEC Process:
1) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster.
2) The SCB is configured to broadcast the data received from the host PC.
3) The program is downloaded to the embedded DRAM and broadcasted to all ISISlave SoPECs over the ISI.
4) The CPU calculates a SHA-1 hash digest of the downloaded program.
5) The ResetSrc register in the CPR block is read to determine whether or not a power-on reset occurred.
6) If a power-on reset occurred the signature of the downloaded code (which needs to be in a known location such as the first or last N bytes of the downloaded code) is decrypted using the Silverbrook public bootOkey stored in ROM. This decrypted signature is the expected SHA-1 hash of the accompanying program. The encryption algorithm is likely to be a public key algorithm such as RSA. If a power-on reset did not occur then the expected SHA-1 hash is retrieved from the PSS and the compute intensive decryption is not required.
7) The calculated and expected hash values are compared and if they match then the programs authenticity has been verified.
8) If the hash values do not match then the host PC is notified of the failure and the SoPEC will await a new program download.
9) If the hash values match then the CPU starts executing the downloaded program.
10) It is likely that the downloaded program will poll each ISISlave SoPEC for the result of its authentication process and to determine the number of slaves present and their ISllds.
11) If any ISISlave SoPEC reports a failed authentication then the ISIMaster communicates this to the host PC and the SoPEC will await a new program download.
12) If all ISISlaves report successful authentication then the downloaded program is responsible for the downloading, authentication and distribution of subsequent programs within the multi-SoPEC system.
13) At some subsequent point OEM code starts executing. The Silverbrook supervisor code acts as an O/S to the OEM user mode code. The OEM code must access most SoPEC
functionality via system calls to the Silverbrook code.
14) The OEM code is expected to perform some simple 'turn on the lights' tasks after which the master SoPEC determines that all SoPECs are ready to print. The host PC is informed that the printer is ready to print and the Start Printing use case comes into play.

90.5.3.2 ISISlave SoPEC Process:
1) When the CPU comes out of reset the SCB will be in slave mode, and the SCB
is already configured to receive data from both the ISI and USB.
2) The program is downloaded (via ISI or USB) to embedded DRAM.
3) The CPU calculates a SHA-1 hash digest of the downloaded program.

4) The ResetSrc register in the CPR block is read to determine whether or not a power-on reset occurred.
5) If a power-on reset occurred the signature of the downloaded code (which needs to be in a known location such as the first or last N bytes of the downloaded code) is decrypted using the Silverbrook public bootOkey stored in ROM. This decrypted signature is the expected SHA-1 hash of the accompanying program. The encryption algorithm is likely to be a public key algorithm such as RSA. If a power-on reset did not occur then the expected SHA-1 hash is retrieved from the PSS and the compute intensive decryption is not required.
6) The calculated and expected hash values are compared and if they match then the programs authenticity has been verified.
7) If the hash values do not match, then the ISISlave device will await a new program again 8) If the hash values match then the CPU starts executing the downloaded program.
9) It is likely that the downloaded program will communicate the result of its authentication process to the ISIMaster. The downloaded program is responsible for determining the SoPECs ISIId, receiving and authenticating any subsequent programs.
10) At some subsequent point OEM code starts executing. The Silverbrook supervisor code acts as an O/S to the OEM user mode code. The OEM code must access most SoPEC
functionality via system calls to the Silverbrook code.
11) The OEM code is expected to perform some simple'turn on the lights' tasks after which the master SoPEC is informed that this slave is ready to print. The Start Printing use case then comes into play.
Known Weaknesses = If the Silverbrook private bootOkey is exposed or cracked then the system is seriously compromised.
= ISI is an open interface i.e. messages sent over the ISI are in the clear.
The communication channels are insecure but all traffic is signed to guarantee authenticity. As all communication over the ISI is controlled by Supervisor code on both the ISIMaster and ISISlave then this also provides some protection against software attacks.
10.5.4 Authentication and upgrade of operating parameters for a printer The SoPEC IC will be used in a range of printers with different capabilities (e.g. A3/A4 printing, printing speed, resolution etc.). It is expected that some printers will also have a software upgrade capability which would allow a user to purchase a license that enables an upgrade in their printer's capabilities (such as print speed). To facilitate this it must be possible to securely store the operating parameters in the PRINTER_QA chip, to securely communicate these parameters to the SoPEC and to securely reprogram the parameters in the event of an upgrade.
Note that each printing SoPEC (as opposed to a SoPEC that is only used for the storage of data) will have its own PRINTER_QA chip (or at least access to a PRINTER_QA that contains the SoPEC's SoPEC_id_key). Therefore both ISIMaster and ISISlave SoPECs will need to authenticate operating parameters.
Process:

1) Program code is downloaded and authenticated as described in sections 10.5.2 and 10.5.3 above.
2) The program code has a function to create the SoPEC_id_key from the unique SoPEC_id that was programmed when the SoPEC was manufactured.
3) The SoPEC retrieves the signed operating parameters from its PRINTER_QA
chip. The PRINTER_QA chip uses the SoPEC_id_key (which is stored as part of the pairing process executed during printhead assembly manufacture & test) to sign the operating parameters which are appended with a random number to thwart replay attacks.
4) The SoPEC checks the signature of the operating parameters using its SoPEC_id_key. If this signature authentication process is successful then the operating parameters are considered valid and the overall boot process continues. If not the error is reported to the host PC.
5) Operating parameters may also be set or upgraded using a second key, the PrintEngineLicense_key, which is stored on the PRINTER QA and used to authenticate the change in operating parameters.
Known Weaknesses:
It may be possible to retrieve the unique SoPEC_id by placing the SoPEC in test mode and scanning it out. It is certainly possible to obtain it by reverse engineering the device. Either way the SoPEC_id (and by extension the SoPEC_id_key) so obtained is valid only for that specific SoPEC and so printers may only be compromised one at a time by parties with the appropriate specialised equipment. Furthermore even if the SoPEC_id is compromised, the other keys in the system, which protect the authentication of consumables and of program code, are unaffected.
10.6 MISCELLANEOUS USE CASES
There are many miscellaneous use cases such as the following examples.
Software running on the SoPEC CPU or host will decide on what actions to take in these scenarios.
10.6.1 Disconnect / Re-connect of QA chips.
1) Disconnect of a QA chip between documents or if ink runs out mid-document.
2) Re-connect of a QA chip once authenticated e.g. ink cartridge replacement should allow the system to resume and print the next document 10.6.2 Page arrives before print ready interrupt.
1) Engage clutch to stop paper until print ready interrupt occurs.
10.6.3 Dead-nozzle table upgrade This sequence is typicaiiy performed when dead nozzle information needs to be updated by performing a printhead dead nozzle test.
1) Run printhead nozzle test sequence 2) Either host or SoPEC CPU converts dead nozzle information into dead nozzle table.
3) Store dead nozzle table on host.
4) Write dead nozzle table to SoPEC DRAM.
10.7 FAILURE MODE USE CASES
10.7.1 System errors and security violations System errors and security violations are reported to the SoPEC CPU and host.
Software running on the SoPEC CPU or host will then decide what actions to take.
Silverbrook code authentication failure.
1) Notify host PC of authentication failure.
5 2) Abort print run.
OEM code authentication failure.
1) Notify host PC of authentication failure.
2) Abort print run.
Invalid QA chip(s).
10 1) Report to host PC.
2) Abort print run.
MMU security violation interrupt.
1) This is handled by exception handler.
2) Report to host PC
15 3) Abort print run.
Invalid address interrupt from PCU.
1) This is handled by exception handler.
2) Report to host PC.
3) Abort print run.
20 Watchdog timer interrupt.
1) This is handled by exception handler.
2) Report to host PC.
3) Abort print run.
Host PC does not acknowledge message that SoPEC is about to power down.
25 1) Power down anyway.
10.7.2 Printing errors Printing errors are reported to the SoPEC CPU and host. Software running on the host or SoPEC
CPU will then decide what actions to take.
Insufficient space available in SoPEC compressed band-store to download a band.
30 1) Report to the host PC.
Insufficient ink to print.
1) Report to host PC.
Page not downioaded in time while printing.
1) Buffer underrun interrupt will occur.
35 2) Report to host PC and abort print run.
JPEG decoder error interrupt.
1) Report to host PC.
CPU SUBSYSTEM
40 11 Central Processing Unit (CPU) 11.1 OVERVIEW
The CPU block consists of the CPU core, MMU, cache and associated logic. The principal tasks for the program running on the CPU to fulfill in the system are:
Communications:
= Control the flow of data from the USB interface to the DRAM and ISI
= Communication with the host via USB or ISI
= Running the USB device driver PEP Subsystem Control:
= Page and band header processing (may possibly be performed on host PC) = Configure printing options on a per band, per page, per job or per power cycle basis = Initiate page printing operation in the PEP subsystem = Retrieve dead nozzle information from the printhead interface (PHI) and forward to the host PC
= Select the appropriate firing pulse profile from a set of predefined profiles based on the printhead characteristics = Retrieve printhead temperature via the PHI
Security:
= Authenticate downloaded program code = Authenticate printer operating parameters = Authenticate consumables via the PRINTER_QA and INK QA chips = Monitor ink usage = Isolation of OEM code from direct access to the system resources Other:
= Drive the printer motors using the GPIO pins = Monitoring the status of the printer (paper jam, tray empty etc.) = Driving front panel LEDs = Perform post-boot initialisation of the SoPEC device = Memory management (likely to be in conjunction with the host PC) = Miscellaneous housekeeping tasks To control the Print Engine Pipeline the CPU is required to provide a level of performance at least equivalent to a 16-bit Hitachi H8-3664 microcontroller running at 16 MHz. An as yet undetermined amount of additional CPU performance is needed to perform the other tasks, as well as to provide the potential for such activity as Netpage page assembly and processing, RIPing etc. The extra performance required is dominated by the signature verification task and the SCB (including the USB) management task. An operating system is not required at present. A number of CPU cores have been evaluated and the LEON P1754 is considered to be the most appropriate solution. A
diagram of the CPU block is shown in Figure 15 below.
11.2 DEFINITIONS OF I/OS
Table 14. CPU Subsystem I/Os Port name Pins I/O Description Clocks and Resets prst_n 1 In Global reset. Synchronous to pclk, active low.
Pclk 1 In Global clock CPU to DIU DRAM interface cpu_adr[21:2] 20 Out ddress bus for both DRAM and peripheral access cpu_dataout[31:0] 32 Out Data out to both DRAM and peripheral devices.
his should be driven at the same time as the cpu adr and request signals.
dram_cpu_data[255:0] 256 In Read data from the DRAM
cpu_diu_rreq 1 Out Read request to the DIU DRAM
diu_cpu_rack I In cknowledge from DIU that read request has been accepted.
diu_cpu_rvalid 1 In Signal from DIU telling SoPEC Unit that valid read data is on the dram_cpu data bus cpu_diu_wdatavalid 1 Out Signal from the CPU to the DIU indicating that the data currently on the cpu diu wdata bus is valid and should be committed to the DIU posted write buffer diu_cpu_write_rdy 1 In Signal from the DIU indicating that the posted rite buffer is empty cpu_diu_wdadr[21:4] 18 Out rite address bus to the DIU
cpu diu_wdata[127:0] 128 Out rite data bus to the DIU
cpu_diu_wmask[15:0] 16 Out rite mask for the cpu diu wdata bus. Each bit corresponds to a byte of the 128-bit cpu diu wdata bus.
CPU to peripheral blocks cpu_rwn 1 Out Common read/not-write signal from the CPU
cpu_acode[1:0] 2 Out CPU access code signals.
cpu_acode[0] - Program (0) / Data (1) access cpu_acode[1 ] - User (0) / Supervisor (1) access cpu_cpr_sel 1 Out CPR block select.
cpr_cpu_rdy 1 In Ready signal to the CPU. When cpr cpu rdy is high it indicates the last cycle of the access. For a rite cycle this means cpu dataout has been registered by the CPR block and for a read cycle this means the data on cpr cpu data is valid.
cpr cpu_berr I In CPR bus error signal to the CPU.

cpr_cpu_data[31:0] 32 In Read data bus from the CPR block cpu_gpio_sel 1 Out GPIO block select.
gpio_cpu_rdy 1 In GPIO ready signal to the CPU.
gpio_cpu_berr 1 In GPIO bus error signal to the CPU.
gpio_cpu_data[31:0] 32 In Read data bus from the GPIO block cpu_icu_sel 1 Out ICU block select.
icu_cpu_rdy I In ICU ready signal to the CPU.
icu_cpu_berr 1 In ICU bus error signal to the CPU.
icu_cpu_data[31:0] 32 In Read data bus from the ICU block cpu_Iss_sel 1 Out LSS block select.
Iss_cpu_rdy 1 In LSS ready signal to the CPU.
Iss_cpu_berr 1 In LSS bus error signal to the CPU.
Iss_cpu_data[31:0] 32 In Read data bus from the LSS block cpu_pcu_sel 1 Out PCU block select.
pcu_cpu_rdy 1 In PCU ready signal to the CPU.
pcu_cpu_berr 1 In PCU bus error signal to the CPU.
pcu_cpu_data[31:0] 32 In Read data bus from the PCU block cpu_scb_sel 1 Out SCB block select.
scb_cpu_rdy 1 In SCB ready signal to the CPU.
scb_cpu_berr 1 In SCB bus error signal to the CPU.
scb cpu_data[31:0] 32 In Read data bus from the SCB block cpu_tim_sel 1 Out imers block select.
im_cpu_rdy 1 In imers block ready signal to the CPU.
tim_cpu_berr 1 In imers bus error signal to the CPU.
im_cpu_data[31:0] 32 In Read data bus from the Timers block cpu_rom_sel 1 Out ROM block select.
rom_cpu_rdy 1 In ROM block ready signal to the CPU.
rom_cpu_berr 1 In ROM bus error signal to the CPU.
rom cpu_data[31:0] 32 In Read data bus from the ROM block cpu_pss_sel 1 Out PSS block select.
pss_cpu_rdy 1 In PSS block ready signal to the CPU.
pss_cpu_berr 1 In PSS bus error signal to the CPU.
pss_cpu_data[31:0] 32 In Read data bus from the PSS block cpu_diu_sel 1 Out DIU register block select.
diu_cpu_rdy I In DIU register block ready signal to the CPU.
diu_cpu_berr I In DIU bus error signal to the CPU.
diu_cpu_data[31:0] 32 In Read data bus from the DIU block Interrupt signals icu_cpu_ilevel[3:0] 3 In n interrupt is asserted by driving the appropriate priority level on icu cpu ilevel. These signals must remain asserted until the CPU executes an interrupt acknowledge cycle.
3 Out Indicates the level of the interrupt the CPU is acknowledging when cpu iack is high cpu_iack 1 Out Interrupt acknowledge signal. The exact timing depends on the CPU core implementation Debug signals diu_cpu_debug_valid I In Signal indicating the data on the diu cpu data bus is valid debug data.
tim_cpu_debug valid 1 In Signal indicating the data on the tim cpu data bus is valid debug data.
scb_cpu_debug_valid 1 In Signal indicating the data on the scb cpu data bus is valid debug data.
pcu_cpu_debug valid 1 In Signal indicating the data on the pcu cpu data bus is valid debug data.
Iss_cpu_debug_valid I In Signal indicating the data on the lss cpu data bus is valid debug data.
icu_cpu_debug_valid 1 In Signal indicating the data on the icu cpu data bus is valid debug data.
gpio_cpu_debug_valid 1 In Signal indicating the data on the gpio cpu data bus is valid debug data.
cpr_cpu_debug valid I In Signal indicating the data on the cpr cpu data bus is valid debug data.
debug_data_out 32 Out Output debug data to be muxed on to the GPIO &
PHI pins debug_data valid 1 Out Debug valid signal indicating the validity of the data on debug data out. This signal is used in all debug configurations debug_cntrl 33 Out Control signal for each PHI bound debug data line indicating whether or not the debug data should be selected by the pin mux 11.3 REALTIME REQUIREMENTS
The SoPEC realtime requirements have yet to be fully determined but they may be split into three categories: hard, firm and soft 11.3.1 Hard realtime requirements Hard requirements are tasks that must be completed before a certain deadline or failure to do so will result in an error perceptible to the user (printing stops or functions incorrectly). There are three hard realtime tasks:
= Motor control: The motors which feed the paper through the printer at a constant speed 5 during printing are driven directly by the SoPEC device. Four periodic signals with different phase relationships need to be generated to ensure the paper travels smoothly through the printer. The generation of these signals is handled by the GPIO hardware (see section 13.2 for more details) but the CPU is responsible for enabling these signals (i.e.
to start or stop the motors) and coordinating the movement of the paper with the printing operation of the 10 printhead.
= Buffer management: Data enters the SoPEC via the SCB at an uneven rate and is consumed by the PEP subsystem at a different rate. The CPU is responsible for managing the DRAM
buffers to ensure that neither overrun nor underrun occur. This buffer management is likely to be performed under the direction of the host.
15 = Band processing: In certain cases PEP registers may need to be updated between bands. As the timing requirements are most likely too stringent to be met by direct CPU
writes to the PCU a more likely scenario is that a set of shadow registers will programmed in the compressed page units before the current band is finished, copied to band related registers by the finished band signals and the processing of the next band will continue immediately.
20 An alternative solution is that the CPU will construct a DRAM based set of commands (see section 21.8.5 for more details) that can be executed by the PCU. The task for the CPU here is to parse the band headers stored in DRAM and generate a DRAM based set of commands for the next number of bands. The location of the DRAM based set of commands must then be written to the PCU before the current band has been processed by the PEP
subsystem. It 25 is also conceivable (but currently considered unlikely) that the host PC
could create the DRAM based commands. In this case the CPU will only be required to point the PCU to the correct location in DRAM to execute commands from.
11.3.2 Firm requirements Firm requirements are tasks that should be completed by a certain time or failure to do so will 30 result in a degradation of performance but not an error. The majority of the CPU tasks for SoPEC
fall into this category including all interactions with the QA chips, program authentication, page feeding, configuring PEP registers for a page or job, determining the firing pulse profile, communication of printer status to the host over the USB and the monitoring of ink usage. The authentication of downloaded programs and messages will be the most compute intensive 35 operation the CPU will be required to perform. Initial investigations indicate that the LEON
processor, running at 160 MHz, will easily perform three authentications in under a second.
Table 15. Expected firm requirements Requirement Duration Power-on to start of printing first page [USB and slave SoPEC - 8 secs ??

enumeration, 3 or more RSA signature verifications, code and corrmpressed page data download and chip initialisation]
ake-up from sleep mode to start printing [3 or more SHA-1 / RSA - 2 secs operations, code and compressed page data download and chip re-initialisation uthenticate ink usage in the printer - 0.5 secs Determining firing pulse profile - 0.1 secs Page feeding, gap between pages OEM dependent Communication of printer status to host PC ~ 10 ms Configuring PEP registers ??

11.3.3 Soft requirements Soft requirements are tasks that need to be done but there are only light time constraints on when they need to be done. These tasks are performed by the CPU when there are no pending higher priority tasks. As the SoPEC CPU is expected to be lightly loaded these tasks will mostly be executed soon after they are scheduled.
11.4 BUS PROTOCOLS
As can be seen from Figure 15 above there are different buses in the CPU block and different protocols are used for each bus. There are three buses in operation:
11.4.1 AHB bus The LEON CPU core uses an AMBA2.0 AHB bus to communicate with memory and peripherals (usually via an APB bridge). See the AMBA specification [38], section 5 of the LEON users manual [37] and section 11.6.6.1 of this document for more details.
11.4.2 CPU to DIU bus This bus conforms to the DIU bus protocol described in Section 20.14.8. Note that the address bus used for DIU reads (i.e. cpu adr(21:2)) is also that used for CPU subsystem with bus accesses while the write address bus (cpu_diu wadr) and the read and write data buses (dram_cpu data and cpu diu wdata) are private buses between the CPU and the DIU. The effective bus width differs between a read (256 bits) and a write (128 bits). As certain CPU instructions may require byte write access this will need to be supported by both the DRAM write buffer (in the AHB bridge) and the DIU. See section 11.6.6.1 for more details.
11.4.3 CPU Subsystem Bus For access to the on-chip peripherals a simple bus protocol is used. The MMU
must first determine which particular block is being addressed (and that the access is a valid one) so that the appropriate block select signal can be generated. During a write access CPU
write data is driven out with the address and block se4ect signals in the first cyc4e of an access.
The addressed slave peripheral responds by asserting its ready signal indicating that it has registered the write data and the access can complete. The write data bus is common to all peripherals and is also used for CPU
writes to the embedded DRAM. A read access is initiated by driving the address and select signals during the first cycle of an access. The addressed slave responds by placing the read data on its bus and asserting its ready signal to indicate to the CPU that the read data is valid. Each block has a separate point-to-point data bus for read accesses to avoid the need for a tri-stateable bus.
All peripheral accesses are 32-bit (Programming note: char or short C types should not be used to access peripheral registers). The use of the ready signal allows the accesses to be of variable length. In most cases accesses will complete in two cycles but three or four (or more) cycles accesses are likely for PEP blocks or IP blocks with a different native bus interface. All PEP blocks are accessed via the PCU which acts as a bridge. The PCU bus uses a similar protocol to the CPU
subsystem bus but with the PCU as the bus master.
The duration of accesses to the PEP blocks is influenced by whether or not the PCU is executing commands from DRAM. As these commands are essentially register writes the CPU
access will need to wait until the PCU bus becomes available when a register access has been completed.
This could lead to the CPU being stalled for up to 4 cycles if it attempts to access PEP blocks while the PCU is executing a command. The size and probability of this penalty is sufficiently small to have any significant impact on performance.
In order to support user mode (i.e. OEM code) access to certain peripherals the CPU subsystem bus propagates the CPU function code signals (cpu acode(1:0]). These signals indicate the type of address space (i.e. User/Supervisor and Program/Data) being accessed by the CPU for each access. Each peripheral must determine whether or not the CPU is in the correct mode to be granted access to its registers and in some cases (e.g. Timers and GPIO
blocks) different access permissions can apply to different registers within the block. If the CPU is not in the correct mode then the violation is flagged by asserting the block's bus error signal (block cpu berr) with the same timing as its ready signal (block cpu rdy) which remains deasserted. When this occurs invalid read accesses should return 0 and write accesses should have no effect.
Figure 16 shows two examples of the peripheral bus protocol in action. A write to the LSS block from code running in supervisor mode is successfully completed. This is immediately followed by a read from a PEP block via the PCU from code running in user mode. As this type of access is not permitted the access is terminated with a bus error. The bus error exception processing then starts directly after this - no further accesses to the peripheral should be required as the exception handler should be located in the DRAM.
Each peripheral acts as a slave on the CPU subsystem bus and its behavior is described by the state machine in section 11.4.3.1 11.4.3.1 CPU subsystem bus slave state machine CPU subsystem bus slave operation is described by the state machine in Figure 17.This state machine will be implemented in each CPU subsystem bus slave. The only new signals mentioned here are the valid access and reg available signals. The valid access is determined by comparing the cpu acode value with the block or register (in the case of a block that allow user access on a per register basis such as the GPIO block) access permissions and asserting valid access if the permissions agree with the CPU mode. The reg available signal is only required in the PCU or in blocks that are not capable of two-cycle access (e.g. blocks containing imported IP with different bus protocols). In these blocks the reg available signal is an internal signal used to insert wait states (by delaying the assertion of block cpu rdy) until the CPU bus slave interface can gain access to the register.
When reading from a register that is less than 32 bits wide the CPU subsystems bus slave should return zeroes on the unused upper bits of the block cpu data bus.
To support debug mode the contents of the register selected for debug observation, debug reg, are always output on the block cpu data bus whenever a read access is not taking place. See section 11.8 for more details of debug operation.
11.5 LEON CPU
The LEON processor is an open-source implementation of the IEEE-1754 standard (SPARC V8) instruction set. LEON is available from and actively supported by Gaisler Research (www.gaisler.com).
The following features of the LEON-2 processor will be utilised on SoPEC:

= IEEE-1754 (SPARC V8) compatible integer unit with 5-stage pipeline = Separate instruction and data cache (Harvard architecture). 1 kbyte direct mapped caches will be used for both.
= Full implementation of AMBA-2.0 AHB on-chip bus The standard release of LEON incorporates a number of peripherals and support blocks which will not be included on SoPEC. The LEON core as used on SoPEC wiil consist of: 1) the LEON integer unit, 2) the instruction and data caches (currently 1 kB each), 3) the cache control logic, 4) the AHB
interface and 5) possibly the AHB controller (although this functionality may be implemented in the LEON AHB bridge).
The version of the LEON database that the SoPEC LEON components will be sourced from is LEON2-1Ø7 although later versions may be used if they offer worthwhile functionality or bug fixes that affect the SoPEC design.
The LEON core will be clocked using the system clock, pclk, and reset using the prst n section(1]
signal. The ICU will assert all the hardware interrupts using the protocol described in section 11.9.
The LEON hardware multipliers and floating-point unit are not required. SoPEC
will use the recommended 8 register window configuration.
Further details of the SPARC V8 instruction set and the LEON processor can be found in [36] and [37] respectively.
11.5.1 LEON Registers Only two of the registers described in the LEON manual are implemented on SoPEC - the LEON
configuration register and the Cache Control Register (CCR). The addresses of these registers are shown in Table 16. The configuration register bit fields are described below and the CCR is described in section 11.7.1.1.
11.5.1.1 LEON configuration register The LEON configuration register allows runtime software to determine the settings of LEONs various configuration options. This is a read-only register whose value for the SoPEC ASIC will be 0x1071_8C00. Further descriptions of many of the bitfields can be found in the LEON manual. The values used for SoPEC are highlighted in bold for clarity.
Table 16. LEON Configuration Register Field Name bit(s) Description riteProtection 1:0 rite protection type.
00 - none 01 - standard PCICore 3:2 PCI core type 00 - none 01 - InSilicon 11 - Other FPUType 5:4 FPU type.
00 - none 01 - Meiko MemStatus 6 0 - No memory status and failing address register present 1- Memory status and failing address register present atchdog 7 0 - Watchdog timer not present (Note this refers to the LEON
atchdog timer in the LEON timer block).
1 - Watchdog timer present UMUL/SMUL 8 0 - UMUL/SMUL instructions are not implemented 1- UMUL/SMUL instructions are implemented UDIV/SDIV 9 0- UMUL/SMUL instructions are not implemented 1- UMUL/SMUL instructions are implemented DLSZ 11:10 Data cache line size in 32-bit words:
00 -1 word 01 -2words 10-4words 11 - 8 words DCSZ 14:12 Data cache size in kBbytes = 2 . SoPEC DCSZ = 0.
ILSZ 16:15 Instruction cache line size in 32-bit words:
00 -1 word 01 -2words - 4 words 11 - 8 words ICSZ 19:17 Instruction cache size in kBbytes = 2 . SoPEC ICSZ = 0.
RegWin 24:20 he implemented number of SPARC register windows - 1.
SoPEC value = 7.

UMAC/SMAC 25 0 - UMAC/SMAC instructions are not implemented 1- UMAC/SMAC instructions are implemented atchpoints 28:26 The implemented number of hardware watchpoints. SoPEC value = 4.
SDRAM 29 0 - SDRAM controller not present 1 - SDRAM controller present DSU 30 0 - Debug Support Unit not present 1- Debug Support Unit present Reserved 31 Reserved. SoPEC value = 0.

11.6 MEMORY MANAGEMENT UNIT (MMU) Memory Management Units are typically used to protect certain regions of memory from invalid accesses, to perform address translation for a virtual memory system and to maintain memory page status (swapped-in, swapped-out or unmapped) The SoPEC MMU is a much simpler affair whose function is to ensure that all regions of the SoPEC
memory map are adequately protected. The MMU does not support virtual memory and physical addresses are used at all times. The SoPEC MMU supports a full 32-bit address space. The SoPEC memory map is depicted in Figure 18 below.
The MMU selects the relevant bus protocol and generates the appropriate control signals depending on the area of memory being accessed. The MMU is responsible for performing the address decode and generation of the appropriate block select signal as well as the selection of the correct block read bus during a read access. The MMU will need to support all of the bus transactions the CPU can produce including interrupt acknowledge cycles, aborted transactions etc.
When an MMU error occurs (such as an attempt to access a supervisor mode only region when in user mode) a bus error is generated. While the LEON can recognise different types of bus error (e.g. data store error, instruction access error) it handles them in the same manner as it handles all traps i.e it will transfer control to a trap handler. No extra state information is be stored because of the nature of the trap. The location of the trap handler is contained in the TBR (Trap Base Register).
This is the same mechanism as is used to handle interrupts.
11.6.1 CPU-bus peripherals address map The address mapping for the peripherals attached to the CPU-bus is shown in Table 17 below. The MMU performs the decode of the high order bits to generate the relevant cpu block select signal.
Apart from the PCU, which decodes the address space for the PEP blocks, each block only needs to decode as many bits of cpu adr[11:2] as required to address all the registers within the block.
Table 17. CPU-bus peripherals address map Block_base ddress ROM_base Ox0000_0000 MMU base Ox0001 0000 IM_base Ox00011000 LSS_base Ox0001_2000 GPIO_base Ox0001_3000 SCB base Ox0001 4000 ICU_base Ox00015000 CPR_base Ox0001_6000 DIU_base Ox0001_7000 PSS_base Ox0001_8000 Reserved Ox00019000to Ox0001_FFFF
PCU base 0x0002 0000 11.6.2 DRAM Region Mapping The embedded DRAM is broken into 8 regions, with each region defined by a lower and upper bound address and with its own access permissions.
The association of an area in the DRAM address space with a MMU region is completely under software control. Table 18 below gives one possible region mapping. Regions should be defined according to their access requirements and position in memory. Regions that share the same access requirements and that are contiguous in memory may be combined into a single region. The example below is purely for indicative purposes - real mappings are likely to differ significantly from this. Note that the RegionBottom and RegionTop fields in this example include the DRAM base address offset (0x4000_0000) which is not required when programming the RegionNTop and RegionNBottom registers. For more details, see 11.6.5.1 and 11.6.5.2.
Table 18. Example region mapping Region RegionBottom RegionTop Description 0 0x4000 0000 Ox4000 0FFF Silverbrook OS (supervisor) data 1 0x4000_1000 0x4000_BFFF Silverbrook OS (supervisor) code 2 0x4000_C000 Ox4000_C3FF Silverbrook (supervisor/user) data 3 0x4000 C400 0x4000_CFFF Silverbrook (supervisor/user) code 0x4026_D000 0x4026_D3FF OEM (user) data 0x4026_D400 Ox4026_DFFF OEM (user) code 6 0x4027_E000 0x4027_FFFF Shared Silverbrook/OEM space 7 0x4000_D000 0x4026_CFFF Compressed page store (superviso data) 11.6.3 Non-DRAM regions As shown in Figure 18 the DRAM occupies only 2.5 MBytes of the total 4 GB
SoPEC address space. The non-DRAM regions of SoPEC are handled by the MMU as follows:
ROM (Ox0000_0000 to Ox0000_FFFF): The ROM block will control the access types allowed. The cpu acodef1:0J signals will indicate the CPU mode and access type and the ROM
block will assert rom cpu berr if an attempted access is forbidden. The protocol is described in more detaii in section 11.4.3. The ROM block access permissions are hard wired to allow all read accesses except to the FuseChiplD registers which may only be read in supervisor mode.
MMU Internal Registers (Ox0001_0000 to Ox0001_OFFF): The MMU is responsible for controlling the accesses to its own internal registers and will only allow data reads and writes (no instruction fetches) from supervisor data space. All other accesses will result in the mmu cpu berr signal being asserted in accordance with the CPU native bus protocol.
CPU Subsystem Peripheral Registers (Ox0001_1000 to Ox0001_FFFF): Each peripheral block will control the access types allowed. Every peripheral will allow supervisor data accesses (both read and write) and some blocks (e.g. Timers and GPIO) will also allow user data space accesses as outlined in the relevant chapters of this specification. Neither supervisor nor user instruction fetch accesses are allowed to any block as it is not possible to execute code from peripheral registers.
The bus protocol is described in section 11.4.3.
PCU Mapped Registers (0x0002_0000 to 0x0002_BFFF): All of the PEP blocks registers which are accessed by the CPU via the PCU will inherit the access permissions of the PCU. These access permissions are hard wired to allow supervisor data accesses only and the protocol used is the same as for the CPU peripherals.
Unused address space (0x0002_C000 to Ox3FFF_FFFF and 0x4028 0000 to OxFFFF_FFFF): All accesses to the unused portion of the address space will result in the mmu cpu berr signal being asserted in accordance with the CPU native bus protocol. These accesses will not propagate outside of the MMU i.e. no external access will be initiated.
11.6.4 Reset exception vector and reference zero traps When a reset occurs the LEON processor starts executing code from address Ox0000_0000. A
common software bug is zero-referencing or null pointer de-referencing (where the program attempts to access the contents of address Ox0000_0000). To assist software debug the MMU will assert a bus error every time the locations Ox0000_0000 to Ox0000_000F (i.e.
the first 4 words of the reset trap) are accessed after the reset trap handler has legitimately been retrieved immediately after reset.
11.6.5 MMU Configuration Registers The MMU configuration registers include the RDU configuration registers and two LEON registers.
Note that all the MMU configuration registers may only be accessed when the CPU is running in supervisor mode.
Table 19. MMU Configuration Registers ddress Register bits Reset Description offset from MMU base Ox00 RegionOBottom[21:517 Ox0 000 his register contains the physical address that 0 marks the bottom of region 0 Ox04 RegionOTop[21:5] 17 OxF_FFF his register contains the physical address that F marks the top of region 0. Region 0 covers the entire address space after reset whereas all other regions are zero-sized initially.
0x08 Regionl Bottom[21:517 OxF_FFF This register contains the physical address that F marks the bottom of region 1 OxOC Region 1 Top[21:5] 17 OxO_000 This register contains the physical address that 0 marks the top of region 1 Ox10 Region2Bottom[21:517 OxF_FFF This register contains the physical address that F marks the bottom of region 2 0x14 Region3Top[21:5] 17 Ox0_000 This register contains the physical address that 0 marks the top of region 2 0x18 Region3Bottom[21:517 OxF_FFF his register contains the physical address that F marks the bottom of region 3 Ox1 C Region3Top[21:5] 17 OxO_000 his register contains the physical address that 0 marks the top of region 3 0x20 Region4Bottom[21:517 OxF_FFF his register contains the physical address that F marks the bottom of region 4 0x24 Region4Top[21:5] 17 OxO_000 This register contains the physical address that 0 marks the top of region 4 0x28 Region5Bottom[21:517 OxF_FFF This register contains the physical address that F marks the bottom of region 5 Ox2C Region5Top[21:5] 17 OxO_000 This register contains the physical address that 0 marks the top of region 5 0x30 Region6Bottom[21:517 OxF_FFF This register contains the physical address that F marks the bottom of region 6 0x34 Region6Top[21:5] 17 OxO_000 This register contains the physical address that 0 marks the top of region 6 0x38 Region7Bottom[21:517 OxF_FFF his register contains the physical address that F marks the bottom of region 7 Ox3C Region7Top[21:5] 17 Ox0_000 his register contains the physical address that 0 marks the top of region 7 0x40 RegionOControl 6 0x07 Control register for region 0 0x44 Region1 Control 6 0x07 Control register for region 1 0x48 Region2Control 6 0x07 Control register for region 2 Ox4C Region3Control 6 0x07 Control register for region 3 0x50 Region4Control 6 0x07 Control register for region 4 0x54 Region5Control 6 0x07 Control register for region 5 0x58 Region6Control 6 0x07 Control register for region 6 Ox5C Region7Control 6 0x07 Control register for region 7 0x60 RegionLock 8 Ox00 riting a 1 to a bit in the RegionLock register locks the value of the corresponding Region-op, RegionBottom and RegionControl regis-ers. The lock can only be cleared by a reset and any attem pt to write to a locked register will result in a bus error.
0x64 BusTimeout 8 OxFF his register should be set to the number of clk cycles to wait after an access has started before aborting the access with a bus error.
riting 0 to this register disables the bus time-out feature.
0x68 ExceptionSource 6 Ox00 his register identifies the source of the last exception. See Section 11.6.5.3 for details.
Ox6C DebugSelect 7 Ox00 Contains address of the register selected for debug observation. It is expected that a number of pseudo-registers will be made available for debug observation and these will be outlined during the implementation phase.
0x80 to RDU Registers See Table for details.
Ox108 0x140 LEON Configuration 32 0x1071_ he LEON configuration register is used by Register 8 COO software to determine the configuration of this LEON implementation. See section 11.5.1.1 for details. This register is ReadOnly.
0x144 LEON Cache 32 Ox0000_ The LEON Cache Control Register is used to Control Register 0 000 control the operation of the caches. See section 11.6 for details.

11.6.5.1 Region Top and RegionBottom registers The 20 Mbit of embedded DRAM on SoPEC is arranged as 81920 words of 256 bits each. All region boundaries need to align with a 256-bit word. Thus only 17 bits are required for the RegionNTop and RegionNBottom registers. Note that the bottom 5 bits of the RegionNTop and RegionNBottom registers cannot be written to and read as '0' i.e. the RegionNTop and RegionNBottom registers represent byte-aligned DRAM addresses Both the RegionNTop and RegionNBottom registers are inclusive i.e. the addresses in the registers are included in the region. Thus the size of a region is (RegionNTop -RegionNBottom) +1 DRAM
words.
If DRAM regions overlap (there is no reason for this to be the case but there is nothing to prohibit it either) then only accesses allowed by all overlapping regions are permitted.
That is if a DRAM
address appears in both Region1 and Region3 (for example) the cpu acode of an access is checked against the access permissions of both regions. If both regions permit the access then it will proceed but if either or both regions do not permit the access then it will not be allowed.
The MMU does not support negatively sized regions i.e. the value of the RegionNTop register should always be greater than or equal to the value of the RegionNBottom register. If RegionNTop is lower in the address map than RegionNTop then the region is considered to be zero-sized and is ignored.
When both the RegionNTop and RegionNBottom registers for a region contain the same value the region is then simply one 256-bit word in length and this corresponds to the smallest possible active region.
11.6.5.2 Region Control registers Each memory region has a control register associated with it. The RegionNControl register is used to set the access conditions for the memory region bounded by the RegionNTop and RegionNBottom registers. Table 20 describes the function of each bit field in the RegionNControl registers. All bits in a RegionNControl register are both readable and writable by design. However, like all registers in the MMU, the RegionNControl registers can only be accessed by code running in supervisor mode.
Table 20. Region Control Register Field Rtame bit(s) Rescription SupervisorAccess 2:0 Denotes the type of access allowed when the CPU is running in Supervisor mode. For each access type a 1 indicates the access is permitted and a 0 indicates the access is not permitted.
bitO - Data read access permission bit1 - Data write access permission bit2 - Instruction fetch access permission UserAccess 5:3 Denotes the type of access allowed when the CPU is running in User mode. For each access type a 1 indicates he access is permitted and a 0 indicates the access is not permitted.
bit3 - Data read access permission bit4 - Data write access permission bit5 - Instruction fetch access permission 11.6.5.3 ExceptionSource Register The SPARC V8 architecture allows for a number of types of memory access error to be trapped.
These trap types and trap handling in general are described in chapter 7 of the SPARC architecture manual [36]. However on the LEON processor only data_store error and data access exception trap types will result from an external (to LEON) bus error. According to the SPARC architecture manual the processor will automatically move to the next register window (i.e.
it decrements the current window pointer) and copies the program counters (PC and nPC) to two local registers in the new window. The supervisor bit in the PSR is also set and the PSR can be saved to another local register by the trap handler (this does not happen automatically in hardware).
The ExceptionSource register aids the trap handler by identifying the source of an exception. Each bit in the ExceptionSource register is set when the relevant trap condition and should be cleared by the trap handler by writing a '1' to that bit position.
Table 21. ExceptionSource Register Field Name bit(s) Description DramAccessExcptn 0 The permissions of an access did not match those of the DRAM
region it was attempting to access. This bit will also be set if an attempt is made to access an undefined DRAM region (i.e. a loca-ion that is not within the bounds of any RegionTop/RegionBottom pair) PeriAccessExcptn 1 n access violation occurred when accessing a CPU subsystem block. This occurs when the access permissions disagree with hose set by the block.
UnusedAreaExcptn 2 n attempt was made to access an unused part of the memory map LockedWriteExcptn 3 n attempt was made to write to a regions registers (RegionTop/
Bottom/Control) after they had been locked.
ResetHandlerExcptn 4 n attempt was made to access a ROM location between Ox0000_0000 and Ox0000 000F after the reset handler was exe-cuted. The most likely cause of such an access is the use of an uninitialised pointer or structure.
imeoutExcptn 5 bus timeout condition occurred.
11.6.6 MMU Sub-block partition As can be seen from Figure 19 and Figure 20 the MMU consists of three principal sub-blocks. For clarity the connections between these sub-blocks and other SoPEC blocks and between each of the sub-blocks are shown in two separate diagrams.
11.6.6.1 LEON AHB Bridge The LEON AHB bridge consists of an AHB bridge to DIU and an AHB to CPU
subsystem bus bridge. The AHB bridge will convert between the AHB and the DIU and CPU
subsystem bus protocols but the address decoding and enabling of an access happens elsewhere in the MMU. The AHB bridge will always be a slave on the AHB. Note that the AMBA signals from the LEON core are contained within the ahbso and ahbsi records. The LEON records are described in more detail in section 11.7. Glue logic may be required to assist with enabling memory accesses, endianness coherency, interrupts and other miscellaneous signalling.
Table 22. LEON AHB bridge I/Os Port name Pins 1/0 Description Global SoPEC signals prst_n 1 In Global reset. Synchronous to pclk, active low.
pclk 1 In Global clock LEON core to LEON AHB signals (ahbsi and ahbso records) ahbsi.haddr[31:0] 32 In HB address bus ahbsi.hwdata[31:0] 32 In HB write data bus ahbso.hrdata[31:0] 32 Out HB read data bus ahbsi.hsel 1 In HB slave select signal ahbsi.hwrite 1 In HB write signal:
1 - Write access 0 - Read access ahbsi.htrans 2 In Indicates the type of the current transfer:

ahbsi.hsize 3 In Indicates the size of the current transfer:
000 - Byte transfer 001 - Halfword transfer 010 - Word transfer 011 - 64-bit transfer (unsupported?) 1 xx - Unsupported larger wordsizes ahbsi.hburst 3 In Indicates if the current transfer forms part of a burst and the type of burst:

ahbsi.hprot In Protection control signals pertaining to the current access:
hprot[0] - Opcode(0) / Data(l) access hprot[1] - User(0) / Supervisor access hprot[2] - Non-bufferable(0) / Bufferable(1) access (unsupported) hprot[3] - Non-cacheable(0) / Cacheable access ahbsi.hmaster In Indicates the identity of the current bus master.
his will always be the LEON core.
ahbsi.hmastlock 1 In Indicates that the current master is performing a locked sequence of transfers.
ahbso.hready 1 Out ctive high ready signal indicating the access has completed ahbso.hresp 2 Out Indicates the status of the transfer:

- RETRY

ahbso.hsplit[15:0] 16 Out his 16-bit split bus is used by a slave to indicate to the arbiter which bus masters should be allowed attempt a split transaction. This eature will be unsupported on the AHB bridge oplevel/ Common LEON AHB bridge signals cpu_dataout[31:0] 32 Out Data out bus to both DRAM and peripheral devices.
cpu_rwn 1 Out Read/NotWrite signal. 1= Current access is a read access, 0 = Current access is a write access icu_cpu_ilevel[3:0] In n interrupt is asserted by driving the appropriate priority level on icu cpu ilevel.
These signals must remain asserted until the CPU executes an interrupt acknowledge cycle.
cpu_icu_ilevel[3:0] In Indicates the level of the interrupt the CPU is acknowledging when cpu iack is high cpu_iack 1 Out Interrupt acknowledge signal. The exact timing depends on the CPU core implementation cpu_start access 1 Out Start Access signal indicating the start of a data transfer and that the cpu adr, cpu dataout, cpu rwn and cpu_acode signals are all valid.
This signal is only asserted during the first cycle of an access.
cpu_ben[1:0] 2 Out Byte enable signals.
dram_cpu_data[255:0] 256 In Read data from the DRAM.
diu_cpu_rreq I Out Read request to the DIU.

diu_cpu_rack I In cknowledge from DIU that read request has been accepted.
diu_cpu_rvalid 1 In Signal from DIU indicating that valid read data is on the dram cpu data bus cpu_diu_wdatavalid 1 Out Signal from the CPU to the DIU indicating that the data currently on the cpu diu wdata bus is alid and should be committed to the DIU
posted write buffer diu_cpu write_rdy I In Signal from the DIU indicating that the posted rite buffer is empty cpu_diu_wdadr[21:4] 18 Out rite address bus to the DIU
cpu_diu_wdata[127:0] 128 Out rite data bus to the DIU
cpu_diu_wmask[15:0] 16 Out rite mask for the cpu diu wdata bus. Each bit corresponds to a byte of the 128-bit cpu diu wdata bus.
LEON AHB bridge to MMU Control Block signals cpu_mmu_adr 32 Out CPU Address Bus.
mmu_cpu_data 32 In Data bus from the MMU
mmu_cpu_rdy 1 In Ready signal from the MMU
cpu_mmu_acode 2 Out ccess code signals to the MMU
mmu_cpu_berr 1 In Bus error signal from the MMU
dram access en 1 In DRAM access enable signal. A DRAM access cannot be initiated unless it has been enabled by the MMU control unit.
Description:
The LEON AHB bridge must ensure that all CPU bus transactions are functionally correct and that the timing requirements are met. The AHB bridge also implements a 128-bit DRAM
write buffer to improve the efficiency of DRAM writes, particularly for multiple successive writes to DRAM. The AHB bridge is also responsible for ensuring endianness coherency i.e.
guaranteeing that the correct data appears in the correct position on the data buses (hrdata, cpu dataout and cpu mmu wdata) for every type of access. This is a requirement because the LEON uses big-endian addressing while the rest of SoPEC is little-endian.
The LEON AHB bridge will assert request signals to the DIU if the MMU control block deems the access to be a legal access. The validity (i.e. is the CPU running in the correct mode for the address space being accessed) of an access is determined by the contents of the relevant RegionNControl register. As the SPARC standard requires that all accesses are aligned to their word size (i.e. byte, half-word, word or double-word) and so it is not possible for an access to traverse a 256-bit boundary (as required by the DIU). Invalid DRAM accesses are not propagated to the DIU and will result in an error response (ahbso.hresp ='01') on the AHB.
The DIU bus protocol is described in more detail in section 20.9. The DIU will return a 256-bit dataword on dram cpu data[255:0] for every read access.
The CPU subsystem bus protocol is described in section 11.4.3. While the LEON
AHB bridge performs the protocol translation between AHB and the CPU subsystem bus the select signals for each block are generated by address decoding in the CPU subsystem bus interface. The CPU
subsystem bus interface also selects the correct read data bus, ready and error signals for the block being addressed and passes these to the LEON AHB bridge which puts them on the AHB bus.
It is expected that some signals (especially those external to the CPU block) will need to be registered here to meet the timing requirements. Careful thought will be required to ensure that overall CPU access times are not excessively degraded by the use of too many register stages.
11.6.6.1.1 DRAM write buffer The DRAM write buffer improves the efficiency of DRAM writes by aggregating a number of CPU
write accesses into a single DIU write access. This is achieved by checking to see if a CPU write is to an address already in the write buffer and if so the write is immediately acknowledged (i.e. the ahbsf.hready signal is asserted without any wait states) and the DRAM write buffer updated accordingly. When the CPU write is to a DRAM address other than that in the write buffer then the current contents of the write buffer are sent to the DIU (where they are placed in the posted write buffer) and the DRAM write buffer is updated with the address and data of the CPU write. The DRAM write buffer consists of a 128-bit data buffer, an 18-bit write address tag and a 16-bit write mask. Each bit of the write mask indicates the validity of the corresponding byte of the write buffer as shown in Figure 21 below.

The operation of the DRAM write buffer is summarised by the following set of rules:
1) The DRAM write buffer only contains DRAM write data i.e. peripheral writes go directly to the addressed peripheral.
2) CPU writes to locations within the DRAM write buffer or to an empty write buffer (i.e. the write mask bits are all 0) complete with zero wait states regardless of the size of the write (byte/half-word/word/ double-word).
3) The contents of the DRAM write buffer are flushed to DRAM whenever a CPU
write to a location outside the write buffer occurs, whenever a CPU read from a location within the write buffer occurs or whenever a write to a peripheral register occurs.
4) A flush resulting from a peripheral write will not cause any extra wait states to be inserted in the peripheral write access.
5) Flushes resulting from a DRAM accesses will cause wait states to be inserted until the DIU
posted write buffer is empty. If the DIU posted write buffer is empty at the time the flush is required then no wait states will be inserted for a flush resulting from a CPU write or one wait state will be inserted for a flush resulting from a CPU read (this is to ensure that the DIU
sees the write request ahead of the read request). Note that in this case further wait states will also be inserted as a result of the delay in servicing the read request by the DIU.
11.6.6.1.2 DIU interface waveforms Figure 22 below depicts the operation of the AHB bridge over a sample sequence of DRAM
transactions consisting of a read into the DCache, a double-word store to an address other than that currently in the DRAM write buffer followed by an lCache line refill. To avoid clutter a number of AHB control signals that are inputs to the MMU have been grouped together as ahbsi.CONTROL
and only the ahbso.HREADY is shown of the output AHB control signals.
The first transaction is a single word load ('LD'). The MMU (specifically the MMU control block) uses the first cycle of every access (i.e. the address phase of an AHB transaction) to determine whether or not the access is a legal access. The read request to the DIU is then asserted in the following cycle (assuming the access is a valid one) and is acknowledged by the DIU a cycle later. Note that the time from cpu diu rreq being asserted and diu cpu rack being asserted is variable as it depends on the DIU configuration and access patterns of DIU requestors. The AHB bridge will insert wait states until it sees the diu cpu rvalid signal is high, indicating the data ('LD1') on the dram cpu data bus is valid. The AHB bridge terminates the read access in the same cycle by asserting the ahbso.HREADY signal (together with an 'OKAY' HRESP code). The AHB bridge also selects the appropriate 32 bits ('RD1') from the 256-bit DRAM line data ('LD1') returned by the DIU
corresponding to the word address given by Al.
The second transaction is an AHB two-beat incrementing burst issued by the LEON acache block in response to the execution of a double-word store instruction. As LEON is a big endian processor the address issued ('A2') during the address phase of the first beat of this transaction is the address of the most significant word of the double-word while the address for the second beat ('A3') is that of the least significant word i.e. A3 = A2 +4. The presence of the DRAM write buffer allows these writes to complete without the insertion of any wait states. This is true even when, as shown here, the DRAM write buffer needs to be flushed into the DIU posted write buffer, provided the DIU
posted write buffer is empty. If the DIU posted write buffer is not empty (as would be signified by diu cpu write rdy being low) then wait states would be inserted until it became empty. The cpu diu wdata buffer builds up the data to be written to the DIU over a number of transactions ('BD1' and 'BD2' here) while the cpu diu wmask records every byte that has been written to since the last flush - in this case the lowest word and then the second lowest word are written to as a result of the double-word store operation.
The final transaction shown here is a DRAM read caused by an ICache miss. Note that the pipelined nature of the AHB bus allows the address phase of this transaction to overlap with the final data phase of the previous transaction. All ICache misses appear as single word loads ('LD') on the AHB bus. In this case we can see that the DIU is slower to respond to this read request than to the first read request because it is processing the write access caused by the DRAM write buffer flush. The ICache refill will complete just after the window shown in Figure 22.
11.6.6.2 CPU Subsystem Bus Interface The CPU Subsystem Interface block handles all valid accesses to the peripheral blocks that comprise the CPU Subsystem.
Table 23. CPU Subsystem Bus Interface I/Os Port name Pins I/O Description Global SoPEC signals prst n I In Global reset. Synchronous to pclk, active low.
pclk 1 In Global clock oplevel/Common CPU Subsystem Bus Interface signals cpu_cpr sel I Out CPR block select.
cpu_gpio_sel I Out GPIO block select.
cpu_icu sel 1 Out ICU block select.
cpu_Iss_sel 1 Out LSS block select.
cpu_pcu_sel I Out PCU block select.
cpu_scb_sel I Out SCB block select.
cpu_tim_sel 1 Out imers block select.
cpu_rom_sel 1 Out ROM block select.
cpu_pss_sel I Out PSS block select.
cpu_diu_sel 1 Out DIU block select., cpr cpu_data[31:0] 32 In Read data bus from the CPR block gpio_cpu_data[31:0] 32 In Read data bus from the GPIO block icu_cpu_data[31:0] 32 In Read data bus from the ICU block Iss_cpu_data[31:0] 32 In Read data bus from the LSS block pcu_cpu_data[31:0] 32 In Read data bus from the PCU block scb_cpu_data[31:0] 32 In Read data bus from the SCB block im_cpu_data[31:0] 32 In Read data bus from the Timers block rom_cpu_data[31:0] 32 In Read data bus from the ROM block pss_cpu_data[31:0] 32 In Read data bus from the PSS block diu_cpu_data[31:0] 32 In Read data bus from the DIU block cpr_cpu_rdy 1 In Ready signal to the CPU. When cpr cpu rdy is high it indicates the last cycle of the access. For a rite cycle this means cpu dataout has been registered by the CPR block and for a read cycle his means the data on cpr cpu data is valid.
gpio_cpu_rdy 1 In GPIO ready signal to the CPU.
icu_cpu_rdy 1 In ICU ready signal to the CPU.
lss cpu_rdy 1 In LSS ready signal to the CPU.
pcu_cpu_rdy I In PCU ready signal to the CPU.
scb_cpu_rdy 1 In SCB ready signal to the CPU.
im_cpu_rdy 1 In imers block ready signal to the CPU.
rom_cpu_rdy 1 In ROM block ready signal to the CPU.
pss_cpu_rdy 1 In, PSS block ready signal to the CPU.

diu_cpu_rdy 1 In DIU register block ready signal to the CPU.
cpr cpu_berr 1 In Bus Error signal from the CPR block gpio_cpu_berr 1 In Bus Error signal from the GPIO block icu_cpu_berr 1 In Bus Error signal from the ICU block Iss_cpu_berr 1 In Bus Error signal from the LSS block pcu_cpu_berr 1 In Bus Error signal from the PCU block scb_cpu_berr 1 In Bus Error signal from the SCB block im_cpu_berr 1 In Bus Error signal from the Timers block rom_cpu_berr 1 In Bus Error signal from the ROM block pss_cpu_berr 1 In Bus Error signal from the PSS block diu_cpu_berr I In Bus Error signal from the DIU block CPU Subsystem Bus Interface to MMU Control Block signals cpu_adr[19:12] 8 In oplevel CPU Address bus. Only bits 19-12 are required to decode the peripherals address space peri_access_en 1 In Enable Access signal. A peripheral access cannot be initiated unless it has been enabled by the MMU
Control Unit peri_mmu_data[31:0] 32 Out Data bus from the selected peripheral peri_mmu_rdy 1 Out Data Ready signal. Indicates the data on the eri mmu data bus is valid for a read cycle or that he data was successfully written to the peripheral or a write cycle.
peri_mmu_berr 1 Out Bus Error signal. Indicates a bus error has occurred in accessing the selected peripheral CPU Subsystem Bus Interface to LEON AHB bridge signals cpu_start access 1 In Start Access signal from the LEON AHB bridge indicating the start of a data transfer and that the cpu adr, cpu dataout, cpu rwn and cpu acode signals are all valid. This signal is only asserted during the first cycle of an access.
Description:
The CPU Subsystem Bus Interface block performs simple address decoding to select a peripheral and multiplexing of the returned signals from the various peripheral blocks.
The base addresses used for the decode operation are defined in Table . Note that access to the MMU configuration registers are handled by the MMU Control Block rather than the CPU Subsystem Bus Interface block. The CPU Subsystem Bus Interface block operation is described by the following pseudocode:

masked cpu_adr = cpu_adr[17:12]

case (masked cpu_adr) when TIM base [17: 12]
cpu_tim_sel = peri_access_en The peri_access_en signal will have the peri_mmu_data = tim cpu_data timing required for block selects peri_mmu rdy = tim cpu_rdy peri_mmu berr = tim cpu_berr all_other selects = 0 Shorthand to ensure other cpu_block sel signals // remain deasserted when LSS base[17:12]
cpu_lss_sel = peri_access_en peri_mmu data = lss_cpu_data peri_mmu rdy = lss_cpu_rdy peri_mmu berr = lss_cpu_berr all other selects = 0 when GPIO base[17:12]
cpu_gpio_sel = peri_access_en peri_mmu data = gpio_cpu_data peri_mmu_rdy = gpio_cpu_rdy peri_mmu berr = gpio_cpu_berr all other selects = 0 when SCB base[17:12]
cpu_scb_sel = peri_access_en peri_mmu data = scb_cpu_data peri_mmu rdy = scb_cpu_rdy peri_mmu berr = scb cpu_berr all other selects = 0 when ICU base[17:12]
cpu_icu_sel = peri_access_en peri_mmu_data = icu_cpu_data peri_mmu_rdy = icu cpu_rdy peri_mmu berr = icu cpu_berr all other selects = 0 when CPR base[17:12]
cpu_cpr_sel = peri_access_en peri_mmu data = cpr_cpu_data peri_mmu rdy = cpr_cpu_rdy peri_mmu berr = cpr_cpu_berr all other selects = 0 when ROM base[17:12]
cpu_rom_sel = peri_access_en peri mmu data = rom cpu_data peri_mmu rdy = rom_cpu_rdy peri_mmu berr = rom cpu_berr all other selects = 0 when PSS base[17:12]
cpu_pss_sel = peri_access_en peri_mmu data = pss_cpu_data peri_mmu_rdy = pss_cpu_rdy peri_mmu_berr = pss_cpu_berr all other selects = 0 when DIU base[17:12]
cpu diu_sel = peri_access_en peri_mmu data = diu cpu_data peri_mmu rdy = diu cpu_rdy peri_mmu berr = diu cpu_berr all other selects = 0 when PCU base[17:12]
cpu_pcu_sel = peri_access_en peri_mmu_data = pcu_cpu_data peri_mmu rdy = pcu_cpu_rdy peri_mmu berr = pcu_cpu berr all other selects = 0 when others all block selects = 0 peri._mmu. data = Ox00000000 peri_mmu_rdy = 0 peri mmu_berr = 1 end case 11.6.6.3 MMU Control Block The MMU Control Block determines whether every CPU access is a valid access.
No more than one cycle is to be consumed in determining the validity of an access and all accesses must terminate with the assertion of either mmu cpu rdy or mmu cpu berr. To safeguard against stalling the CPU a simple bus timeout mechanism will be supported.
Table 24. MMU Control Block I/Os Port name JPins I/O Description Global SoPEC signals prst_n 1 In Global reset. Synchronous to pclk, active low.

pclk 1 In Global clock oplevel/Common MMU Control Block signals cpu_adr[21:2] 22 Out ddress bus for both DRAM and peripheral access.
cpu_acode[1:0] 2 Out CPU access code signals (cpu mmu acode) retimed o meet the CPU Subsystem Bus timing requirements dram_access_en 1 Out DRAM Access Enable signal. Indicates that the current CPU access is a valid DRAM access.
MMU Control Block to LEON AHB bridge signals cpu_mmu_adr[31:0] 32 In CPU core address bus.
cpu_dataout[31:0] 32 In oplevel CPU data bus mmu_cpu_data[31:0] 32 Out Data bus to the CPU core. Carries the data for all CPU read operations cpu_rwn 1 In oplevel CPU Read/notWrite signal.
cpu_mmu_acode[1:0] 2 In CPU access code signals mmu_cpu_rdy 1 Out Ready signal to the CPU core. Indicates the completion of all valid CPU accesses.
mmu_cpu_berr 1 Out Bus Error signal to the CPU core. This signal is asserted to terminate an invalid access.
cpu_startaccess I In Start Access signal from the LEON AHB bridge indicating the start of a data transfer and that the cpu adr, cpu dataout, cpu rwn and cpu acode signals are all valid. This signal is only asserted during the first cycle of an access.
cpu_iack 1 In Interrupt Acknowledge signal from the CPU. This signal is only asserted during an interrupt acknowledge cycle.
cpu_ben[1:0] 2 In Byte enable signals indicating which bytes of the 32-bit bus are being accessed.
MMU Control Block to CPU Subsystem Bus Interface signals cpu_adr[17:12] 8 Out oplevel CPU Address bus. Only bits 17-12 are required to decode the peripherals address space peri_access_en 1 Out Enable Access signal. A peripheral access cannot be initiated unless it has been enabled by the MMU
Control Unit peri_mmu_data[31:0] 32 In Data bus from the selected peripheral peri_mmu_rdy I In Data Ready signal. Indicates the data on the erl mmu data bus is valid for a read cycle or that he data was successfully written to the peripheral for a write cycle.

peri_mmu_berr 1 In Bus Error signal. Indicates a bus error has occurred in accessing the selected peripheral Description:
The MMU Control Block is responsible for the MMU's core functionality, namely determining whether or not an access to any part of the address map is valid. An access is considered valid if it is to a mapped area of the address space and if the CPU is running in the appropriate mode for that address space. Furthermore the MMU control block must correctly handle the special cases that are: an interrupt acknowledge cycle, a reset exception vector fetch, an access that crosses a 256-bit DRAM word boundary and a bus timeout condition. The following pseudocode shows the logic required to implement the MMU Control Block functionality. It does not deal with the timing relationships of the various signals - it is the designer's responsibility to ensure that these relationships are correct and comply with the different bus protocols. For simplicity the pseudocode is split up into numbered sections so that the functionality may be seen more easily.
It is important to note that the style used for the pseudocode will differ from the actual coding style used in the RTL implementation. The pseudocode is only intended to capture the required functionality, to clearly show the criteria that need to be tested rather than to describe how the implementation should be performed. In particular the different comparisons of the address used to determine which part of the memory map, which DRAM region (if applicable) and the permission checking should all be performed in parallel (with results ORed together where appropriate) rather than sequentially as the pseudocode implies.
PSO Description: This first segment of code defines a number of constants and variables that are used elsewhere in this description. Most signals have been defined in the I/O
descriptions of the MMU sub-blocks that precede this section of the document. The post reset state variable is used later (in section PS4) to determine if we should trap a null pointer access.

PSO:
const UnusedBottom = Oxoo2AC0oo const DRAMTop = Ox4O27FFFF
const UserDataSpace = bOl const UserProgramSpace = b00 const SupervisorDataSpace = bll const SupervisorProgramSpace = blO
const ResetExceptionCycles = 0x2 cpu_adr_peri_masked[5:0] = cpu_mmu_adr[17:12]
cpu_adr dram masked[16:0] = cpu_mmu adr & OxOO3FFFEO
if (prst_n == 0) then // Initialise everything cpu_adr = cpu_mmu_adr[21:2]
peri_access_en = 0 dram access en = 0 mmu cpu_data = peri_mmu data mmu_cpu_rdy = 0 mmu_cpu_berr = 0 post_reset_state = TRUE
access initiated = FALSE
cpu_access_cnt = 0 The following is used to determine if we are coming out of reset for the purposes of // reset exception vector redirection. There may be a convenient signal in the CPU core // that we could use instead of this.
if ((cpu_start_access == 1) AND (cpu_access_cnt <
ResetExceptionCycles) AND
(clock tick == TRUE)) then cpu_access_cnt = cpu_access_cnt +1 else post_reset_state = FALSE
PS1 Description: This section is at the top of the hierarchy that determines the validity of an access.
The address is tested to see which macro-region (i.e. Unused, CPU Subsystem or DRAM) it falls into or whether the reset exception vector is being accessed.

Psi:
if (cpu mmu_adr >= UnusedBottom) then // The access is to an invalid area of the address space. See section PS2 elsif ((cpu_mmu_adr > DRAMTop) AND (cpu_mmu_adr <
UnusedBottom)) then // We are in the CPU Subsystem/PEP Subsystem address space. See section PS3 // Only remaining possibility is an access to DRAM address space // First we need to intercept the special case for the reset exception vector elsif (cpu_mmu_adr < Ox00000010) then // The reset exception is being accessed. See section PS4 elsif ((cpu_adr_dram masked >= RegionOBottom) AND
(cpu_adr_dram masked <=
RegionOTop) ) then We are in RegionO. See section PS5 elsif ((cpu_adr_dram masked >= RegionNBottom) AND
(cpu_adr_dram masked <=
RegionNTop) ) then // we are in RegionN
// Repeat the RegionO (i.e. section PS5) logic for each of Regionl to Region7 else // We could end up here if there were gaps in the DRAM regions peri_access_en = 0 dram access en = 0 mmu cpu_berr = 1 // we have an unknown access error, most likely due to hitting mmu_cpu_rdy = 0 // a gap in the DRAM regions // Only thing remaining is to implement a bus timeout function. This is done in PS6 end PS2 Description: Accesses to the large unused area of the address space are trapped by this section. No bus transactions are initiated and the mmu cpu berr signal is asserted.
PS2:
elsif (cpu_mmu_adr >= UnusedBottom) then peri_access_en = 0 // The access is to an invalid area of the address space dram access en = 0 mmu_cpu_berr = 1 mmu_cpu_rdy = 0 PS3 Description: This section deals with accesses to CPU Subsystem peripherals, including the MMU itself. If the MMU registers are being accessed then no external bus transactions are required.
Access to the MMU registers is only permitted if the CPU is making a data access from supervisor mode, otherwise a bus error is asserted and the access terminated. For non-MMU
accesses then transactions occur over the CPU Subsystem Bus and each peripheral is responsible for determining whether or not the CPU is in the correct mode (based on the cpu acode signals) to be permitted access to its registers. Note that all of the PEP registers are accessed via the PCU which is on the CPU Subsystem Bus.

PS3:
elsif ((cpu_mmu_adr > DRAMTop) AND (cpu_mmu_adr <
UnusedBottom)) then // We are in the CPU Subsystem/PEP Subsystem address space cpu_adr = cpu mmu_adr[21:2]
if (cpu_adr_peri_masked == MMU base) then access is to local registers peri_access_en = 0 dram access en = 0 if (cpu_acode == SupervisorDataSpace) then for (i=0; i<26; i++) {
if ((i == cpu_mmu_adr[6:2]) then // selects the addressed register if (cpu_rwn == 1) then mmu. cpu_data [16: 0] = NIMUReg [i] MMUReg [i]
is one of the mmu_cpu_rdy = 1 /J registers in Table mmu_cpu_berr = 0 else // write cycle MMUReg [ i] = cpu_dataout [ 16 : 0]
mmu_cpu_rdy = 1 mmu_cpu_berr = 0 else there is no register mapped to this address mmu_cpu_berr = 1 // do we really want a bus_error here as registers mmu cpu_rdy = 0 // are just mirrored in other blocks else // we have an access violation mmu_cpu_berr = 1 mmu_cpu_rdy = 0 else // access is to something else on the CPU Subsystem Bus peri_access_en = 1 dram access en = 0 mmu_cpu_data = peri mmu data mmu_cpu_rdy = peri_mmu rdy mmu cpu_berr = peri_mmu berr PS4 Description: The only correct accesses to the locations beneath Ox00000010 are fetches of the reset trap handling routine and these should be the first accesses after reset. Here we trap all other accesses to these locations regardless of the CPU mode. The most likely cause of such an access will be the use of a null pointer in the program executing on the CPU.

PS4:
elsif (cpu_mmu adr < Ox00000010) then if (post_reset_state == TRUE)) then cpu_adr = cpu_mmu_adr[21:2]
peri_access_en = 1 dram access en = 0 mmu_cpu_data = peri_mmu_data mmu cpu_rdy = peri_mmu rdy mmu cpu_berr = peri_mmu berr else we have a problem (almost certainly a null pointer) peri_access_en = 0 dram access en = 0 mmu_cpu_berr = 1 mmu_cpu_rdy = 0 PS5 Description: This large section of pseudocode simply checks whether the access is within the bounds of DRAM RegionO and if so whether or not the access is of a type permitted by the RegionOControl register. If the access is permitted then a DRAM access is initiated. If the access is not of a type permitted by the RegionOControl register then the access is terminated with a bus error.

PS5:
elsif ((cpu_adr_dram masked >= RegionOBottom) AND
(cpu_adr dram masked <=
RegionOTop) ) then // we are in Region0 cpu_adr = cpu mmu adr[21:2]
if (cpu_rwn == 1) then if ((cpu_acode == SupervisorProgramSpace AND
RegionOControl(21 == 1)) OR (cpu_acode == UserProgramSpace AND
RegionOControl[5] == 1)) then this is a valid instruction fetch from RegionO
// The dram cpu_data bus goes directly to the LEON
AHB bridge which also handles the hready generation peri_access_en = 0 dram access en = 1 mmu_cpu_berr = 0 elsif ((cpu_acode -= SupervisorDataSpace AND
RegionOControl [0] == 1) OR (cpu_acode == UserDataSpace AND
RegionOControl[3] == 1)) then // this is a valid read access from RegionO
peri_access_en = 0 dram access en = 1 mmu_cpu_berr = 0 else we have an access violation peri_access_en = 0 dram access en = 0 mmu_cpu_berr = 1 mmu_cpu_rdy = 0 else it is a write access if ((cpu_acode == SupervisorDataSpace AND
RegionOControl [l] == 1) OR (cpu_acode == UserDataSpace AND
RegionOControl[4] == 1)) then // this is a valid write access to RegionO
peri_access_en = 0 dram access en = 1 mmu_cpu_berr = 0 else we have an access violation peri_access_en = 0 dram access en = 0 mmu_cpu_berr = 1 mmu_cpu_rdy = 0 PS6 Description: This final section of pseudocode deals with the special case of a bus timeout. This occurs when an access has been initiated but has not completed before the BusTimeout number of pclk cycles. While access to both DRAM and CPU/PEP Subsystem registers will take a variable number of cycles (due to DRAM traffic, PCU command execution or the different timing required to access registers in imported IP) each access should complete before a timeout occurs. Therefore it should not be possible to stall the CPU by locking either the CPU Subsystem or DIU buses.
However given the fatal effect such a stall would have it is considered prudent to implement bus timeout detection.
PS6:
// Only thing remaining is to implement a bus timeout function.
if ((cpu_start_access == 1) then access initiated = TRUE
timeout countdown = BusTimeout if ((mmu cpu_rdy == 1) OR (mmu_cpu_berr ==1 )) then access initiated = FALSE
peri_access_en = 0 dram access en = 0 if ((clock tick == TRUE) AND (access initiated == TRUE) AND
(BusTimeout != 0)) if (timeout countdown > 0) then timeout countdown--else // timeout has occurred peri_access_en = 0 // abort the access dram access en = 0 mmu_cpu_berr = 1 mmu_cpu_rdy = 0 11.7 LEON CACHES
The version of LEON implemented on SoPEC features 1 kB of lCache and 1 kB of DCache. Both caches are direct mapped and feature 8 word lines so their data RAMs are arranged as 32 x 256-bit and their tag RAMs as 32 x 30-bit (itag) or 32 x 32-bit (dtag). Like most of the rest of the LEON code used on SoPEC the cache controllers are taken from the leon2-1Ø7 release.
The LEON cache controllers and cache RAMs have been modified to ensure that an entire 256-bit line is refilled at a time to make maximum use out of the memory bandwidth offered by the embedded DRAM
organization (DRAM lines are also 256-bit). The data cache controller has also been modified to ensure that user mode code cannot access the DCache contents unless it is authorised to do so. A
block diagram of the LEON CPU core as implemented on SoPEC is shown in Figure 23 below.
In this diagram dotted lines are used to indicate hierarchy and red items represent signals or wrappers added as part of the SoPEC modifications. LEON makes heavy use of VHDL records and the records used in the CPU core are described in Table 25. Unless otherwise stated the records are defined in the iface.vhd file (part of the LEON release) and this should be consulted for a complete breakdown of the record elements.
Table 25. Relevant LEON records Record Name Description rfi Register File Input record. Contains address, datain and control signals for the register file.
rfo Register File Output record. Contains the data out of the dual read port register ile.
ici Instruction Cache In record. Contains program counters from different stages of the pipeline and various control signals ico Instruction Cache Out record. Contains the fetched instruction data and arious control signals. This record is also sent to the DCache (i.e. icol) so that diagnostic accesses (e.g. Idalsta) can be serviced.
dci Data Cache In record. Contains address and data buses from different stages of the pipeline (execute & memory) and various control signals dco Data Cache Out record. Contains the data retrieved from either memory or the caches and various control signals. This record is also sent to the ICache (i.e.
dcol) so that diagnostic accesses (e.g. Ida/sta) can be serviced.
iui Integer Unit In record. This record contains the interrupt request level and a record for use with LEONs Debug Support Unit (DSU) iuo Integer Unit Out record. This record contains the acknowledged interrupt request level with control signals and a record for use with LEONs Debug Support Unit (DSU) mcii Memory to Cache Icache In record. Contains the address of an Icache miss and various control signals mcio Memory to Cache Icache Out record. Contains the returned data from memory and various control signals mcdi Memory to Cache Dcache In record. Contains the address and data of a Dcache miss or write and various control signals mcdo Memory to Cache Dcache Out record. Contains the returned data from memory and various control signals ahbi HB In record. This is the input record for an AHB master and contains the data bus and AHB control signals. The destination for the signals in this record is the AHB controller. This record is defined in the amba.vhd file ahbo HB Out record. This is the output record for an AHB master and contains the address and data buses and AHB control signals. The AHB controller drives he signals in this record. This record is defined in the amba.vhd file ahbsi HB Slave In record. This is the input record for an AHB slave and contains he address and data buses and AHB control signals. It is used by the DCache o facilitate cache snooping (this feature is not enabled in SoPEC). This record is defined in the amba.vhd file crami Cache RAM In record. This record is composed of records of records which contain the address, data and tag entries with associated control signals for both the ICache RAM and DCache RAM
cramo Cache RAM Out record. This record is composed of records of records which contain the data and tag entries with associated control signals for both the ICache RAM and DCache RAM
iline_rdy Control signal from the ICache controller to the instruction cache memory. This signal is active (high) when a full 256-bit line (on dram cpu data) is to be written to cache memory.
dline_rdy Control signal from the DCache controller to the data cache memory.
This signal is active (high) when a full 256-bit line (on dram_cpu_data) is to be written to cache memory.
dram_cpu_data 256-bit data bus from the embedded DRAM
11.7.1 Cache controllers The LEON cache module consists of three components: the ICache controller (icache.vhd), the DCache controller (dcache.vhd) and the AHB bridge (acache.vhd) which translates all cache misses into memory requests on the AHB bus.
In order to enable full line refill operation a few changes had to be made to the cache controllers.
The ICache controller was modified to ensure that whenever a location in the cache was updated (i.e. the cache was enabled and was being refilled from DRAM) all locations on that cache line had their valid bits set to reflect the fact that the full line was updated. The iline rdy signal is asserted by the ICache controller when this happens and this informs the cache wrappers to update all locations in the idata RAM for that line.
A similar change was made to the DCache controller except that the entire line was only updated following a read miss and that existing write through operation was preserved.
The DCache controller uses the dline rdy signal to instruct the cache wrapper to update all locations in the ddata RAM for a line. An additional modification was also made to ensure that a double-word load instruction from a non-cached location would only result in one read access to the DIU i.e. the second read would be serviced by the data cache. Note that if the DCache is turned off then a double-word load instruction will cause two DIU read accesses to occur even though they will both be to the same 256-bit DRAM line.
The DCache controller was further modified to ensure that user mode code cannot access cached data to which it does not have permission (as determined by the relevant RegionNControl register settings at the time the cache line was loaded). This required an extra 2 bits of tag information to record the user read and write permissions for each cache line. These user access permissions can be updated in the same manner as the other tag fields (i.e. address and valid bits) namely by line refill, STA instruction or cache flush. The user access permission bits are checked every time user code attempts to access the data cache and if the permissions of the access do not agree with the permissions returned from the tag RAM then a cache miss occurs. As the MMU
evaluates the access permissions for every cache miss it will generate the appropriate exception for the forced cache miss caused by the errant user code. In the case of a prohibited read access the trap will be immediate while a prohibited write access will result in a deferred trap. The deferred trap results from the fact that the prohibited write is committed to a write buffer in the DCache controller and program execution continues until the prohibited write is detected by the MMU
which may be several cycles later. Because the errant write was treated as a write miss by the DCache controller (as it did not match the stored user access permissions) the cache contents were not updated and so remain coherent with the DRAM contents (which do not get updated because the MMU
intercepted the prohibited write). Supervisor mode code is not subject to such checks and so has free access to the contents of the data cache.
In addition to AHB bridging, the ACache component also performs arbitration between lCache and DCache misses when simultaneous misses occur (the DCache always wins) and implements the Cache Control Register (CCR). The leon2-1Ø7 release is inconsistent in how it handles cacheability: For instruction fetches the cacheability (i.e. is the access to an area of memory that is cacheable) is determined by the ICache controller while the ACache determines whether or not a data access is cacheable. To further complicate matters the DCache controller does determine if an access resulting from a cache snoop by another AHB master is cacheable (Note that the SoPEC
ASIC does not implement cache snooping as it has no need to do so). This inconsistency has been cleaned up in more recent LEON releases but is preserved here to minimise the number of changes to the LEON RTL. The cache controllers were modified to ensure that only DRAM
accesses (as defined by the SoPEC memory map) are cached.
The only functionality removed as a result of the modifications was support for burst fills of the ICache. When enabled burst fills would refill an ICache line from the location where a miss occurred up to the end of the line. As the entire line is now refilled at once (when executing from DRAM) this functionality is no longer required. Furthermore more substantial modifications to the ICache controller would be needed if we wished to preserve this function without adversely affecting full line refills. The CCR was therefore modified to ensure that the instruction burst fetch bit (bit16) was tied low and could not be written to.

11.7.1.1 LEON Cache Control Register The CCR controls the operation of both the I and D caches. Note that the bitfields used on the SoPEC implementation of this register are based on the LEON v1Ø7 implementation and some bits have their values tied off. See section 4 of the LEON manual for a description of the LEON
cache controllers.
Table 26. LEON Cache Control Register Field Name bit(s) Description ICS 1:0 Instruction cache state:
00 - disabled 01 -frozen - disabled 11 - enabled Reserved 13:6 Reserved. Reads as 0.
DCS 3:2 Data cache state:
00 - disabled 01 -frozen 10 - disabled 11 - enabled IF 4 ICache freeze on interrupt 0 - Do not freeze the ICache contents on taking an interrupt 1 - Freeze the ICache contents on taking an interrupt DF 5 DCache freeze on interrupt 0 - Do not freeze the DCache contents on taking an interrupt 1- Freeze the DCache contents on taking an interrupt Reserved 13:6 Reserved. Reads as 0.
DP 14 Data cache flush pending.
0 - No DCache flush in progress 1 - DCache flush in progress his bit is ReadOnly.
lP 15 Instruction cache flush pending.
0 - No ICache flush in progress 1- ICache flush in progress his bit is ReadOnly.
IB 16 Instruction burst fetch enable. This bit is tied low on SoPEC because it ould interfere with the operation of the cache wrappers. Burst refill unctionality is automatically provided in SoPEC by the cache rappers.
Reserved 20:17 Reserved. Reads as 0.

Fl 21 Flush instruction cache. Writing a 1 this bit will flush the ICache.
Reads as 0.
FD 22 Flush data cache. Writing a 1 this bit will flush the DCache. Reads as 0.
DS 23 Data cache snoop enable. This bit is tied low in SoPEC as there is no requirement to snoop the data cache.
Reserved 31:24 Reserved. Reads as 0.
11.7.2 Cache wrappers The cache RAMs used in the leon2-1Ø7 release needed to be modified to support full line refills and the correct IBM macros also needed to be instantiated. Although they are described as RAMs throughout this document (for consistency), register arrays are actually used to implement the cache RAMs. This is because IBM SRAMs were not available in suitable configurations (offered configurations were too big) to implement either the tag or data cache RAMs.
Both instruction and data tag RAMs are implemented using dual port (1 Read & I Write) register arrays and the clocked write-through versions of the register arrays were used as they most closely approximate the single port SRAM LEON expects to see.
11.7.2.1 Cache Tag RAM wrappers The itag and dtag RAMs differ only in their width - the itag is a 32x30 array while the dtag is a 32x32 array with the extra 2 bits being used to record the user access permissions for each line. When read using a LDA instruction both tags return 32-bit words. The tag fields are described in Table 27 and Table 28 below. Using the IBM naming conventions the register arrays used for the tag RAMs are called RA032X30D2P2W 1 RI M3 for the itag and RA032X32D2P2W1 R1 M3 for the dtag. The ibm syncram wrapper used for the tag RAMs is a simple affair that just maps the wrapper ports on to the appropriate ports of the IBM register array and ensures the output data has the correct timing by registering it. The tag RAMs do not require any special modifications to handle full line refills.
Table 27. LEON Instruction Cache Tag Field Name bit(s) Description alid 7:0 Each valid bit indicates whether or not the corresponding ord of the cache line contains valid data Reserved 9:8 Reserved - these bits do not exist in the itag RAM. Reads as 0.
ddress 31:10 The tag address of the cache line Table 28. LEON Data Cache Tag Field Name bit(s) Description alid 7:0 1Each valid bit indicates whether or not the corresponding ord of the cache line contains valid data URP 8 User read permission.
0 - User mode reads will force a refill of this line 1- User mode code can read from this cache line.
UWP 9 User write permission.
0 - User mode writes will not be written to the cache 1- User mode code can write to this cache line.
ddress 31:10 The tag address of the cache line 11.7.2.2 Cache Data RAM wrappers The cache data RAM contains the actual cached data and nothing else. Both the instruction and data cache data RAMs are implemented using 8 32x32-bit register arrays and some additional logic to support full line refills. Using the IBM naming conventions the register arrays used for the tag RAMs are called RA032X32D2P2W 1 R1 M3. The ibm cdram wrap wrapper used for the tag RAMs is shown in Figure 24 below.
To the cache controllers the cache data RAM wrapper looks like a 256x32 single port SRAM (which is what they expect to see) with an input to indicate when a full line refill is taking place (the line rdy signal). Internally the 8-bit address bus is split into a 5-bit lineaddress, which selects one of the 32 256-bit cache lines, and a 3-bit wordaddress which selects one of the 8 32-bit words on the cache line. Thus each of the 8 32x32 register arrays contains one 32-bit word of each cache line. When a full line is being refilled (indicated by both the line rdy and write signals being high) every register array is written to with the appropriate 32 bits from the linedatain bus which contains the 256-bit line returned by the DIU after a cache miss. When just one word of the cache line is to be written (indicated by the write signal being high while the line rdy is low) then the wordaddress is used to enable the write signal to the selected register array only - all other write enable signals are kept low. The data cache controller handles byte and half-word write by means of a read-modify-write operation so writes to the cache data RAM are always 32-bit.
The wordaddress is also used to select the correct 32-bit word from the cache line to return to the LEON integer unit.
11.8 REALTIME DEBUG UNIT (RDU) The RDU facilitates the observation of the contents of most of the CPU
addressable registers in the SoPEC device in addition to some pseudo-registers in realtime. The contents of pseudo-registers, i.e. registers that are collections of otherwise unobservable signals and that do not affect the functionality of a circuit, are defined in each block as required. Many blocks do not have pseudo-registers and some blocks (e.g. ROM, PSS) do not make debug information available to the RDU
as it would be of little value in realtime debug.
Each block that supports realtime debug observation features a DebugSelect register that controls a local mux to determine which register is output on the block's data bus (i.e.
block cpu data). One small drawback with reusing the blocks data bus is that the debug data cannot be present on the same bus during a CPU read from the block. An accompanying active high block cpu debug valld signal is used to indicate when the data bus contains valid debug data and when the bus is being used by the CPU. There is no arbitration for the bus as the CPU will always have access when required. A block diagram of the RDU is shown in Figure 25.
Table 29. RDU I/Os Port name Pins I/O Description diu_cpu_data 32 In Read data bus from the DIU block cpr cpu_data 32 In Read data bus from the CPR block gpio_cpu_data 32 In Read data bus from the GPIO block icu_cpu_data 32 In Read data bus from the ICU block Iss_cpu_data 32 In Read data bus from the LSS block pcu cpu_debug data 32 In Read data bus from the PCU block scb_cpu_data 32 In Read data bus from the SCB block im_cpu_data 32 In Read data bus from the TIM block diu_cpu_debug calid 1 In Signal indicating the data on the diu cpu data bus is alid debug data.
im_cpu_debug valid 1 In Signal indicating the data on the tim cpu data bus is alid debug data.
scb_cpu_debug_valid I In Signal indicating the data on the scb cpu data bus is alid debug data.
pcu_cpu_debug valid 1 In Signal indicating the data on the pcu cpu data bus is alid debug data.
Iss_cpu_debug calid 1 In Signal indicating the data on the /ss cpu data bus is alid debug data.
icu_cpu_debug_valid 1 In Signal indicating the data on the icu cpu data bus is alid debug data.
gpio_cpu_debug valid 1 In Signal indicating the data on the gpio cpu data bus is alid debug data.
cpr_cpu_debug_valid 1 In Signal indicating the data on the cpr cpu data bus is valid debug data.
debug_data_out 32 Out Output debug data to be muxed on to the PHI/GPIO/other pins debug_data_valid 1 Out Debug valid signal indicating the validity of the data on debug data out. This signal is used in all debug configurations debug_cntrl 33 Out Control signal for each debug data line indicating hether or not the debug data should be selected by he pin mux As there are no spare pins that can be used to output the debug data to an external capture device some of the existing I/Os will have a debug multiplexer placed in front of them to allow them be used as debug pins. Furthermore not every pin that has a debug mux will always be available to carry the debug data as they may be engaged in their primary purpose e.g. as a GPIO pin. The RDU therefore outputs a debug cntrl signal with each debug data bit to indicate whether the mux associated with each debug pin should select the debug data or the normal data for the pin. The DebugPinSel9 and DebugPinSel2 registers are used to determine which of the 33 potential debug pins are enabled for debug at any particular time.
As it may not always be possible to output a full 32-bit debug word every cycle the RDU supports the outputting of an n-bit sub-word every cycle to the enabled debug pins.
Each debug test would then need to be re-run a number of times with a different portion of the debug word being output on the n-bit sub-word each time. The data from each run should then be correlated to create a full 32-bit (or whatever size is needed) debug word for every cycle. The debug data_valid and pclk out signals will accompany every sub-word to allow the data to be sampled correctly. The pclk out signal is sourced close to its output pad rather than in the RDU to minimise the skew between the rising edge of the debug data signals (which should be registered close to their output pads) and the rising edge of pclk out.
As multiple debug runs will be needed to obtain a complete set of debug data the n-bit sub-word will need to contain a different bit pattern for each run. For maximum flexibility each debug pin has an associated DebugDataSrc register that allows any of the 32 bits of the debug data word to be output on that particular debug data pin. The debug data pin must be enabled for debug operation by having its corresponding bit in the DebugPinSel registers set for the selected debug data bit to appear on the pin.
The size of the sub-word is determined by the number of enabled debug pins which is controlled by the DebugPinSel registers. Note that the debug data_valid signal is always output. Furthermore debug cntrl[0] (which is configured by DebugPinSell) controls the mux for both the debug data valid and pclk_out signals as both of these must be enabled for any debug operation.
The mapping of debug data out[n] signals onto individual pins will take place outside the RDU.
This mapping is described in Table 30 below.
Table 30. DebugPinSel mapping bit # Pin DebugPinSel1 phi frclk. The debugdata_valid signal will appear on this pin when enabled. Enabling this pin also automatically enables the phi_readl pin which will output the pclk out signal DebugPinSel2(0-31) gpio[0...31 ]
Table 31. RDU Configuration Registers ddress offset from Register bits Reset Description MMU_base 0x80 DebugSrc Ox00 Denotes which block is supplying the debug data. The encoding of this block is given below.

- ICU

0x84 DebugPinSel 1 Ox0 Determines whether the phi frclk and 1 phi_readl pins are used for debug output.
1- Pin outputs debug data 0 - Normal pin function 0x88 DebugPinSel 32 0x000 Determines whether a pin is used for debug 2 0_000 data output.
0 1 - Pin outputs debug data 0 - Normal pin function Ox8C to Ox108 DebugDataSr 32 x 5 OxOO Selects which bit of the 32-bit debug data c[31:0] ord will be output on debug_data_out[N]
11.9 INTERRUPT OPERATION
The interrupt controller unit (see chapter 14) generates an interrupt request by driving interrupt request lines with the appropriate interrupt level. LEON supports 15 levels of interrupt with level 15 as the highest level (the SPARC architecture manual [36] states that level 15 is non-maskable but 5 we have the freedom to mask this if desired). The CPU will begin processing an interrupt exception when execution of the current instruction has completed and it will only do so if the interrupt level is higher than the current processor priority. If a second interrupt request arrives with the same level as an executing interrupt service routine then the exception will not be processed until the executing routine has completed.
When an interrupt trap occurs the LEON hardware will place the program counters (PC and nPC) into two local registers. The interrupt handier routine is expected, as a minimum, to place the PSR
register in another local register to ensure that the LEON can correctly return to its pre-interrupt state. The 4-bit interrupt level (irl) is also written to the trap type (tt) field of the TBR (Trap Base Register) by hardware. The TBR then contains the vector of the trap handler routine the processor will then jump. The TBA (Trap Base Address) field of the TBR must have a valid value before any interrupt processing can occur so it should be configured at an early stage.

Interrupt pre-emption is supported while ET (Enable Traps) bit of the PSR is set. This bit is cleared during the initial trap processing. In initial simulations the ET bit was observed to be cleared for up to 30 cycles. This causes significant additional interrupt latency in the worst case where a higher priority interrupt arrives just as a lower priority one is taken.
The interrupt acknowledge cycles shown in Figure 26 below are derived from simulations of the LEON processor. The SoPEC toplevel interrupt signals used in this diagram map directly to the LEON interrupt signals in the iui and iuo records. An interrupt is asserted by driving its (encoded) level on the icu cpu ilevel[3:0] signals (which map to iui.irl[3:0]). The LEON
core responds to this, with variable timing, by reflecting the level of the taken interrupt on the cpu Icu ilevel(3:0] signals (mapped to iuo.irl[3:0]) and asserting the acknowledge signal cpu iack (iuo.intack).The interrupt controller then removes the interrupt level one cycle after it has seen the level been acknowledged by the core. If there is another pending interrupt (of lower priority) then this should be driven on icu cpu ilevel(3:0] and the CPU will take that interrupt (the level 9 interrupt in the example below) once it has finished processing the higher priority interrupt. The cpu icu ilevel[3:0] signals always reflect the level of the last taken interrupt, even when the CPU has finished processing all interrupts.
11.10 BOOT OPERATION
See section 17.2 for a description of the SoPEC boot operation.
11.11 SOFTWARE DEBUG
Software debug mechanisms are discussed in the "SoPEC Software Debug" document [15].
12 Serial Communications Block (SCB) 12.1 OVERVIEW
The Serial Communications Block (SCB) handles the movement of all data between the SoPEC
and the host device (e.g. PC) and between master and slave SoPEC devices. The main components of the SCB are a Full-Speed (FS) USB Device Core, a FS USB Host Core, a Inter-SoPEC Interface (ISI), a DMA manager, the SCB Map and associated control logic. The need for these components and the various types of communication they provide is evident in a multi-SoPEC
printer configuration.
12.1.1 Multi-SoPEC systems While single SoPEC systems are expected to form the majority of SoPEC systems the SoPEC
device must also support its use in multi-SoPEC systems such as that shown in Figure 27. A
SoPEC may be assigned any one of a number of identities in a multi-SoPEC
system. A SoPEC may be one or more of a PrintMaster, a LineSyncMaster, an ISIMaster, a StorageSoPEC or an ISISlave SoPEC.
12.1.1.1 ISIMaster device The ISIMaster is the only device that controls the common ISI lines (see Figure 30) and typically interfaces directly with the host. In most systems the ISIMaster will simply be the SoPEC connected to the USB bus. Future systems, however, may employ an ISI-Bridge chip to interface between the host and the ISI bus and in such systems the ISI-Bridge chip will be the ISIMaster. There can only be one ISIMaster on an ISI bus.

Systems with multiple SoPECs may have more than one host connection, for example there could be two SoPECs communicating with the external host over their FS USB links (this would of course require two USB cables to be connected), but still only one ISIMaster.
While it is not expected to be required, it is possible for a device to hand over its role as the ISIMaster to another device on the ISI i.e. the ISIMaster is not necessarily fixed.
12.1.1.2 PrintMaster device The PrintMaster device is responsible for co-ordinating all aspects of the print operation. This includes starting the print operation in all printing SoPECs and communicating status back to the external host. When the ISIMaster is a SoPEC device it is also likely to be the PrintMaster as well.
There may only be one PrintMaster in a system and it is most likely to be a SoPEC device.
12.1.1.3 LineSyncMaster device The LineSyncMaster device generates the Isync pulse that all SoPECs in the system must synchronize their line outputs with. Any SoPEC in the system could act as a LineSyncMaster although the PrintMaster is probably the most likely candidate. It is possible that the LineSyncMaster may not be a SoPEC device at all - it could, for example, come from some OEM
motor control circuitry. There may only be one LineSyncMaster in a system.
12.1.1.4 Storage device For certain printer types it may be realistic to use one SoPEC as a storage device without using its print engine capability - that is to effectively use it as an ISI-attached DRAM. A storage SoPEC
would receive data from the ISIMaster (most likely to be an ISI-Bridge chip) and then distribute it to the other SoPECs as required. No other type of data flow (e.g. ISISlave ->
storage SoPEC ->
ISISlave) would need to be supported in such a scenario. The SCB supports this functionality at no additional cost because the CPU handles the task of transferring outbound data from the embedded DRAM to the ISI transmit buffer. The CPU in a storage SoPEC will have almost nothing else to do.
12.1.1.5 ISISlave device Multi-SoPEC systems will contain one or more ISISlave SoPECs. An ISISlave SoPEC is primarily used to generate dot data for the printhead IC it is driving. An ISISIave will not transmit messages on the ISI without first receiving permission to do so, via a ping packet (see section 12.4.4.6), from the ISIMaster 12.1.1.6 ISI-Brldge device SoPEC is targeted at the low-cost small office / home office (SoHo) market. It may also be used in future systems that target different market segments which are likely to have a high speed interface capability. A future device, known as an ISI-Bridge chip, is envisaged which will feature both a high speed interface (such as High-Speed (HS) USB, Ethernet or IEEE1394) and one or more ISI
interfaces. The use of multiple ISI buses would allow the construction of independent print systems within the one printer. The ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to.
12.1.1.7 External host The external host is most likely (but is not required) to be, a PC. Any system that can act as a USB
host or that can interface to an ISI-Bridge chip could be the external host.
In particular, with the development of USB On-The-Go (USB OTG), it is possible that a number of USB
OTG enabled products such as PDAs or digital cameras will be able to directly interface with a SoPEC printer.
12.1.1.8 External USB device The external USB device is most likely (but is not required) to be, a digital camera. Any system that can act as a USB device could be connected as an external USB device. This is to facilitate printing in the absence of a PC.
12.1.2 Types of communication 12.1.2.1 Communications with external host The external host communicates directly with the ISIMaster in order to print pages. When the ISIMaster is a SoPEC, the communications channel is FS USB.
12.1.2.1.1 External host to ISIMaster communication The external host will need to communicate the following information to the ISIMaster device:
= Communications channel configuration and maintenance information = Most data destined for PrintMaster, ISISIave or storage SoPEC devices. This data is simply relayed by the ISIMaster = Mapping of virtual communications channels, such as USB endpoints, to ISI
destination 12.1.2.1.2 ISIMaster to external host communication The ISIMaster will need to communicate the following information to the external host:
= Communications channel configuration and maintenance information = All data originating from the PrintMaster, ISISlave or storage SoPEC devices and destined for the external host. This data is simply relayed by the ISIMaster 12.1.2.1.3 External host to PrintMaster communication The external host will need to communicate the following information to the PrintMaster device:
= Program code for the PrintMaster = Compressed page data for the PrintMaster = Control messages to the PrintMaster = Tables and static data required for printing e.g. dead nozzle tables, dither matrices etc.
= Authenticatable messages to upgrade the printer's capabilities 12.1.2.1.4 PrintMaster to external host communication The PrintMaster will need to communicate the following information to the external host:
= Printer status information (i.e. authentication results, paper empty/jammed etc.) = Dead nozzle information = Memory buffer status information = Power management status = Encrypted SoPEC_id for use in the generation of PRINTER_QA keys during factory programming 12.1.2.1.5 External host to ISISlave communication All communication between the external host and ISISlave SoPEC devices must be direct (via a dedicated connection between the external host and the ISISlave) or must take place via the ISIMaster. In the case of a SoPEC ISIMaster it is possible to configure each individual USB
endpoint to act as a control channel to an ISISlave SoPEC if desired, although the endpoints will be more usually used to transport data. The external host will need to communicate the following information to ISISiave devices over the comms/ISI:
= Program code for ISISlave SoPEC devices = Compressed page data for ISISlave SoPEC devices = Control messages to the ISISlave SoPEC (where a control channel is supported) = Tables and static data required for printing e.g. dead nozzle tables, dither matrices etc.
= Authenticatable messages to upgrade the printer's capabilities 12.1.2.1.6 ISISlave to external host communication All communication between the ISISlave SoPEC devices and the external host must take place via the ISIMaster. The ISISlave will need to communicate the following information to the external host over the comms/ISI:
= Responses to the external host's control messages (where a control channel is supported) = Dead nozzle information from the ISISlave SoPEC.
= Encrypted SoPEC_id for use in the generation of PRINTER_QA keys during factory programming 12.1.2.2 Communication with external USB device 12.1.2.2.1 ISIMaster to External USB device communication = Communications channel configuration and maintenance information.
12.1.2.2.2 External USB device to ISIMaster communication = Print data from a function on the external USB device.
12.1.2.3 Communication over ISl 12.1.2.3.1 ISIMaster to PrintMaster communication The ISIMaster and PrintMaster will often be the same physical device. When they are different devices then the following information needs to be exchanged over the ISI:
= All data from the external host destined for the PrintMaster (see section 12.1.2.1.4).
This data is simply relayed by the ISIMaster 12.1.2.3.2 PrintMaster to ISIMaster communication The ISIMaster and PrintMaster will often be the same physical device. When they are different devices then the following information needs to be exchanged over the ISI:
= All data from the PrintMaster destined for the external host (see section 12.1.2.1.4).
This data is simply relayed by the ISIMaster 12.1.2.3.3 ISIMaster to ISISlave communication The ISIMaster may wish to communicate the following information to the ISISlaves:
= All data (including program code such as ISIld enumeration) originating from the external host and destined for the ISISlave (see section 12.1.2.1.5). This data is simply relayed by the ISIMaster = wake up from sleep mode 12.1.2.3.4 ISISIave to ISIMaster communication The ISISlave may wish to communicate the following information to the ISIMaster:
= All data originating from the ISISlave and destined for the external host (see section 12.1.2.1.6). This data is simply relayed by the ISIMaster 12.1.2.3.5 PrintMaster to ISISlave communication When the PrintMaster is not the ISIMaster all ISI communication is done in response to ISI ping packets (see 12.4.4.6). When the PrintMaster is the ISIMaster then it will of course communicate directly with the ISISlaves. The PrintMaster SoPEC may wish to communicate the following information to the ISISlaves:
= Ink status e.g. requests for dotCount data i.e. the number of dots in each color fired by the printheads connected to the ISISlaves = configuration of GPIO ports e.g. for clutch control and lid open detect = power down command telling the ISISlave to enter sleep mode = ink cartridge fail information This list is not complete and the time constraints associated with these requirements have yet to be determined.
In general the PrintMaster may need to be able to:
= send messages to an ISISlave which will cause the ISISlave to return the contents of ISISlave registers to the PrintMaster or = to program ISISlave registers with values sent by the PrintMaster This should be under the control of software running on the CPU which writes messages to the ISI/SCB interface.
12.1.2.3.6 ISISIave to PrintMaster communication ISISlaves may need to communicate the following information to the PrintMaster:
= ink status e.g. dotCount data i.e. the number of dots in each color fired by the printheads connected to the ISISlaves = band related information e.g. finished band interrupts = page related information i.e. buffer underrun, page finished interrupts = MMU security violation interrupts = GPIO interrupts and status e.g. clutch control and lid open detect = printhead temperature = printhead dead nozzle information from SoPEC printhead nozzle tests = power management status This list is not complete and the time constraints associated with these requirements have yet to be determined.
As the ISI is an insecure interface commands issued over the ISI should be of limited capability e.g.
only limited register writes allowed. The software protocol needs to be constructed with this in mind.
In general ISISlaves may need to return register or status messages to the PrintMaster or ISIMaster. They may also need to indicate to the PrintMaster or ISIMaster that a particular interrupt has occurred on the ISISlave. This should be under the control of software running on the CPU
which writes messages to the ISI block.
12.1.2.3.7 1SISlave to ISISlave communication The amount of information that will need to be communicated between ISISlaves will vary considerably depending on the printer configuration. In some systems ISISlave devices will only need to exchange small amounts of control information with each other while in other systems (such as those employing a storage SoPEC or extra USB connection) large amounts of compressed page data may be moved between ISISlaves. Scenarios where ISISlave to ISISlave communication is required include: (a) when the PrintMaster is not the ISIMaster, (b) QA Chip ink usage protocols, (c) data transmission from data storage SoPECs, (d) when there are multiple external host connections supplying data to the printer.
12.1.3 SCB Block Diagram The SCB consists of four main sub-blocks, as shown in the basic block diagram of Figure 28.
12.1.4 Definitions of I/Os The toplevel I/Os of the SCB are listed in Table 32. A more detailed description of their functionality will be given in the relevant sub-block sections.
Table 32. SCB I/O

Port name s 11/0 Description Clocks and Resets prst_n I In System reset signal. Active low.
Pclk 1 In System clock.
usbclk 1 In 48MHz clock for the USB device and host cores. The cores also require a 12MHz clock, which will be generated locally by dividing the 48MHz clock by 4.
isi_cpr_reset_n 1 Out Signal from the ISI indicating that ISI activity has been detected while in sleep mode and so he chip should be reset. Active low.
usbd_cpr reset_n 1 Out Signal from the USB device that a USB reset has occurred. Active low.
USB device 10 transceiver signals usbd ts I Out USB device 10 transceiver (BUSB2_PM) driver hree-state control. Active high enable.
usbd_a 1 Out USB device 10 transceiver (BUSB2_PM) driver data input.
usbd_se0 1 Out USB device 10 transceiver (BUSB2_PM) single-ended zero input. Active high.

usbd_zp 1 In USB device 10 transceiver (BUSB2_PM) D+
receiver output.
usbd_zm 1 In USB device 10 transceiver (BUSB2_PM) D-receiver output.
usbd z 1 In USB device 10 transceiver (BUSB2_PM) differential receiver output.
usbd_pull_up_en 1 Out USB device pull-up resistor enable. Switches power to the external pull-up resistor, connected to the D+ line that is required for device identification to the USB. Active high.
usbd vbus_sense 1 In USB device VBUS power sense. Used to detect power on VBUS. NOTE: The IBM Cu11 PADS are 3.3V, VBUS is 5V. An external volt-age conversion will be necessary, e.g. resistor divider network. Active high.
USB host 10 transceiver signals usbh_ts 1 Out USB host 10 transceiver (BUSB2_PM) driver hree-state control. Active high enable usbh_a 1 Out USB host 10 transceiver (BUSB2_PM) driver data input.
usbh_se0 1 Out USB host 10 transceiver (BUSB2_PM) single-ended zero input. Active high.
usbh_zp 1 In USB host 10 transceiver (BUSB2_PM) D+
receiver output.
usbh_zm 1 In USB host 10 transceiver (BUSB2_PM) D-receiver output.
usbh z I In USB host 10 transceiver (BUSB2_PM) differential receiver output.
usbh_over_current I In USB host port power over current indicator.
ctive high.
usbh_power_en 1 Out USB host VBUS power enable. Used for port power switching. Active high.
CPU Interface cpu_adr[n:2] n-I In CPU address bus.
cpu_dataout[31:0] 32 In Shared write data bus from the CPU
scb_cpu_data[31:0] 32 Out Read data bus to the CPU
cpu_rwn 1 In Common read/not-write signal from the CPU
cpu acode[1:0] 2 In CPU Access Code signals. These decode as ollOWs:
00 - User program access 01 - User data access - Supervisor program access 11 - Supervisor data access cpu_scb_sel 1 In Block select from the CPU. When cpu scb sel is high both cpu adr and cpu dataout are valid scb_cpu_rdy 1 Out Ready signal to the CPU. When scb cpu rdy is high it indicates the last cycle of the access.
For a write cycle this means cpu dataout has been registered by the SCB and for a read cycle this means the data on scb_cpu data is alid.
scb_cpu_berr 1 Out Bus error signal to the CPU indicating an invalid access.
scb_cpu_debug_valid 1 Out Signal indicating that the data currently on scb cpu data is valid debug data Interrupt signals dma_icu_irq 1 Out DMA interrupt signal to the interrupt controller block.
isi_icu_irq 1 Out ISI interrupt signal to the interrupt controller block.
usb_icu_irq[1:0] 2 Out USB host and device interrupt signals to the ICU.
Bit 0 - USB Host interrupt Bit 1- USB Device interrupt DIU interface scb diu wadr[21:5] 17 Out rite address bus to the DIU
scb_diu_data[63:0] 64 Out Data bus to the DIU.
scb_diu wreq 1 Out rite request to the DIU
diu_scb wack 1 In cknowledge from the DIU that the write request was accepted.
scb_diu wvalid 1 Out Signal from the SCB to the DIU indicating that he data currently on the scb diu data(63:0]
bus is valid scb_diu wmask[7:0] 7 Out Byte aligned write mask. A"1" in a bit field of "scb diu wmask(7:0]"
means that the corresponding byte will be ritten to DRAM.

scb_diu_rreq I Out Read request to the DIU.
scb_diu_radr[21:5] 17 Out Read address bus to the DIU
diu_scb_rack 1 In cknowledge from the DIU that the read request was accepted.
diu_scb_rvalid 1 In Signal from the DIU to the SCB indicating that he data currently on the diu data[63:0] bus is alid diu_data[63:0] 64 In Common DIU data bus.
GPIO interface isi_gpio_dout[3:0] 4 Out ISI output data to GPIO pins isi_gpio e[3:0] 4 Out ISI output enable to GPIO pins gpio_isi_din[3:0] In Input data from GPIO pins to ISI
12.1.5 SCB Data Flow A logical view of the SCB is shown in Figure 29, depicting the transfer of data within the SCB.
12.2 USBD (USB DEVICE SUB-BLOCK) 12.2.1 Overview The FS USB device controller core and associated SCB logic are referred to as the USB Device (USBD).
A SoPEC printer has FS USB device capability to facilitate communication between an external USB host and a SoPEC printer. The USBD is self-powered. It connects to an external USB host via a dedicated USB interface on the SoPEC printer, comprising a USB connector, the necessary discretes for USB signalling and the associated SoPEC ASIC I/Os.
The FS USB device core will be third party IP from Synopsys: TymeWareTM USB1.1 Device Controller (UDCVCI). Refer to the UDCVCI User Manual [20] for a description of the core.
The device core does not support LS USB operation. Control and bulk transfers are supported by the device. Interrupt transfers are not considered necessary because the required interrupt-type functionality can be achieved by sending query messages over the control channel on a scheduled basis. There is no requirement to support isochronous transfers.
The device core is configured to support 6 USB endpoints (EPs): the default control EP (EPO), 4 bulk OUT EPs (EP1, EP2, EP3, EP4) and 1 bulk IN EP (EP5). It should be noted that the direction of each EP is with respect to the USB host, i.e. IN refers to data transferred to the external host and OUT refers to data transferred from the external host. The 4 bulk OUT EPs will be used for the transfer of data from the external host to SoPEC, e.g. compressed page data, program data or control messages. Each bulk OUT EP can be mapped on to any target destination in a multi-SoPEC
system, via the SCB Map configuration registers. The bulk IN EP is used for the transfer of data from SoPEC to the external host, e.g. a print image downloaded from a digital camera that requires processing on the external host system. Any feedback data will be returned to the external host on EPO, e.g. status information.
The device core does not provide internal buffering for any of its EPs (with the exception of the 8 byte setup data payload for control transfers). All EP buffers are provided in the SCB. Buffers will be grouped according to EP direction and associated packet destination. The SCB
Map configuration registers contain a DestlSlld and DestISlSubld for each OUT EP, defining their EP mapping and therefore their packet destination. Refer to section Section 12.4 ISI (Inter SoPEC Interface Sub-block) for further details on ISlld and ISISubld. Refer to section Section 12.5 CTRL (Control Sub-block) for further details on the mapping of OUT EPs.
12.2.2 USBD effective bandwidth The effective bandwidth between an external USB host and the printer will be influenced by:
= Amount of activity from other devices that share the USB with the printer.
= Throughput of the device controller core.
= EP buffering implementation.
= Responsiveness of the external host system CPU in handling USB interrupts.
To maximize bandwidth to the printer it is recommended that no other devices are active on the USB between the printer and the external host. If the printer is connected to a HS USB external host or hub it may limit the bandwidth available to other devices connected to the same hub but it would not significantly affect the bandwidth available to other devices upstream of the hub. The EP
buffering should not limit the USB device core throughput, under normal operating conditions.
Used in the recommended configuration, under ideal operating conditions, it is expected that an effective bandwidth of 8-9 Mbit/s will be achieved with bulk transfers between the external host and the printer.
12.2.3 IN EP packet buffer The IN EP packet buffer stores packets originating from the LEON CPU that are destined for transmission over the USB to the external USB host. CPU writes to the buffer are 32 bits wide. USB
device core reads from the buffer 32 bits wide.
128 bytes of local memory are required in total for EPO-IN and EP5-IN
buffering. The IN EP buffer is a single, 2-port local memory instance, with a dedicated read port and a dedicated write port. Both ports are 32 bits wide. Each IN EP has a dedicated 64 byte packet location available in the memory array to buffer a single USB packet (maximum USB packet size is 64 bytes).
Each individual 64 byte packet location is structured as 16 x 32 bit words and is read/written in a FIFO manner.
When the device core reads a packet entry from the IN EP packet buffer, the buffer must retain the packet until the device core performs a status write, informing the SCB that the packet has been accepted by the external USB host and can be flushed. The CPU can therefore only write a single packet at a time to each IN EP. Any subsequent CPU write request to a buffer location containing a valid packet will be refused, until that packet has been successfully transmitted.
12.2.4 OUT EP packet buffer The OUT EP packet buffer stores packets originating from the external USB host that are destined for transmission over DMAChanneIO, DMAChannell or the ISI. The SCB control logic is responsible for routing the OUT EP packets from the OUT EP packet buffer to DMA or to the ISITx Buffer, based on the SCB Map configuration register settings. USB core writes to the buffer are 32 bits wide. DMA and ISI associated reads from the buffer are both 64 bits wide.

512 bytes of local memory are required in total for EPO-OUT, EP1-OUT, EP2-OUT, EP3-OUT and EP4-OUT buffering. The OUT EP packet buffer is a single, 2-port local memory instance, with a dedicated read port and a dedicated write port. Both ports are 64 bits wide.
Byte enables are used for the 32 bit wide USB device core writes to the buffer. Each OUT EP can be mapped to DMAChannelO, DMAChannell or the ISI.
The OUT EP packet buffer is partitioned accordingly, resulting in three distinct packet FIFOs:
= USBDDMAOFIFO, for USB packets destined for DMAChannelO on the local SoPEC.
= USBDDMAI FIFO, for USB packets destined for DMAChannell on the local SoPEC.
= USBDISIFIFO, for USB packets destined for transmission over the ISI.
12.2.4.1 USBDDMAnFIFO
This description applies to USBDDMAOFIFO and USBDDMAI FIFO, where'n' represents the respective DMA channel, i.e. n=0 for USBDDMAOFIFO, n=1 for USBDDMA1 FIFO.
USBDDMAnFIFO services any EPs mapped to DMAChanneln on the local SoPEC device.
This implies that a packet originating from an EP with an associated ISIld that matches the local SoPEC
ISIld and an ISISubld=n will be written to USBDDMAnFIFO, if there is space available for that packet.
USBDDMAnFIFO has a capacity of 2 x 64 byte packet entries, and can therefore buffer up to 2 USB
packets. It can be considered as a 2 packet entry FIFO. Packets will be read from it in the same order in which they were written, i.e. the first packet written will be the first packet read and the second packet written will be the second packet read. Each individual 64 byte packet location is structured as 8 x 64 bit words and is read/written in a FIFO manner.
The USBDDMAnFIFO has a write granularity of 64 bytes, to allow for the maximum USB packet size. The USBDDMAnFIFO will have a read granularity of 32 bytes to allow for the DMA write access bursts of 4 x 64 bit words, i.e. the DMA Manager will read 32 byte chunks at a time from the USBDDMAnFIFO 64byte packet entries, for transfer to the DIU.
It is conceivable that a packet which is not a multiple 32 bytes in size may be written to the USBDDMAnFIFO. When this event occurs, the DMA Manager will read the contents of the remaining address locations associated with the 32 byte chunk in the USBDDMAnFIFO, transferring the packet plus whatever data is present in those locations, resulting in a 32 byte packet (a burst of 4 x 64 bit words) transfer to the DIU.
The DMA channels should achieve an effective bandwidth of 160 Mbits/sec (1 bit/cycle) and should never become blocked, under normal operating conditions. As the USB bandwidth is considerably less, a 2 entry packet FIFO for each DMA channel should be sufficient.
12.2.4.2 USBDISIFIFO
USBDISIFIFO services any EPs mapped to ISI. This implies that a packet originating from an EP
with an associated ISIld that does not match the local SoPEC ISIld will be written to USBDISIFIFO
if there is space available for that packet.
USBDISIFIFO has a capacity of 4 x 64 byte packet entries, and can therefore buffer up to 4 USB
packets. It can be considered as a 4 packet entry FIFO. Packets will be read from it in the same order in which they were written, i.e. the first packet written will be the first packet read and the second packet written will be the second packet read, etc. Each individual 64 byte packet location is structured as 8 x 64 bit words and is read/written in a FIFO manner.
The ISI long packet format will be used to transfer data across the 1S1. Each IS11ong packet data payload is 32 bytes. The USBDISIFIFO has a write granularity of 64 bytes, to allow for the maximum USB packet size. The USBDISIFIFO will have a read granularity of 32 bytes to allow for the ISI packet size, i.e. the SCB will read 32 byte chunks at a time from the USBDISIFIFO 64byte packet entries, for transfer to the ISI.
It is conceivable that a packet which is not a multiple 32 bytes in size may be written to the USBDISIFIFO, either intentionally or due to a software error. A maskable interrupt per EP is provided to flag this event. There will be 2 options for dealing with this scenario on a per EP basis:
= Discard the packet.
= Read the contents of the remaining address locations associated with the 32 byte chunk in the USBDISIFIFO, transferring the irregular size packet plus whatever data is present in those locations, resulting in a 32 byte packet transfer to the ISITxBuffer.
The ISI should achieve an effective bandwidth of 100 Mbits/sec (4 wire configuration). It is possible to encounter a number of retries when transmitting an ISI packet and the LEON
CPU will require access to the ISI transmit buffer. However, considering the relatively low bandwidth of the USB, a 4 packet entry FIFO should be sufficient.
12.2.5 Wake-up from sleep mode The SoPEC will be placed in sleep mode after a suspend command is received by the USB device core. The USB device core will continue to be powered and clocked in sleep mode. A USB reset, as opposed to a device resume, will be required to bring SoPEC out of its sleep state as the sleep state is hoped to be logically equivalent to the power down state.
The USB reset signal originating from the USB controller will be propagated to the CPR (as usb cpr reset n) if the USBWakeupEnable bit of the WakeupEnable register (see Table ) has been set. The USBWakeupEnable bit should therefore be set just prior to entering sleep mode.
There is a scenario that would require SoPEC to initiate a USB remote wake-up (i.e. where SoPEC
signals resume to the external USB host after being suspended by the external USB host). A digital camera (or other supported external USB device) could be connected to SoPEC
via the internal SoPEC USB host controller core interface. There may be a need to transfer data from this external USB device, via SoPEC, to the external USB host system for processing. If the USB connecting the external host system and SoPEC was suspended, then SoPEC would need to initiate a USB remote wake-up.
12.2.6 Implementation 12.2.6.1 USBD Sub-block Partition * Block diagram * Definition of I/Os 12.2.6.2 USB Device lP Core 12.2.6.3 PVCI Target 12.2.6.4 IN EP Buffer 12.2.6.5 OUT EP Buffer 12.3 USBH (USB HOST SUB-BLOCK) 12.3.1 Overview The SoPEC USB Host Controller (HC) core, associated SCB logic and associated SoPEC ASIC
I/Os are referred to as the USB Host (USBH).
A SoPEC printer has FS USB host capability, to facilitate communication between an external USB
device and a SoPEC printer. The USBH connects to an external USB device via a dedicated USB
interface on the SoPEC printer, comprising a USB connector, the necessary discretes for USB
signalling and the associated SoPEC ASIC I/Os.
The FS USB HC core are third party IP from Synopsys: DesignWareR USB1.1 OHCI
Host Controller with PVCI (UHOSTC_PVCI). Refer to the UHOSTC_PVCI User Manual [18] for details of the core.
Refer to the Open Host Controller Interface (OHCI) Specification Release [19]
for details of OHCI
operation.
The HC core supports Low-Speed (LS) USB devices, although compatible external USB devices are most likely to be FS devices. It is expected that communication between an external USB
device and a SoPEC printer will be achieved with control and bulk transfers.
However, isochronous and interrupt transfers are also supported by the HC core.
There will be 2 communication channels between the Host Controller Driver (HCD) software running on the LEON CPU and the HC core:
= OHCI operational registers in the HC core. These registers are control, status, list pointers and a pointer to the Host Controller Communications Area (HCCA) in shared memory. A
target Peripheral Virtual Component Interface (PCVI) on the HC core will provide LEON with direct read/write access to the operational registers. Refer to the OHCI
Specification for details of these registers.
= HCCA in SoPEC eDRAM. An initiator Peripheral Virtual Component Interface (PCVI) on the HC core will provide the HC with DMA read/write access to an address space in eDRAM. The HCD running on LEON will have read/write access to the same address space. Refer to the OHCI Specification for details of the HCCA.
The target PVCI interface is a 32 bit word aligned interface, with byte enables for write access. All read/ write access to the target PVCI interface by the LEON CPU will be 32 bit word aligned. The byte enables will not be used, as all registers will be read and written as 32 bit words.
The initiator PVCI interface is a 32 bit word aligned interface with byte enables for write access. All DMA read/write accesses are 256 bit word aligned, in bursts of 4 x 64 bit words. As there is no guarantee that the read/write requests from the HC core will start at a 256 bit boundary or be 256 bits long, it is necessary to provide 8 byte enables for each of the 64 bit words in a write burst form the HC core to DMA. The signal scb diu wmask serves this purpose.
Configuration of the HC core will be performed by the HCD.
12.3.2 Read/Write Buffering The HC core maximum burst size for a read/write access is 4 x 32 bit words.
This implies that the minimum buffering requirements for the HC core will be a 1 entry deep address register and a 4 entry deep data register. It will be necessary to provide data and address mapping functionality to convert the 4 x 32 bit word HC core read/write bursts into 4 x 64 bit word DMA
read/write bursts.
This wifl meet the minimum buffering requirements.
12.3.3 USBH effective bandwidth The effective bandwidth between an external USB device and a SoPEC printer will be influenced by:
= Amount of activity from other devices that share the USB with the external USB device.
= Throughput of the HC core.
= HC read/write buffering implementation.
= Responsiveness of the LEON CPU in handling USB interrupts.
Effective bandwidth between an external USB device and a SoPEC printer is not an issue. The primary application of this connectivity is the download of a print image from a digital camera.
Printing speed is not important for this type of print operation. However, to maximize bandwidth to the printer it is recommended that no other devices are active on the USB
between the printer and the external USB device. The HC read/write buffering in the SCB should not limit the USB HC core throughput, under normal operating conditions.
Used in the recommended configuration, under ideal operating conditions, it is expected that an effective bandwidth of 8-9 Mbit/s will be achieved with bulk transfers between the external USB
device and the SoPEC printer.
12.3.4 Implementation 12.3.5 USBH Sub-block Partition * USBH Block Diagram * Definition of I/Os.
12.3.5.1 USB Host IP Core 12.3.5.2 PVCI Target 12.3.5.3 PVCI Initiator 12.3.5.4 Read/Write Buffer 12.4 ISI (INTER SOPEC INTERFACE SUB-BLOCK) 12.4.1 Overview The ISI is utilised in all system configurations requiring more than one SoPEC. An example of such a system which requires four SoPECs for duplex A3 printing and an additional SoPEC used as a storage device is shown in Figure 27.
The ISI performs much the same function between an ISISIave SoPEC and the ISIMaster as the USB connection performs between the ISIMaster and the external host. This includes the transfer of all program data, compressed page data and message (i.e. commands or status information) passing between the ISIMaster and the ISISIave SoPECs. The ISIMaster initiates all communication with the ISISfaves.
12.4.2 ISI Effective Bandwidth The ISI will need to run at a speed that will allow error free transmission on the.PCB while minimising the buffering and hardware requirements on SoPEC. While an ISI
speed of 10 Mbit/s is adequate to match the effective FS USB bandwidth it would limit the system performance when a high-speed connection (e.g. USB2.0, IEEE1394) is used to attach the printer to the PC. Although they would require the use of an extra ISI-Bridge chip such systems are envisaged for more expensive printers (compared to the low-cost basic SoPEC powered printers that are initially being targeted) in the future.
An ISI line speed (i.e. the speed of each individual ISI wire) of 32 Mbit/s is therefore proposed as it will allow ISI data to be over-sampled 5 times (at a pclk frequency of 160MHz). The total bandwidth of the ISI will depend on the number of pins used to implement the interface.
The ISI protocol will work equally well if 2 or 4 pins are used for transmission/reception. The ISINumPins register is used to select between a 2 or 4 wire ISI, giving peak raw bandwidths of 64 Mbit/s and 128 Mbit/s respectively. Using either a 2 or 4 wire ISI solution would allow the movement of data in to and out of a storage SoPEC (as described in 12.1.1.4 above), which is the most bandwidth hungry ISI use, in a timely fashion.
The ISINumPins register is used to select between a 2 or 4 wire ISI. A 2 wire ISI is the default setting for ISINumPins and this may be changed to a 4 wire ISI after initial communication has been established between the ISIMaster and all ISISlaves. Software needs to ensure that the switch from 2 to 4 wires is handled in a controlled and coordinated fashion so that nothing is transmitted on the ISI during the switch over period.
The maximum effective bandwidth of a two wire ISI, after allowing for protocol overheads and bus turnaround times, is expected to be approx. 50 Mbit/s.
12.4.3 ISI Device Identification and Enumeration The ISIMasterSel bit of the ISICntrl register (see section Table ) determines whether a SoPEC is an ISIMaster (ISIMasterSel = 1), or an ISISlave (ISIMasterSel = 0).
SoPEC defaults to being an ISISlave (ISIMasterSel = 0) after a power-on reset -i.e. it will not transmit data on the ISI without first receiving a ping. If a SoPEC's ISIMasterSel bit is changed to 1, then that SoPEC will become the ISIMaster, transmitting data without requiring a ping, and generating pings as appropriately programmed.
ISIMasterSel can be set to I explicitly by the CPU writing directly to the ISICntrl register.
ISIMasterSel can also be automatically set to 1 when activity occurs on any of USB endpoints 2-4 and the AutoMasterEnable bit of the ISICntrl register is also 1(the default reset condition). Note that if AutoMasterEnable is 0, then activity on USB endpoints 2-4 will not result in ISIMasterSel being set to 1. USB endpoints 2-4 are chosen for the automatic detection since the power-on-reset condition has USB endpoints 0 and I pointing to ISIId 0 (which matches the local SoPEC's ISIld after power-on reset). Thus any transmission on USB endpoints 2-4 indicate a desire to transmit on the ISI
which would usually indicate ISIMaster status. The automatic setting of ISIMasterSel can be disabled by clearing AutoMasterEnable, thereby allowing the SoPEC to remain an ISISlave while still making use of the USB endpoints 2-4 as external destinations.
Thus the setting of a SoPEC being ISIMaster or ISISlave can be completely under software control, or can be completely automatic.

The ISIId is established by software downloaded over the ISI (in broadcast mode) which looks at the input levels on a number of GPIO pins to determine the ISlld. For any given printer that uses a multi-SoPEC configuration it is expected that there will aiways be enough free GPIO pins on the ISISlaves to support this enumeration mechanism.
12.4.4 ISI protocol The ISI is a serial interface utilizing a 2/4 wire half-duplex configuration such as the 2-wire system shown in Figure 30 below. An ISIMaster must always be present and a variable number of ISISlaves may also be on the ISI bus. The ISI protocol supports up to 14 addressable slaves, however to simplify electrical issues the ISI drivers need only allow for 5-6 ISI devices on a particular ISI bus. The ISI bus enables broadcasting of data, ISIMaster to ISISlave communication, ISISlave to ISIMaster communication and ISISlave to ISISlave communication.
Flow control, error detection and retransmission of errored packets is also supported. ISI
transmission is asynchronous and a Start field is present in every transmitted packet to ensure synchronization for the duration of the packet.
To maximize the effective ISI bandwidth while minimising pin requirements a half-duplex interleaved transmission scheme is used. Figure 31 below shows how a 16-bit word is transmitted from an ISIMaster to an ISISlave over a 2-wire ISI bus. Since data will be interleaved over the wires and a 4-wire ISI is also supported, all ISI packets should be a multiple of 4 bits.
All ISI transactions are initiated by the ISIMaster and every non-broadcast data packet needs to be acknowledged by the addressed recipient. An ISISlave may oniy transmit when it receives a ping packet (see section 12.4.4.6) addressed to it. To avoid bus contention all ISI
devices must wait ISITurnAround bit-times (5 pclk cycles per bit) after detecting the end of a packet before transmitting a packet (assuming they are required to transmit). All non-transmitting ISI
devices must tristate their Tx drivers to avoid line contention. The ISI protocol is defined to avoid devices driving out of order (e.g. when an ISISlave is no longer being addressed). As the ISI uses standard I/O pads there is no physical collision detection mechanism.
There are three types of ISI packet: a long packet (used for data transmission), a ping packet (used by the ISIMaster to prompt ISISlaves for packets) and a short packet (used to acknowledge receipt of a packet). All ISI packets are delineated by a Start and Stop fields and transmission is atomic i.e.
an ISI packet may not be split or halted once transmission has started.
12.4.4.1 ISI transactions The different types of ISI transactions are outlined in Figure 32 below. As described later all NAKs are inferred and ACKs are not addressed to any particular ISI device.
12.4.4.2 Start Field Description The Start field serves two purposes: To allow the start of a packet be unambiguously identified and to allow the receiving device synchronise to the data stream. The symbol, or data value, used to identify a Start field must not legitimately occur in the ensuing packet. Bit stuffing is used to guarantee that the Start symbol will be unique in any valid (i.e. error free) packet. The ISI needs to see a valid Start symbol before packet reception can commence i.e. the receive logic constantly looks for a Start symbol in the incoming data and will reject all data until it sees a Start symbol.

Furthermore if a Start symbol occurs (incorrectly) during a data packet it will be treated as the start of a new packet. In this case the partially received packet will be discarded.
The data value of the Start symbol should guarantee that an adequate number of transitions occur on the physical ISI lines to allow the receiving ISI device to determine the best sampling window for the transmitted data. The Start symbol should also be sufficiently long to ensure that the bit stuffing overhead is low but should still be short enough to reduce its own contribution to the packet overhead. A Start symbol of b01010101 is therefore used as it is an effective compromise between these constraints.
Each SoPEC in a multi-SoPEC system will derive its system clock from a unique (i.e. one per SoPEC) crystal. The system clocks of each device will drift relative to each other over any period of time. The system clocks are used for generation and sampling of the ISI data.
Therefore the sampling window can drift and could result in incorrect data values being sampled at a later point in time. To overcome this problem the ISI receive circuitry tracks the sampling window against the incoming data to ensure that the data is sampled in the centre of the bit period.
12.4.4.3 Stop Field Description A 1 bit-time Stop field of b1 per ISI line ensures that all ISI lines return to the high state before the next packet is transmitted. The stop field is driven on to each ISI line simultaneously, i.e. b11 for a 2-wire ISI and b1111 for a 4-wire ISI would be interleaved over the respective ISI lines. Each ISI line is driven high for 1 bit-time. This is necessary because the first bit of the Start field is bO.
12.4.4.4 Bit Stuffing This involves the insertion of bits into the bitstream at the transmitting SoPEC to avoid certain data patterns. The receiving SoPEC will strip these inserted bits from the bitstream.
Bit-stuffing will be performed when the Start symbol appears at a location other than the start field of any packet, i.e. when the bit pattern b0101010 occurs at the transmitter, a 0 will be inserted to escape the Start symbol, resulting in the bit pattern b01010100. Conversely, when the bit pattern b0101010 occurs at the receiver, if the next bit is a '0' it will be stripped, if it is a '1' then a Start symbol is detected.
If the frequency variations in the quartz crystal were large enough, it is conceivable that the resultant frequency drift over a large number of consecutive 1 s or Os could cause the receiving SoPEC to loose synchronisation.s The quartz crystal that will be used in SoPEC
systems is rated for 32MHz @ 100ppm. In a multi-SoPEC system with a 32MHz+100ppm crystal and a 32MHz-100ppm crystal, it would take approximately 5000 pclk cycles to cause a drift of 1 pclk cycle.
This means that we would only need to bit-stuff somewhere before 1000 ISI bits of consecutive 1 s or consecutive Os, to ensure adequate synchronization. As the maximum number of bits transmitted per ISI li.ne in a packet is 145, it should not be sCurrent max packet size - 290 bits = 145 bits per ISI line (on a 2 wire ISI) = 725 160MHz cycles. Thus the pclks in the two communicating ISI devices should not drift by more than one cycle in 725 i.e. 1379 ppm.
Careful analysis of the crystal, PLL and oscillator specs and the sync detection circuit is needed here to ensure our solution is robust.

necessary to perform bit-stuffmg for consecutive i s or Os. We may wish to constrain the spec of xtalin and also xtalin for the ISI-Bridge chip to ensure the ISI cannot drift out of sync during packet reception.
Note that any violation of bit stuffing will result in the RxFrameErrorSticky status bit being set and the incoming packet will be treated as an errored packet.
12.4.4.5 I SI Long Packet The format of a long ISI packet is shown in Figure 33 below. Data may only be transferred between ISI devices using a long packet as both the short and ping packets have no payload field. Except in the case of a broadcast packet, the receiving ISI device will always reply to a long packet with an explicit ACK (if no error is detected in the received packet) or will not reply at all (e.g. an error is detected in the received packet), leaving the transmitter to infer a NAK. As with all ISI packets the bitstream of a long packet is transmitted with its Isb (the leftmost bit in Figure 33) first. Note that the total length (in bits) of an ISI long packet differs slightly between a 2 and 4-wire ISI system due to the different number of bits required for the Start and Stop fields.
All long packets begin with the Start field as described earlier. The PktDesc field is described in Table 33.
Table 33. PktDesc field description Bif". Deseription 0:1 00 - Long packet 01 - Reserved 10 - Ping packet 11 - Reserved 2 Sequence bit value. Only valid for long packets. See section 12.4.4.9 for a description of sequence bit operation Any ISI device in the system may transmit a long packet but only the ISIMaster may initiate an ISI
transaction using a long packet. An ISISlave may only send a long packet in reply to a ping message from the ISIMaster. A long packet from an ISISlave may be addressed to any ISI device in the system.
The Address field is straightforward and complies with the ISI naming convention described in section 12.5.
The payload field is exactly what is in the transmit buffer of the transmitting ISI device and gets copied into the receive buffer of the addressed ISI device(s). When present the payload field is always 256 bits.
To ensure strong error detection a 16-bit CRC is appended.
12.4.4.6 ISI Ping Packet The ISI ping packet is used to allow ISISlaves to transmit on the ISI bus. As can be seen from Figure 34 below the ping packet can be viewed as a special case of the long packet. In other words it is a long packet without any payload. Therefore the PktDesc field is the same as a long packet PktDesc, with the exception of the sequence bit, which is not valid for a ping packet. Both the ISISubld and the sequence bit are fixed at 1 for all ping packets. These values were chosen to maximize the hamming distance from an ACK symbol and to minimize the likelihood of bit stuffing.

The ISISubld is unused in ping packets because the ISIMaster is addressing the ISI device rather than one of the DMA channels in the device. The ISISlave may address any ISIId.ISISubld in response if it wishes. The ISIS1ave will respond to a ping packet with either an explicit ACK (if it has nothing to send), an inferred NAK (if it detected an error in the ping packet) or a long packet (containing the data it wishes to send). Note that inferred NAKs do not result in the retransmission of a ping packet. This is because the ping packet will be retransmitted on a predetermined schedule (see 12.4.4.11 for more details).
An ISISlave should never respond to a ping message to the broadcast ISlld as this must have been sent in error. An ISI ping packet will never be sent in response to any packet and may only originate from an ISIMaster.
12.4.4.7 ISI Short Packet The ISI short packet is only 17 bits long, including the Start and Stop fields. A value of b11101011 is proposed for the ACK symbol. As a 16-bit CRC is inappropriate for such a short packet it is not used. In fact there is only one valid value for a short ACK packet as the Start, ACK and Stop symbols all have fixed values. Short packets are only used for acknowledgements (i.e. explicit ACKs). The format of a short ISI packet is shown in Figure 35 below. The ACK
value is chosen to ensure that no bit stuffing is required in the packet and to minimize its hamming distance from ping and long ISI packets.

92.4.4.8 Error Detection and Retransmission The 16-bit CRC will provide a high degree of error detection and the probability of transmission errors occurring is very low as the transmission channel (i.e. PCB traces) will have a low inherent bit error rate. The number of undetected errors should therefore be minute.
The HDLC standard CRC-16 (i.e. G(x) = x16 + x12 + x5 +1) is to be used for this calculation, which is to be performed serially. It is calculated over the entire packet (excluding the Start and Stop fields).
A simple retransmission mechanism frees the CPU from getting involved in error recovery for most errors because the probability of a transmission error occurring more than once in succession is very, very low in normal circumstances.
After each non-short ISI packet is transmitted the transmitting device will open a reply window. The size of the reply window will be ISIShortReplyWin bit times when a short packet is expected in reply, i.e. the size of a short packet, allowing for worst case bit stuffing, bus turnarounds and timing differences. The size of the reply window will be ISILongReplyWin bit times when a long packet is expected in reply, i.e. this will be the max size of a long packet, allowing for worst case bit stuffing, bus turnarounds and timing differences. In both cases if an ACK is received the window will close and another packet can be transmitted but if an ACK is not received then the full length of the window must be waited out.
As no reply should be sent to a broadcast packet, no reply window should be required however all other long packets open a reply window in anticipation of an ACK. While the desire is to minimize the time between broadcast transmissions the simplest solution should be employed. This would imply the same size reply window as other long packets.

When a packet has been received without any errors the receiving ISI device must transmit its acknowledge packet (which may be either a long or short packet) before the reply window closes.
When detected errors do occur the receiving ISI device will not send any response. The transmitting ISI device interprets this lack of response as a NAK indicating that errors were detected in the transmitted packet or that the receiving device was unable to receive the packet for some reason (e.g. its buffers are full). If a long packet was transmitted the transmitting ISI device will keep the transmitted packet in its transmit buffer for retransmission. If the transmitting device is the ISIMaster it will retransmit the packet immediately while if the transmitting device is an ISISlave it will retransmit the packet in response to the next ping it receives from the ISIMaster.
The transmitting ISI device will continue retransmitting the packet when it receives a NAK until it either receives an ACK or the number of retransmission attempts equals the value of the NumRetries register. If the transmission was unsuccessful then the transmitting device sets the TxErrorSticky bit in its lSllntStatus register. The receiving device also sets the RxErrorSticky bit in its ISIIntStatus register whenever it detects a CRC error in an incoming packet and is not required to take any further action, as it is up to the transmitting device to detect and rectify the problem. The NumRetries registers in all ISI devices should be set to the same value for consistent operation.
Note that successful transmission or reception of ping packets do not affect retransmission operation.
Note that a transmit error will cause the ISI to stop transmitting. CPU
intervention will be required to resolve the source of the probiem and to restart the ISI transmit operation.
Receive errors however do not affect receive operation and they are collected to facilitate problem debug and to monitor the quality of the ISI physical channel. Transmit or receive errors should be extremely rare and their occurrence will most likely indicate a serious problem.
Note that broadcast packets are never acknowledged to avoid contention on the common ISI lines.
If an ISISlave detects an error in a broadcast packet it should use the message passing mechanism described earlier to alert the ISIMaster to the error if it so wishes.
12.4.4.9 Sequence Bit Operation To ensure that communication between transmitting and receiving ISI devices is correctly ordered a sequence bit is included in every long packet to keep both devices in step with each other. The sequence bit field is a constant for short or ping packets as they are not used for data transmission.
In addition to the transmitted sequence bit all ISI devices keep two local sequence bits, one for each ISISubld. Furthermore each ISI device maintains a transmit sequence bit for each ISlld and ISISubld it is in communication with. For packets sourced from the external host (via USB) the transmit sequence bit is contained in the relevant USBEPnDest register while for packets sourced from the CPU the transmit sequence bit is contained in the CPUISITxBuffCntrl register. The sequence bits for received packets are stored in ISISubIdOSeq and ISISubld1Seq registers. All ISI
devices will initialize their sequence bits to 0 after reset. It is the responsibility of software to ensure that the sequence bits of the transmitting and receiving ISI devices are correctly initialized each time a new source is selected for any ISIId.ISISubld channel.

Sequence bits are ignored by the receiving ISI device for broadcast packets.
However the broadcasting ISI device is free to toggle the sequence in the broadcast packets since they will not affect operation. The SCB will do this for all USB source data so that there is no special treatment for the sequence bit of a broadcast packet in the transmitting device. CPU
sourced broadcasts will have sequence bits toggled at the discretion of the program code.
Each SoPEC may also ignore the sequence bit on either of its ISISubId channels by setting the appropriate bit in the ISISubldSeqMask register. The sequence bit should be ignored for ISISubld channels that will carry data that can originate from more than one source and is self ordering e.g.
control messages.
A receiving ISI device will toggle its sequence bit addressed by the ISISubld only when the receiver is able to accept data and receives an error-free data packet addressed to it.
The transmitting ISI
device will toggle its sequence bit for that ISIId.ISISubId channel only when it receives a valid ACK
handshake from the addressed ISI device.
Figure 36 shows the transmission of two long packets with the sequence bit in both the transmitting and receiving devices toggling from 0 to 1 and back to 0 again. The toggling operation will continue in this manner in every subsequent transmission until an error condition is encountered.

When the receiving ISI device detects an error in the transmitted long packet or is unable to accept the packet (because of full buffers for example) it will not return any packet and it will not toggle its local sequence bit. An example of this is depicted in Figure 37. The absence of any response prompts the transmitting device to retransmit the original (seq=0) packet.
This time the packet is received without any errors (or buffer space may have been freed) so the receiving ISI device toggles its local sequence bit and responds with an ACK. The transmitting device then toggles its local sequence bit to a 1 upon correct receipt of the ACK.
However it is also possible for the ACK packet from the receiving ISI device to be corrupted and this scenario is shown in Figure 38. In this case the receiving device toggles its local sequence bit to I
when the long packet is received without error and replies with an ACK to the transmitting device.
The transmitting device does not receive the ACK correctly and so does not change its local sequence bit. It then retransmits the seq=0 long packet. When the receiving device finds that there is a mismatch between the transmitted sequence bit and the expected (local) sequence bit is discards the long packet and replies with an ACK. When the transmitting ISI
device correctly receives the ACK it updates its local sequence bit to a 1, thus restoring synchronization. Note that when the ISISubldSeqMask bit for the addressed ISISubId is set then the retransmitted packet is not discarded and so a duplicate packet will be received. The data contained in the packet should be self-ordering and so the software handling these packets (most likely control messages) is expected to deal with this eventuality.
92.4.4. 90 Flow Control The ISI also supports flow control by treating it in exactly the same manner as an error in the received packet. Because the SCB enjoys greater guaranteed bandwidth to DRAM
than both the ISI

and USB can supply flow control should not be required during normal operation. Any blockage on a DMA channel will soon result in the NumRetries value being exceeded and transmission from that SoPEC being halted. If a SoPEC NAKs a packet because its RxBuffer is full it will flag an overflow condition. This condition can potentially cause a CPU interrupt, if the corresponding interrupt is enabled. The RxOverflawSticky bit of its ISllntStatus register reflects this condition. Because flow control is treated in the same manner as an error the transmitting ISI device will not be able to differentiate a flow control condition from an error in the transmitted packet.
12.4.4.11 Auto-ping Operation While the CPU of the ISIMaster could send a ping packet by writing the appropriate header to the CPUISITxBuffCntrl register it is expected that all ping packets will be generated in the ISI itself. The use of automatically generated ping packets ensures that ISISlaves will be given access to the ISI
bus with a programmable minimum guaranteed frequency in addition to whenever it would otherwise be idle. Five registers facilitate the automatic generation of ping messages within the ISI:
PingSchedule0, PingSchedulel, PingSchedule2, ISITotalPeriod and ISILocalPeriod. Auto-pinging will be enabled if any bit of any of the PingScheduleN registers is set and disabled if all PingScheduleN registers are Ox0000.
Each bit of the 15-bit PingScheduleN register corresponds to an ISlld that is used in the Address field of the ping packet and a 1 in the bit position indicates that a ping packet is to be generated for that ISIld. A 0 in any bit position will ensure that no ping packet is generated for that ISIld. As ISISlaves may differ in their bandwidth requirement (particularly if a storage SoPEC is present) three different PingSchedule registers are used to allow an ISISlave receive up to three times the number of pings as another active ISISlave. When the ISIMaster is not sending long packets (sourced from either the CPU or USB in the case of a SoPEC ISIMaster) ISI ping packets will be transmitted according to the pattern given by the three PingScheduleN
registers. The ISI will start with the Isb of PingSchedule0 register and work its way from Isb through msb of each of the PingScheduleN registers. When the msb of PingSchedule2 is reached the ISI
returns to the Isb of PingSchedule0 and continues to cycle through each bit position of each PingScheduleN register.
The ISI has more than enough time to work out the destination of the next ping packet while a ping or long packet is being transmitted.
With the addition of auto-ping operation we now have three potential sources of packets in an ISIMaster SoPEC: USB, CPU and auto-ping. Arbitration between the CPU and USB
for access to the ISI is handled outside the ISI. To ensure that local packets get priority whenever possible and that ping packets can have some guaranteed access to the ISI we use two 4-bit counters whose reload value is contained in the ISITotalPerlod and ISILoca/Period registers.
As we saw in section 12.4.4.1 every ISI transaction is initiated by the ISIMaster transmitting either a long packet or a ping packet. The ISITotalPeriod counter is decremented for every ISI transaction (i.e. either long or ping) when its value is non-zero. The ISILocalPeriod counter is decremented for every local packet that is transmitted. Neither counter is decremented by a retransmitted packet. If the ISITotalPeriod counter is zero then ping packets will not change its value from zero. Both the ISITotalPeriod and ISILocalPeriod counters are reloaded by the next local packet transmit request after the IS1TotalPeriod counter has reached zero and this local packet has priority over pings.
The amount of guaranteed ISI bandwidth allocated to both local and ping packets is determined by the values of the IS1TotalPeriod and ISILocalPeriod registers. Local packets will always be given priority when the ISILocalPeriod counter is non-zero. Ping packets will be given priority when the ISILocalPeriod counter is zero and the ISITotalPeriod counter is still non-zero.
Note that ping packets are very likely to get more than their guaranteed bandwidth as they will be transmitted whenever the ISI bus would otherwise be idle (i.e. no pending local packets). In particular when the IS1TotalPeriod counter is zero it will not be reloaded until another local packet is pending and so ping packets transmitted when the IS1TotalPeriod counter is zero will be in addition to the guaranteed bandwidth. Local packets on the other hand will never get more than their guaranteed bandwidth because each local packet transmitted decrements both counters and will cause the counters to be reloaded when the IS1TotalPeriod counter is zero. The difference between the values of the ISITotalPeriod and ISILocalPeriod registers determines the number of automatically generated ping packets that are guaranteed to be transmitted every ISITotalPeriod number of ISI transactions. If the IS1TotalPeriod and IS1LocalPeriod values are the same then the local packets will always get priority and could totally exclude ping packets if the CPU always has packets to send.
For example if IS1TotalPeriod = OxC; ISlLocalPeriod = 0x8; PingScheduleO =
OxOE; PingSchedulel = OxOC and PingSchedule2 = 0x08 then four ping messages are guaranteed to be sent in every 12 ISI transactions. Furthermore ISIId3 will receive 3 times the number of ping packets as ISId1 and ISIId2 will receive twice as many as ISId1. Thus over a period of 36 contended ISI transactions (allowing for two full rotations through the three PingScheduleN registers) when local packets are always pending 24 local packets will be sent, ISId1 will receive 2 ping packets, ISId2 will receive 4 pings and ISId3 will receive 6 ping packets. If local traffic is less frequent then the ping frequency will automatically adjust upwards to consume all remaining ISI bandwidth.
12.4.5 Wake-up from Sleep Mode Either the PrintMaster SoPEC or the external host may place any of the ISISlave SoPECs in sleep mode prior to going into sleep mode itself. The ISISlave device should then ensure that its IS1WakeupEnable bit of the WakeupEnable register (see Table 34) is set prior to entering sleep mode. In an ISISlave device the ISI block will continue to receive power and clock during'sleep mode so that it may monitor the gpio isi din lines for activity. When ISI
activity is detected during sleep mode and the IS1WakeupEnable bit is set the ISI asserts the isi cpr reset n signal. This will bring the rest of the chip out of sleep mode by means of a wakeup reset. See chapter 16 for more details of reset propagation.
12.4.6 Implementation Although the ISI consists of either 2 or 4 ISI data lines over which a serial data stream is demultiplexed, each ISI line is treated as a separate serial link at the physical layer. This permits a certain amount of skew between the ISI lines that could not be tolerated if the lines were treated as a parallel bus. A lower Bit Error Rate (BER) can be achieved if the serial data recovery is performed separately on each serial link. Figure 39 illustrates the ISI sub block partitioning.
12.4.6.1 ISI Sub-block Partition * Definition of I/Os.
Table 34. ISI I/O

Port name Pins I/O Description Clock and Reset isi_pclk T1 In ISI primary clock.
isi_reset_n 1 In ISI reset. Active low.
Asserting isi reset n will reset all ISI logic.
Synchronous to isi_pclk.
Configuration isi_go I In ISI GO. Active high.
When GO is de-asserted, all ISI statemachines are reset to their idle states, all ISI output signals are de-asserted, but all 1SI counters retain their values.
When GO is asserted, all ISI counters are reset and all ISI statemachines and output signals will return to their normal mode of operation.
isi_master_select 1 In ISI master select.
Determines Whether the SoPEC is an ISIMaster or not I = ISIMaster 0 = ISISlave isi_id[3:0] 4 In ISI ID for this device.
isi_retries[3:0] 4 In ISI number of retries.
Number of times a transmitting ISI device will attempt retransmission of a NAK'd packet before aborting the transmission and flagging an error. The value of this configuration signal should not be changed while there are valid packets in the Tx buffer.
isi_ping_schedule0[1 15 In ISI auto ping schedule #0.
4 :0] Denotes which ISllds will be receive ping packets. Note that bitO refers to ISI1d0, bit1 to ISlld1...bit14 to ISIId14.
Setting a bit in this schedule will enable auto ping generation for the corresponding ISI ID. The ISI will start from the bit 0 of isiJning scheduleO and cycle through to bit 14, generating pings for each bit that is set. This operation will be performed in sequence from isi ping schedule0 through isiJning schedule2.
isi_ping_schedule1 [1 15 In As per isiping schedule0.
4 :0]
isi_ping_schedule2[1 15 In As per isiJOing schedule0.
4 :0]
isi total_period[3:0] 4 In Reload value of the ISI Total Period Counter.
isi_local_period[3:0] 4 In Reload value of the ISI Local Period Counter.
isi_number_pins 1 In Number of active ISI data pins.
Used to select how many serial data pins will be used to transmit and receive data. Should reflect the number of ISI device data pins that are in use.
1 = isi_data[3:0] active 0 = isi_data[1:0] active isi_turn_around[3:0] 4 In ISI bus turn around time in ISI clock cycles (32MHz).
isi_short_reply_win[4: 5 In ISI long packet reply window in IS] clock cycles 0] (32MHz).
isi_long_reply_win[8: 9 In ISI long packet reply window in ISI clock cycles 0] (32MHz).
isi_tx_enable I In ISI transmit enable. Active high.
Enables ISI transmission of long or ping packets. ACKs may still be transmitted when this bit is 0. The value of this configuration signal should not be changed while there are valid packets in the Tx buffer.
isi_rx_enable 1 In ISI receive enable. Active high.
Enables ISI packet reception. Any activity on the ISI
bus will be ignored when this signal is de-asserted.
This signal should only be de-asserted if the ISI block is not required for use in the design.
isi_bit_stuff rate[3:0] 1 In ISI bit stuffing limit.
Allows the bit stuffing counter value to be programmed.
Is loaded into the 4 upper bits of the 7bit wide bit stuffing counter. The lower bits are always loaded with b111, to prevent bit stuffing for less than 7 consecutive ones or zeroes. E.g.
b000 : stuff count = b0000111 : bit stuff after 7 consecutive 0/1 b111 : stuff count = b1111111 : bit stuff after127 consecutive 0/1 Serial Link Signals isi_ser_data_in[3:0] In ISI Serial data inputs.
Each bit corresponds to a separate serial link.
isi_ser_data_out[3:0] Out ISl Serial data outputs.
Each bit corresponds to a separate serial link.
isi_ser data_en[3:0] Out ISI Serial data driver enables. Active high.
Each bit corresponds to a separate serial link.
x Packet Buffer isi_tx_wr en 1 In ISI Tx FIFO write enable. Active high.
sserting isi tx wr en will write the 64 bit data on isi tx wr data to the FIFO, providing that space is available in the FIFO. If isi tx wr en remains asserted after the last entry in the current packet is written, the rite operation will wrap around to the start of the next packet, providing that space is available for a second packet in the FIFO.
isi_tx wr data[63:0] 64 In ISI Tx FIFO write data.
isi_txping I In 1SI Tx FIFO ping packet select. Active high.
sserting isi tx_ping will queue a ping packet for ransmission, as opposed to a long packet. Although here is no data payload for a ping packet, a packet location in the FIFO is used as a 'place holder' for the ping packet. Any data written to the associated packet location in the FIFO will be discarded when the ping packet is transmitted.
isi_tx_id[3:0] 5 In ISI Tx FIFO packet ID.
ISI ID for each packet written to the FIFO. Registered hen the last entry of the packet is written.
isi tx_sub_id 1 In ISI Tx FIFO packet sub ID.
ISI sub ID for each packet written to the FIFO.
Registered when the last entry of the packet is written.
isi tx_pkt_count[1:0] 2 Out ISI Tx FIFO packet count.
Indicates the number of packets contained in the FIFO.
The FIFO has a capacity of 2 x 256 bit packets. Range is b00->b10.
isi_tx_word_count[2:0 3 Out ISI Tx FIFO current packet word count.
Indicates the number of words contained in the current x packet location of the Tx FIFO. Each packet location has a capacity of 4 x 64 bit words. Range is b000->b100.

isi tx_empty 1 Out ISI Tx FIFO empty. Active high.
Indicates that no packets are present in the FIFO.
isi_tx_fufi 1 Out 1SI Tx FIFO full. Active high.
Indicates that 2 packets are present in the FIFO, therefore no more packets can be transmitted.
isi tx_over flow I Out ISI Tx FIFO over flow. Active high.
Indicates that a write operation was performed on a full FIFO. The write operation will have no effect on the contents of the FIFO or the write pointer.
isi tx_error I Out ISI Tx FIFO error. Active high.
Indicates that an error occurred while transmitting the packet currently at the head of the FIFO. This will happen if the number of transmission attempts exceeds isi tx retries.
isi_tx_desc[2:0] 3 Out ISI Tx packet descriptor field.
ISI packet descriptor field for the packet currently at the head of the FIFO. See Table for details. Only valid when isi tx empty=0, i.e. when there is a valid packet in the FIFO.
isi_tx_addr[4:0] 5 Out ISl Tx packet address field.
ISI address field for the packet currently at the head of he FIFO. See Table for details. Only valid when isi tx empty=0, i.e. when there is a valid packet in the FIFO.
Rx Packet FIFO
isi_rx_rd_en 1 In ISI Rx FIFO read enable. Active high.
sserting isl rx rd en will drive isi rx rd data with alid data, from the Rx packet at the head of the FIFO, providing that data is available in the FIFO. If isi rx rd en remains asserted after the last entry is read from the current packet, the read operation will wrap around to the start of the next packet, providing that a second packet is available in the FIFO.
isi_rx_rd_data[63:0] 64 Out ISI Rx FIFO read data.
isi_rx_sub_id 1 Out ISI Rx packet sub ID.
Indicates the ISI sub ID associated with the packet at he head of the Rx FIFO.
isi_rx_pkt count[1:0] 2 Out ISI Rx FIFO packet count.
Indicates the number of packets contained in the FIFO.

he FIFO has a capacity of 2 x 256 bit packets. Range is b00->b10.
isi_rx_word_count[2:0 3 Out ISI Rx FIFO current packet word count.
Indicates the number of words contained in the Rx packet location at the head of the FIFO. Each packet location has a capacity of 4 x 64 bit words. Range is b000->b100.
isi_rx_empty 1 Out ISI Rx FIFO empty. Active high.
Indicates that no packets are present in the FIFO.
isi_rx full 1 Out ISI Rx FIFO full. Active high.
Indicates that 2 packets are present in the FIFO, herefore no more packets can be received.
isi_rx_over_flow 1 Out ISI Rx FIFO over flow. Active high.
Indicates that a packet was addressed to the local ISi device, but the Rx FIFO was full, resulting in a NAK.
isi_rx_under run 1 Out ISI Rx FIFO under run. Active high.
Indicates that a read operation was performed on an empty FIFO. The invalid read will return the contents of he memory location currently addressed by the FIFO
read pointer and will have no effect on the read pointer.
isi_rx frame_error 1 Out ISI Rx framing error. Active high.
sserted by the ISI when a framing error is detected in he received packet, which can be caused by an incorrect Start or Stop field or by bit stuffing errors. The associated packet will be dropped.
isi_rx_crc_error 1 Out ISI Rx CRC error. Active high.
sserted by the ISI when a CRC error is detected in an incoming packet. Other than dropping the errored packet ISI reception is unaffected by a CRC Error.
12.4.6.2 ISI Serial Interface Engine (isi sie) There are 4 instantiations of the isi sie sub block in the ISI, 1 per ISI
serial link. The isi sie is responsible for Rx serial data sampling, Tx serial data output and bit stuffing.
Data is sampled based on a phase detection mechanism. The incoming ISI serial data stream is over sampled 5 times per ISI bit period. The phase of the incoming data is determined by detecting transitions in the ISI serial data stream, which indicates the ISI bit boundaries. An ISI bit boundary is defined as the sample phase at which a transition was detected.
The basic functional components of the isi_sie are detailed in Figure 40.
These components are simply a grouping of logical functionality and do not necessarily represent hierarchy in the design.
12.4.6.2.1 SIE Edge Detection and Data I/O
The basic structure of the data I/O and edge detection mechanism is detailed in Figure 41.

NOTE: Serial data from the receiver in the pad MUST be synchronized to the isipclk domain with a 2 stage shift register external to the ISI, to reduce the risk of metastability. ser data out and ser data en should be registered externally to the ISI.
The Rx/Tx statemachine drives ser data en, stuff 1_en and stuff 0 en. The signals stuff 1 en and stuff 0 en cause a one or a zero to be driven on ser data out when they are asserted, otherwise fifo rd data is selected.
12.4.6.2.2 SIE Rx/Tx Statemachine The Rx/Tx statemachine is responsible for the transmission of ISI Tx data and the sampling of ISI
Rx data. Each ISI bit period is 5 isinclk cycles in duration.
The Tx cycle of the Rx/Tx statemachine is illustrated in Figure 42. It generates each ISI bit that is transmitted. States txO->tx4 represent each of the 5 isinclk phases that constitute a Tx ISI bit period. ser data en controls the tristate enable for the ISI line driver in the bidirectional pad, as shown in Figure 41. rx txcycle is asserted during both Rx and Tx states to indicate an active Rx or Tx cycle. It is primarily used to enable bit stuffing.
NOTE: All statemachine signals are assumed to be '0' unless otherwise stated.
The Tx cycle for Tx bit stuffing when the Rx/Tx statemachine inserts a'0' into the bitstream can be seen in Figure 43.
NOTE: All statemachine signals are assumed to be'0' unless otherwise stated The Tx cycle for Tx bit stuffing when the RxTx statemachine inserts a '1' into the bitstream can be seen in Figure 44.
NOTE: All statemachine signals are assumed to be'0' unless otherwise stated The tx* and stuff* states are detailed separately for clarity. They could be easily combined when coding the statemachine, however it would be better for verification and debugging if they were kept separate.
The Rx cycle of the ISI Rx/Tx statemachine is detailed in Figure 45. The Rx cycle of the Rx/Tx Statemachine, samples each ISI bit that is received. States rx0->rx4 represent each of the 5 isipclk phases that constitute a Rx ISI bit period.
The optimum sample position for an ideal ISI bit period is 2 isiJoclk cycles after the ISI bit boundary sample, which should result in a data sample close to the centre of the ISI
bit period.
rx sample is asserted during the rx2 state to indicate a valid ISI data sample on rx bit, unless the bit should be stripped when flagged by the bit stuffing statemachine, in which case rx sample is not asserted during rx2 and the bit is not written to the FIFO. When edge is asserted, it resets the Rx cycle to the rx0 state, from any rx state. This is how the isi sie tracks the phase of the incoming data. The Rx cycle will cycle through states rx0->rx4 until edge is asserted to reset the sample phase, or a tx req is asserted indicating that the ISI needs to transmit.
Due to the 5 times oversampling a maximum phase error of 0.4 of an ISI bit period (2 isinclk cycles out of 5) can be tolerated.
NOTE: All statemachine signals are assumed to be '0' unless otherwise stated.
An example of the Tx data generation mechanism is detailed in Figure 46. txreq and fifo wr tx are driven by the framer block.

An example of the Rx data sampling functional timing is detailed in Figure 47.
The dashed lines on the ser data in ff signal indicate where the Rx/Tx statemachine perceived the bit boundary to be, based on the phase of the last ISI bit boundary. It can be seen that data is sampled during the same phase as the previous bit was, in the absence of a transition.
12.4.6.2.3 SIE Rx/Tx FIFO
The Rx/Tx FIFO is a 7 x 1 bit synchronous look-ahead FIFO that is shared for Tx and Rx operations. It is required to absorb any Rx/Tx latency caused by bit stripping/stuffing on a per ISI
line basis, i.e. some ISI lines may require bit stripping/stuffing during an ISI bit period while the others may not, which would lead to a loss of synchronization between the data of the different ISI
lines, if a FIFO were not present in each isi sie.
The basic functional components of the FIFO are detailed in Figure 48. txready is driven by the Rx/Tx statemachine and selects which signals control the read and write operations. txready=1 during ISI transmission and selects the fifo *tx control and data signals. tx ready=0 during ISI
reception and selects the fifo *rx control and data signals. fifo reset is driven by the Rx/Tx statemachine. It is active high and resets the FIFO and associated logic before/after transmitting a packet to discard any residual data.
The size of the FIFO is based on the maximum bit stuffing frequency and the size of the shift register used to segment/re-assemble the multiple serial streams in the ISI
framing logic. The maximum bit stuffing frequency is every 7 consecutive ones or zeroes. The shift register used is 32 bits wide. This implies that the maximum number of stuffed bits encountered in the time it takes to fill/empty the shift register if 4. This would suggest that 4 x 1 bit would be the minimum ideal size of the FIFO. However it is necessary to allow for different skew and phase error between the ISI lines, hence a 7 x 1 bit FIFO.
The FIFO is controlled by the isi sie during packet reception and is controlled by the isi frame block during packet transmission. This is illustrated in Figure 49. The signal tx ready selects which mode the FIFO control signals operate in. When tx ready=0, i.e. Rx mode, the isi sie control signals rxsample, fifo rd rx and ser data in ff are selected. When tx ready=1, i.e. Tx mode, the sie frame control signals fifo wr tx, fifo rd tx and fifo wr data tx are selected.
12.4.6.3 Bit Stuffing Programmable bit stuffing is implemented in the isi sie. This is to allow the system to determine the amount of bit stuffing necessary for a specific ISI system devices. It is unlikely that bit stuffing would be required in a system using a 100ppm rated crystal. However, a programmable bit stuffing implementation is much more versatile and robust.
The bit stuffing logic consists of a counter and a statemachine that track the number of consecutive ones or zeroes that are transmitted or received and flags the Rx/Tx statemachine when the bit stuffing limit has been reached. The counter, stuff count, is a 7 bit counter, which decrements when rx sample is asserted on a Rx cycle or when fifo rd tx is asserted on a Tx cycle. The upper 4 bits of stuff count are loaded with isi bit stuff rate. The lower 3 bits of stuff count are always loaded with b111, i.e. for isi bit stuff rate = b000, the counter would be loaded with b0000111. This is to prevent bit stuffing for less than 7 consecutive ones or zeroes. This allows the bit stuffing limit to be set in the range 7->127 consecutive ones or zeroes.
NOTE: It is extremely important that a change in the bit stuffing rate, isi bit stuff rate, is carefully co-ordinated between ISI devices in a system. It is obvious that ISI devices will not be able to communicate reliably with each other with different bit stuffing settings. It is recommended that all ISI devices in a system default to the safest bit stuffing rate (isi bit stuff rate = b000) at reset. The system can then co-ordinate the change to an optimum bit stuffing rate.
The ISI bit stuffing statemachine Tx cycle is shown in Figure 50. The counter is loaded when stuff count load is asserted.
NOTE: All statemachine signals are assumed to be '0' unless otherwise stated.
The ISI bit stuffing statemachine Rx cycle is shown in Figure 51. It should be noted that the statemachine enters the strip state when stuff count=0x2. This is because the statemachine can only transition to rxO or rxl when rx sample is asserted as it needs to be synchronized to changes in sampling phase introduced by the Rx/Tx statemachine. Therefore a one or a zero has already been sampled by the time it enters rxO or rxl. This is not the case for the Tx cycle, as it will always have a stable 5 isi_pclk cycles per bit period and relies purely on the data value when entering txO
or txl. The Tx cycle therefore enters stuff1 or stuffO when stuff count=0x1.
NOTE: All statemachine signals are assumed to be '0' unless otherwise stated.
12.4.6.4 ISI Framing and CRC sub-block (isi frame) 12.4.6.4.1 CRC Generation/Checking A Cyclic Redundancy Checksum (CRC) is calculated over all fields except the start and stop fields for each long or ping packet transmitted. The receiving ISI device will perform the same calculation on the received packet to verify the integrity of the packet. The procedure used in the CRC
generation/checking is the same as the Frame Checking Sequence (FCS) procedure used in HDLC, detailed in ITU-T Recommendation T30[39].
For generation/checking of the CRC field, the shift register illustrated in Figure 52 is used to perform the modulo 2 division on the packet contents by the polynomial G(x) = x16 +
x12 + x5 +1.
To generate the CRC for a transmitted packet, where T(x) = [Packet Descriptor field, Address field, Data Payload field] (a ping packet will not contain a data payload field).
= Set the shift register to OxFFFF.
= Shift T(x) through the shift register, LSB first. This can occur in parallel with the packet transmission.
= Once the each bit of T(x) has been shifted through the register, it will contain the remainder of the modulo 2 division T(x)/G(x).
= Perform a ones complement of the register contents, giving the CRC field which is transmitted MSB first, immediately following the last bit of M(x To check the CRC for a received packet, where R(x) = [Packet Descriptor field, Address field, Data Payload field, CRC field] (a ping packet will not contain a data payload field).
= Set the shift register to OxFFFF.

= Shift R(x) through the shift register, LSB first. This can occur in parallel with the packet reception.
= Once each bit of the packet has been shifted through the register, it will contain the remainder of the modulo 2 division R(x)IG(x).
= The remainder should equal bOO01 I 10100001111, for a packet without errors.
12.5 CTRL (CONTROL SUB-BLOCK) 12.5.1 Overview The CTRL is responsible for high level control of the SCB sub-blocks and coordinating access between them. All control and status registers for the SCB are contained within the CTRL and are accessed via the CPU interface. The other major components of the CTRL are the SCB Map logic and the DMA Manager logic.
12.5.2 SCB Mapping In order to support maximum flexibility when moving data through a multi-SoPEC
system it is possible to map any USB endpoint onto either DMAChannel within any SoPEC in the system.
The SCB map, and indeed the SCB itself is based around the concept of an ISlld and an ISISubld.
Each SoPEC in the system has a unique ISIld and two ISISublds, namely ISISubIdO and ISISubid1.
We use the convention that ISISubldO corresponds to DMAChannelO in each SoPEC
and ISISubld1 corresponds to DMAChannell. The naming convention for the ISlld is shown in Table 35 below and this would correspond to a multi-SoPEC system such as that shown in Figure 27. We use the term ISIld instead of SoPECId to avoid confusion with the unique ChipiD used to create the SoPEC_id and SoPEC_id_key (see chapter 17 and [9] for more details).
Table 35. ISIld naming convention ISIld SoPEC to which it refers 0-14 Standard device ISllds (0 is the power-on reset value) 15 Broadcast ISIld The combined ISlld and ISISubld therefore allows the ISI to address DMAChannelO or DMAChannell on any SoPEC device in the system. The ISI, DMA manager and SCB
map hardware use the ISIId and ISISubId to handle the different data streams that are active in a multi-SoPEC system as does the software running on the CPU of each SoPEC. In this document we will identify DMAChannels as /S/x.y where x is the ISlld and y is the ISISubId.
Thus IS12.1 refers to DMAChannell of ISISlave2. Any data sent to a broadcast channel, i.e. IS115.0 or ISI15.1, are received by every ISI device in the system including the ISIMaster (which may be an ISI-Bridge).
The USB device controller and software stacks however have no understanding of the ISIId and ISISubid but the Silverbrook printer driver software running on the external host does make use of the ISIld and ISISubld. USB is simply used as a data transport - the mapping of USB device endpoints onto ISIId and Subld is communicated from the external host Silverbrook code to the SoPEC Silverbrook code through USB control (or possibly bulk data) messages i.e. the mapping information is simply data payload as far as USB is concerned. The code running on SoPEC is responsible for parsing these messages and configuring the SCB accordingly.
The use of just two DMAChannels places some limitations on what can be achieved without software intervention. For every SoPEC in the system there are more potential sources of data than there are sinks. For example an ISISlave could receive both control and data messages from the ISIMaster SoPEC in addition to control and data from the external host, either specifically addressed to that particular ISISlave or over the broadcast ISI channel.
However all ISISlaves only have two possible data sinks, i.e. DMAChannelO and DMAChannell. Another example is the ISIMaster in a multi-SoPEC system which may receive control messages from each SoPEC in addition to control and data information from the external host (e.g. over USB). In this case all of the control messages are in contention for access to DMAChannelO. We resolve these potential conflicts by adopting the following conventions:
i) Control messages may be interleaved in a memory buffer: The memory buffer that the DMAChannelO points to should be regarded as a central pool of control messages. Every control message must contain fields that identify the size of the message, the source and the destination of the control message. Control messages may therefore be multiplexed over a DMAChannel which allows several control message sources to address the same DMAChannel.
Furthermore, if SoPEC-type control messages contain source and destination fields it is possible for the external host to send control messages to individual SoPECs over the ISI15.0 broadcast channel.
2) Data messages should not be interieaved in a memory buffer: As data messages are typically part of a much larger block of data that is being transferred it is not possible to control their contents in the same manner as is possible with the control messages. Furthermore we do not want the CPU
to have to perform reassembly of data blocks. Data messages from different sources cannot be interleaved over the same DMAChannel - the SCB map must be reconfigured each time a different data source is given access to the DMAChannel.
3) Every reconfiguration of the SCB map requires the exchange of control messages: SoPEC's SCB map reset state is shown in Table and any subsequent modifications to this map require the exchange of control messages between the SoPEC and the external host. As the external host is expected to control the movement of data in any SoPEC system it is anticipated that all changes to the SCB map will be performed in response to a request from the external host.
While the SoPEC
could autonomously reconfigure the SCB map (this is entirely up to the software running on the SoPEC) it should not do so without informing the external host in order to avoid data being mis-routed.
An example of the above conventions in operation is worked through in section 12.5.2.3.
12.5.2.1 SCB map rules The operation of the SCB map is described by these 2 rules:
Rule 1: A packet is routed to the DMA manager if it originates from the USB
device core and has an ISIId that matches the local SoPEC ISIld.
Rule 2: A packet is routed to the ISI if it originates from the CPU or has an ISIId that does not match the local SoPEC ISild.

If the CPU erroneously addresses a packet to the ISIId contained in the ISlld register (i.e. the ISlld of the local SoPEC) then that packet will be transmitted on the ISI rather than be sent to the DMA
manager. While this will usually cause an error on the ISI there is one situation where it could be beneficial, namely for initial dialog in a 2 SoPEC system as both devices come out of reset with an ISIld of 0.
12.5.2.2 External host to ISIMaster SoPEC communication Although the SCB map configuration is independent of ISIMaster status, the following discussion on SCB map configurations assumes the ISIMaster is a SoPEC device rather than an ISI bridge chip, and that only a single USB connection to the external host is present. The information should apply broadly to an ISI-Bridge but we focus here on an ISIMaster SoPEC for clarity.
As the ISIMaster SoPEC represents the printer device on the PC USB bus it is required by the USB
specification to have a dedicated control endpoint, EPO. At boot time the ISIMaster SoPEC will also require a bulk data endpoint to facilitate the transfer of program code from the external host. The simplest SCB map configuration, i.e. for a single stand-alone SoPEC, is sufficient for external host to ISIMaster SoPEC communication and is shown in Table 36.
Table 36. Single SoPEC SCB map configuration Source Sink EPO ISIO.0 EP1 IS10.1 EP2 nc EP3 nc EP4 nc In this configuration all USB control information exchanged between the external host and SoPEC
over EP0 (which is the only bidirectional USB endpoint). SoPEC specific control information (printer status, DNC info etc.) is also exchanged over EPO.
All packets sent to the external host from SoPEC over EPO must be written into the DMA mapped EP buffer by the CPU (LEON-PC dataflow in Figure 29). All packets sent from the external host to SoPEC are placed in DRAM by the DMA Manager, where they can be read by the CPU
(PC-DIU
dataflow in Figure 29). This asymmetry is because in a multi-SoPEC environment the CPU will need to examine all incoming control messages (i.e. messages that have arrived over DMAChannelO) to ascertain their source and destination (i.e. they could be from an ISISlave and destined for the external host) and so the additional overhead in having the CPU move the short control messages to the EPO FIFO is relatively small. Furthermore we wish to avoid making the SCB more complicated than necessary, particularly when there is no significant performance gain to be had as the control traffic will be relatively low bandwidth.
The above mechanisms are appropriate for the types of communication outlined in sections 12.1.2.1.1 through 12.1.2.1.4 12.5.2.3 Broadcast communication The SCB configuration for broadcast communication is also the default, post power-on reset, configuration for SoPEC and is shown in Table 37.

Table 37. Default SoPEC SCB map configuration Source Sink EPO IS10.0 EP1 IS10.1 EP2 IS115.0 EP3 IS115.1 EP4 ISI1.1 USB endpoints EP2 and EP3 are mapped onto ISISubIDO and ISISubld1 of ISIid15 (the broadcast ISIld channel). EPO is used for control messages as before and EPI is a bulk data endpoint for the ISIMaster SoPEC. Depending on what is convenient for the boot loader software, EP1 may or may not be used during the initial program download, but EP1 is highly likely to be used for compressed page or other program downloads later. For this reason it is part of the default configuration. In this setup the USB device configuration will take place, as it always must, by exchanging messages over the control channel (EPO).
One possible boot mechanism is where the external host sends the bootloaderl program code to all SoPECs by broadcasting it over EP3. Each SoPEC in the system then authenticates and executes the bootloaderl program. The ISIMaster SoPEC then polls each ISISlave (over the ISIx.O channel).
Each ISISlave ascertains its ISIld by sampling the particular GPIO pins required by the bootloaderl and reporting its presence and status back to the ISIMaster. The ISIMaster then passes this information back to the external host over EPO. Thus both the external host and the ISIMaster have knowledge of the number of SoPECs, and their ISllds, in the system. The external host may then reconfigure the SCB map to better optimise the SCB resources for the particular multi-SoPEC
system. This could involve simplifying the default configuration to a single SoPEC system or remapping the broadcast channels onto DMAChannels in individual ISISlaves.
The following steps are required to reconfigure the SCB map from the configuration depicted in Table to one where EP3 is mapped onto IS11.0:
1) The external host sends a control message(s) to the ISIMaster SoPEC
requesting that USB EP3 be remapped to IS11.0 2) The ISIMaster SoPEC sends a control message to the external host informing it that EP3 has now been mapped to ISI1.0 (and therefore the external host knows that the previous mapping of 1SI15.1 is no longer available through EP3).
3) The external host may now send control messages directly to ISISlavel without requiring any CPU intervention on the ISIMaster SoPEC
12.5.2.4 External host to ISISlave SoPEC communication If the ISIMaster is configured correctly (e.g. when the ISIMaster is a SoPEC, and that SoPEC's SCB
map is configured correctly) then data sent from the external host destined for an ISISlave will be transmitted on the ISI with the correct address. The ISI automatically forwards any data addressed to it (including broadcast data) to the DMA channel with the appropriate ISISubld. If the ISISlave has data to send to the external host it must do so by sending a control message to the ISIMaster identifying the external host as the intended recipient. It is then the ISIMaster's responsibility to forward this message to the external host.
With this configuration the external host can communicate with the ISISIave via broadcast messages only and this is the mechanism by which the bootloaderl program is downloaded. The ISISlave is unable to communicate with the external host (or the ISIMaster) until the bootlloaderl program has successfully executed and the ISISlave has determined what its ISild is. After the bootloaderl program (and possibly other programs) has executed the SCB map of the ISIMaster may be reconfigured to reflect the most appropriate topology for the particular multi-SoPEC system it is part of.
All communication from an ISISlave to external host is either achieved directly (if there is a direct USB connection present for example) or by sending messages via the ISIMaster.
The ISISlave can never initiate communication to the external host. If an ISISlave wishes to send a message to the external host via the ISIMaster it must wait until it is pinged by the ISIMaster and then send a the message in a long packet addressed to the ISIMaster. When the ISIMaster receives the message from the ISISlave it first examines it to determine the intended destination and will then copy it into the EPO FIFO for transmission to the external host. The software running on the ISIMaster is responsible for any arbitration between messages from different sources (including itself) that are all destined for the external host.
The above mechanisms are appropriate for the types of communication outlined in sections 12.1.2.1.5 and 12.1.2.1.6.
12.5.2.5 ISIMaster to ISISIave communication All ISIMaster to ISISlave communication takes place over the ISI. Immediately after reset this can only be by means of broadcast messages. Once the bootloaderl program has successfully executed on all SoPECs in a multi-SoPEC system the ISIMaster can communicate with each SoPEC on an individual basis.
If an ISISlave wishes to send a message to the ISIMaster it may do so in response to a ping packet from the ISIMaster. When the ISIMaster receives the message from the ISISlave it must interpret the message to determine if the message contains information required to be sent to the external host. In the case of the ISIMaster being a SoPEC, software will transfer the appropriate information into the EPO FIFO for transmission to the external host.
The above mechanisms are appropriate for the types of communication outlined in sections 12.1.2.3.3 and 12.1.2.3.4.
12.5.2.6 ISISIave to ISISlave communication ISISlave to ISISIave communication is expected to be limited to two special cases: (a) when the PrintMaster is not the ISIMaster and (b) when a storage SoPEC is used. When the PrintMaster is not the ISIMaster then it will need to send control messages (and receive responses to these messages) to other ISISlaves. When a storage SoPEC is present it may need to send data to each SoPEC in the system. All ISISlave to ISISIave communication will take place in response to ping messages from the ISIMaster.
12.5.2.7 Use of the SCB map in an ISISlave with a external host connection After reset any SoPEC (regardless of ISIMaster/Slave status) with an active USB connection wili route packets from EP0,1 to DMA channels 0,1 because the default SCB map is to map EPO to ISIId0.0 and EP1 to ISIId0.1 and the default ISIId is 0. At some later time the SoPEC learns its true ISIld for the system it is in and re-configures its ISIId and SCB map registers accordingly. Thus if the true ISIId is 3 the external host could reconfigure the SCB map so that EPO and EP1 (or any other endpoints for that matter) map to ISIId3.0 and 3.1 respectively. The co-ordination of the updating of the ISlld registers and the SCB map is a matter for software to take care of. While the AutoMasterEnable bit of the ISICntrl register is set the external host must not send packets down EP2-4 of the USB connection to the device intended to be an ISISlave. When AutoMasterEnable has been cleared the external host may send data down any endpoint of the USB
connection to the ISISlave.
The SCB map of an ISISlave can be configured to route packets from any EP to any ISIId.ISISubld (just as an ISIMaster can). As with an ISIMaster these packets will end up in the SCBTxBuffer but while an ISIMaster would just transmit them when it got a local access slot (from ping arbitration) the ISISlave can only transmit them in response to a ping. All this would happen without CPU
intervention on the ISISlave (or ISIMaster) and as long as the ping frequency is sufficiently high it would enable maximum use of the bandwidth on both USB buses.
12.5.3 DMA Manager The DMA manager manages the flow of data between the SCB and the embedded DRAM. Whilst the CPU could be used for the movement of data in SoPEC, a DMA manager is a more efficient solution as it will handle data in a more predictable fashion with less latency and requiring less buffering. Furthermore a DMA manager is required to support the ISI transfer speed and to ensure that the SoPEC could be used with a high speed ISI-Bridge chip in the future.
The DMA manager utilizes 2 write channels (DMAChannelO, DMAChannell) and 1 read/write channel (DMAChannel2) to provide 2 independent modes of access to DRAM via the DIU interface:
= USBD/ISI type access.
= USBH type access.
DIU read and write access is in bursts of 4x64 bit words. Byte aligned write enables are provided for write access. Data for DIU write accesses will be read directly from the buffers contained in the respective SCB sub-blocks. There is no internal SCB DMA buffer. The DMA
manager handles all issues relating to byte/ word/longword address alignment, data endianness and transaction scheduling. If a DMA channel is disabled during a DMA access, the access will be completed.
Arbitration will be performed between the following DIU access requests:
= USBD write request.
= ISI write request.

= USBH write request.
= USBH read request.
DMAChannel0 will have absolute priority over any DMA requestors. In the absence of DMAChannelO DMA requests, arbitration will be performed in a round robin manner, on a per cycle basis over the other channels.
12.5.3.1 DMA Effective Bandwidth The DIU bandwidth available to the DMA manager must be set to ensure adequate bandwidth for all data sources, to avoid back pressure on the USB and the ISI. This is achieved by setting the output (i.e. DIU) bandwidth to be greater than the combined input bandwidths (i.e.
USBD + USBH + ISI).
The required bandwidth is expected to be 160 Mbits/s (1 bit/cycle @ 160MHz).
The guaranteed DIU
bandwidth for the SCB is programmable and may need further analysis once there is better knowledge of the data throughput from the USB IP cores.
12.5.3.2 USBD/ISI DMA access The DMA manager uses the two independent unidirectional write channels for this type of DMA
access, one for each ISISubld, to control the movement of data. Both DMAChannelO and DMAChannell only support write operation and can transfer data from any USB
device DMA
mapped EP buffer and from the ISI receive buffer to separate circular buffers in DRAM, corresponding to each DMA channel.
While the DMA manager performs the work of moving data the CPU controls the destination and relative timing of data flows to and from the DRAM. The management of the DRAM
data buffers requires the CPU to have accurate and timely visibility of both the DMA and PEP memory usage. In other words when the PEP has completed processing of a page band the CPU needs to be aware of the fact that an area of memory has been freed up to receive incoming data.
The management of these buffers may also be performed by the external host.
12.5.3.2.1 Circular buffer operation The DMA manager supports the use of circular buffers for both DMAChannels.
Each circular buffer is controlled by 5 registers: DMAnBottomAdr, DMAnTopAdr, DMAnMaxAdr, DMAnCurrWPtr and DMAnlntAdr. The operation of the circular buffers is shown in Figure 53 below.
Here we see two snapshots of the status of a circular buffer with (b) occurring sometime after (a) and some CPU writes to the registers occurring in between (a) and (b). These CPU writes are most likely to be as a result of a finished band interrupt (which frees up buffer space) but could also have occurred in a DMA interrupt service routine resulting from DMAnlntAdr being hit. The DMA manager will continue filling the free buffer space depicted in (a), advancing the DMAnCurrWPtr after each write to the DIU. Note that the DMACurrWPtr register always points to the next address the DMA
manager will write to. When the DMA manager reaches the address in DMAnlntAdr (i.e.
DMACurrWPtr = DMAnlntAdr) it will generate an interrupt if the DMAnlntAdrMask bit in the DMAMask register is set. The purpose of the DMAnlntAdr register is to alert the CPU that data (such as a control message or a page or band header) has arrived that it needs to process. The interrupt routine servicing the DMA interrupt will change the DMAnlntAdr value to the next location that data of interest to the CPU will have arrived by.

In the scenario shown in Figure 53 the CPU has determined (most likely as a result of a finished band interrupt) that the filled buffer space in (a) has been freed up and is therefore available to receive more data. The CPU therefore moves the DMAnMaxAdrto the end of the section that has been freed up and moves the DMAnlntAdr address to an appropriate offset from the DMAnMaxAdr address. The DMA manager continues to fill the free buffer space and when it reaches the address in DMAnTopAdr it wraps around to the address in DMAnBottomAdr and continues from there. DMA
transfers will continue indefinitely in this fashion until the DMA manager reaches the address in the DMAnMaxAdr register.
The circular buffer is initialized by writing the top and bottom addresses to the DMAnTopAdr and DMAnBottomAdr registers, writing the start address (which does not have to be the same as the DMAnBottomAdr even though it usually will be) to the DMAnCurrWPtr register and appropriate addresses to the DMAnlntAdr and DMAnMaxAdr registers. The DMA operation will not commence until a 1 has been written to the relevant bit of the DMAChanEn register.
While it is possible to modify the DMAnTopAdr and DMAnBottomAdr registers after the DMA has started it should be done with caution. The DMAnCurrWPtr register should not be written to while the DMAChannel is in operation. DMA operation may be stalled at any time by clearing the appropriate bit of the DMAChanEn register or by disabling an SCB mapping or ISI receive operation.
12.5.3.2.2 Non-standard buffer operation The DMA manager was designed primarily for use with a circular buffer. However because the DMA
pointers are tested for equality (i.e. interrupts generated when DMAnCurrWPtr = DMAIntAdr or DMAnCurrWPtr = DMAMaxAdr) and no bounds checking is performed on their values (i.e. neither DMAnlntAdr nor DMAnMaxAdr are checked to see if they lie between DMAnBottomAdr and DMAnTopAdr) a number of non-standard buffer arrangements are possible. These include:
= Dustbin buffer: If DMAnBottomAdr, DMAnTopAdr and DMAnCurrWPtr all point to the same location and both DMAnlntAdr and DMAnMaxAdr point to anywhere else then all data for that DMA channel will be dumped into the same location without ever generating an interrupt.
This is the equivalent to writing to /dev/null on Unix systems.
= Linear buffer: If DMAnMaxAdr and DMAnTopAdr have the same value then the DMA
manager will simply fill from DMAnBottomAdr to DMAnTopAdr and then stop.
DMAnlntAdr should be outside this buffer or have its interrupt disabled.
12.5.3.3 USBH DMA access The USBH requires DMA access to DRAM in to provide a communication channel between the USB HC and the USB HCD via a shared memory resource. The DMA manager uses two independent channels for this type of DMA access, one for reads and one for writes. The DRAM
addresses provided to the DIU interface are generated based on addresses defined in the USB HC
core operational registers, in USBH section 12.3.
12.5.3.4 Cache coherency As the CPU will be processing some of the data transferred (particularly control messages and page/band headers) into DRAM by the DMA manager, care needs to be taken to ensure that the data it uses is the most recently transferred data. Because the DMA manager will be updating the circular buffers in DRAM without the knowledge of the cache controller logic in the LEON CPU core the contents of the cache can become outdated. This situation can be easily handled by software, for example by flushing the relevant cache lines, and so there is no hardware support to enforce cache coherency.
12.5.4 ISI transmit buffer arbitration The SCB control logic will arbitrate access to the ISI transmit buffer (ISITxBuffer) interface on the ISI block. There are two sources of ISI Tx packets:
= CPUISITxBuffer, contained in the SCB control block.
= ISI mapped USB EP OUT buffers, contained in the USB device block.
This arbitration is controlled by the ISITxBuffArb register which contains a high priority bit for both the CPU and the USB. If only one of these bits is set then the corresponding source always has priority. Note that if the CPU is given absolute priority over the USB, then the software filling the ISI
transmit buffer needs to ensure that sufficient USB traffic is allowed through. If both bits of the ISITxBufferArb have the same value then arbitration will take place on a round robin basis.
The control logic will use the USBEPnDest registers, as it will use the CPUISITxBuffCntrl register, to determine the destination of the packets in these buffers. When the ISITxBuffer has space for a packet, the SCB control logic will immediately seek to refill it. Data will be transferred directly from the CPUISITxBuffer and the ISI mapped USB EP OUT buffers to the ISITxBuffer without any intermediate buffering.
As the speed at which the ISITxBuffer can be emptied is at least 5 times greater than it can be filled by USB traffic, the ISI mapped USB EP OUT buffers should not overflow using the above scheme in normal operation. There are a number of scenarios which could lead to the USB
EPs being temporarily blocked such as the CPU having priority, retransmissions on the ISI bus, channels being enabled (ChannelEn bit of the USBEPnDest register) with data already in their associated endpoint buffers or short packets being sent on the USB. Care should be taken to ensure that the USB bandwidth is efficiently utilised at all times.
12.5.5 Implementation 12.5.5.1 CTRL Sub-block Partition * Block Diagram * Definition of I/Os 12.5.5.2 SCB Configuration Registers The SCB register map is listed in Table 38. Registers are grouped according to which SCB sub-block their functionality is associated. All configuration registers reside in the CTRL sub-block. The Reset values in the table indicates the 32 bit hex value that will be returned when the CPU reads the associated address location after reset. All Registers pre-fixed with Hc refer to Host Controller Operational Registers, as defined in the OHCI Spec[19].
The SCB will only allow supervisor mode accesses to data space (i.e. cpu acode[1:0] = b11). All other accesses will result in scb cpu berr being asserted.

TDB: Is read access necessary for ISI Rx/Tx buffers? Could implement the ISI
interface as simple FIFOs as opposed to a memory interface.
Table 38. SCB control block configuration registers ddre ss OÃfse. Register Bits Reset Qescription rom SCB base CTRL
Ox000 SCBResetN 4 Ox0000000F SCB software reset.
Ilows individual sub-blocks to be reset separately or together. Once a reset for a block has been initiated, by writing a 0 to the relevant register field, it can not be suppressed. Each field will be set after reset. Writing OxO to the SCBReset register will have the same effect as CPR generated hardware reset.
0x004 SCBGo 2 Ox00000000 SCB Go.
Ilows the ISI and CTRL sub-blocks to be selected separately or together.
hen go is de-asserted for a particular sub-block, its statemachines are reset o their idle states and its interface signals are de-asserted. The sub-block counters and configuration registers retain their values.
hen go is asserted for a particular sub-block, its counters are reset. The sub-block configuration registers retain heir values, i.e. they don't get reset.
he sub-block statemachines and interface signals will return to their normal mode of operation.
he CTRL field should be de-asserted before disabling the clock from any part of the SCB to avoid erroneous SCB
DMA requests when the clock is enabled again.
NOTE: This functionality has not been provided for the USBH and USBD sub-blocks because of the USB IP cores hat they contain. We do not have direct control over the IP core statemachines and counters, and it ould cause unpredictable behaviour if he cores were disabled in this way during operation.
0x008 SCBWakeupEn 2 Ox00000000 USB/ISI WakeUpEnable register 0x00C SCBISITxBufferAr 2 Ox00000000 ISI transmit buffer access priority b register.
Ox010 SCBDebugSel[11: 10 Ox00000000 SCB Debug select register.
2]
0x014 USBEPODest 7 0x00000020 his register determines which of the data sinks the data arriving in EPO
should be routed to.
0x018 USBEP1 Dest 7 0x00000021 Data sink mapping for USB EPI
Ox01C USBEP2Dest 7 Ox0000003E Data sink mapping for USB EP2 0x020 USBEP3Dest 7 Ox0000003F Data sink mapping for USB EP3 0x024 USBEP4Dest 7 0x00000023 Data sink mapping for USB EP4 0x028 DMAOBottomAdr[217 DMAChannel0 bottom address register.
1:5]
OxO2C DMAOTopAdr[21:517 DMAChannel0 top address register.
0x030 DMAOCurrWPtr[21 17 DMAChannel0 current write pointer.
:5]
0x034 DMAOIntAdr[21:5] 17 DMAChannel0 interrupt address register.
0x038 DMAOMaxAdr[21: 17 DMAChannelO max address register.
5]
OxO3C DMA1 BottomAdr[217 s per DMAOBottomAdr.
1:5]
0x040 DMA1TopAdr[21:517 s per DMAOTopAdr.
0x044 DMAlCurrWPtr[21 17 s per DMAOCurrWPtr.
:5]
0x048 DMA1 IntAdr[21:5] 17 s per DMAOIntAdr.
OxO4C DMAI MaxAdr[21: 17 s per DMAOMaxAdr.
5]
0x050 DMAAccessEn 3 0x00000003 DMA access enable.

0x054 DMAStatus 4 Ox00000000 DMA status register.
0x058 DMAMask Ox00000000 DMA mask register.
OxO5C - 0x098 CPUISITxBuff[7:0] 32x8 n/a CPU ISI transmit buffer.
32-byte packet buffer, containing the payload of a CPU sourced packet destined for transmission over the ISI.
he CPU has full write access to the CPUISITxBuff. NOTE: The CPU does not have read access to CPUISITxBuff. This is because the CPU is the source of the data and to avoid arbitrating read access between the CPU and the CTRL sub-block. Any CPU reads from his address space will return Ox00000000.
Ox09C CPUISITxBuffCtri 9 Ox00000000 CPU ISI transmit buffer control register.
USBD
Ox100 USBDIntStatus 19 Ox00000000 USBD Interrupt event status register.
0x104 USBDISIFIFOStat 16 Ox00000000 USBD ISI mapped OUT EP packet us FIFO status register.
Ox108 USBDDMAOFIFO 8 Ox00000000 USBD DMAChannelO mapped OUT EP
Status packet FIFO status register.
Ox10C USBDDMA1FIFO 8 Ox00000000 USBD DMAChannell mapped OUT EP
Status packet FIFO status register.
Ox110 USBDResume 1 Ox00000000 USBD core resume register.
0x114 USBDSetup Ox00000000 USBD setup/configuration register.
Ox118 - Ox154 USBDEp0lnBuff[1 32x16 n/a USBD EPO-IN buffer.
5:0] 64-byte packet buffer in the, containing he payload of a USB packet destined or EPO-IN.
The CPU has full write access to the USBDEpOInBuff.
NOTE: The CPU does not have read access to USBDEpOIn8uff. This is because the CPU is the source of the data and to avoid arbitrating read access between the CPU and the USB
device core. Any CPU reads from this address space will return Ox00000000.
0x158 USBDEpOInBuffCt 1 Ox00000000 USBD EPO-IN buffer control register.
rl Ox15C - 0x198 USBDEp5InBuff[1 32x16 n/a USBD EP5-IN buffer.
5:0] s per USBDEpOInBuff.
Ox19C USBDEp5lnBuffCt 1 Ox00000000 USBD EP5-IN buffer control register.
rl OxlAO USBDMask 19 Ox00000000 USBD interrupt mask register.
Ox1A4 USBDDebug 30 Ox00000000 USBD debug register.
USBH
0x200 HcRevision Refer to [19] for #Bits, Reset, Description.
0x204 HcControl Refer to [19] for #Bits, Reset, Description.
0x208 HcCommandStatu Refer to [19] for #Bits, Reset, s Description.
Ox2OC HclnterruptStatus Refer to [19] for #Bits, Reset, Description.
0x210 HclnterruptEnable Refer to [19] for #Bits, Reset, Description.
0x214 HclnterruptDisable Refer to [19] for #Bits, Reset, Description.
0x218 HcHCCA Refer to [19] for #Bits, Reset, Description.
0x21 C HcPeriodCurrentE Refer to [19] for #Bits, Reset, D Description.
0x220 HcControlHeadED Refer to [19] for #Bits, Reset, Description.
0x224 HcControlCurrent Refer to [19] for #Bits, Reset, ED Description.
0x228 HcBulkHeadED Refer to [19] for #Bits, Reset, Description.
0x22C HcBulkCurrentED Refer to [19] for #Bits, Reset, Description.
0x230 HcDoneHead Refer to [19] for #Bits, Reset, Description.
0x234 HcFminterval Refer to [191 for #Bits, Reset, Description.
I0x238 HcFmRemaining Refer to [19] for #Bits, Reset, Description.
Ox23C HcFmNumber Refer to [19] for #Bits, Reset, Description.
0x240 HcPeriodicStart Refer to [19] for #Bits, Reset, Description.
0x244 HcLSTheshold Refer to [19] for #Bits, Reset, Description.
0x248 HcRhDescriptorA Refer to [19] for #Bits, Reset, Description.
Ox24C HcRhDescriptorB Refer to [19] for #Bits, Reset, Description.
0x250 HcRhStatus Refer to [19] for #Bits, Reset, Description.
0x254 HcRhPortStatus[1] Refer to [19] for #Bits, Reset, Description.
0x258 USBHStatus 3 Ox00000000 USBH status register.
Ox25C USBHMask 2 Ox00000000 USBH interrupt mask register.
0x260 USBHDebug 2 Ox00000000 USBH debug register.
ISI
0x300 ISICntrl Ox0000000B ISI Control register 0x304 ISIld Ox00000000 ISlld for this SoPEC.
0x308 ISINumRetries 0x00000002 Number of ISI retransmissions register.
Ox3OC ISIPingSchedule0 15 Ox00000000 ISI Ping schedule 0 register.
0x310 ISIPingSchedule1 15 Ox00000000 ISI Ping schedule 1 register.
0x314 ISIPingSchedule2 15 Ox00000000 ISI Ping schedule 2 register.
0x318 ISITotalPeriod Ox0000000F Reload value of the ISITotalPeriod counter.
0x31 C ISILocalPeriod 4 Ox0000000F Reload value of the ISILocalPeriod counter.
0x320 ISIIntStatus Ox00000000 ISI interrupt status register.
0x324 ISITxBuffStatus 27 Ox00000000 ISI Tx buffer status register.
0x328 ISIRxBuffStatus 27 Ox00000000 ISI Rx buffer status register.
0x32C ISIMask Ox00000000 ISI Interrupt mask register.
0x330 - 0x34C ISITxBuffEntryO[7: 32x8 n/a ISI transmit Buff, packet entry #0.
0] 32-byte packet entry in the ISITxBuff, containing the payload of an ISI Tx packet.
CPU read access to ISITxBuffEntryO is provided for observability only i.e. CPU

reads of the ISITxBuffEntryO do not alter the state of the buffer. The CPU
does not have write access to the ISITxBuffEntryO.
0x350 - Ox36C ISITxBuffEntry1 [7: 32x8 n/a ISI transmit Buff, packet entry #1.
0] s per ISITxBuffEntryO.
0x370 - 0x38C ISIRxBuffEntryO[7: 32x8 n/a ISI receive Buff, packet entry #0.
0] 32-byte packet entry in the ISIRxBuff, containing the payload of an ISI Rx packet. Note that the only error-free long packets are placed in the ISIRxBuffEntryO. Both ping and ACKs are consumed in the ISI.
CPU access to ISIRxBuffEntryO is provided for observability only i.e. CPU
reads of the ISIRxBuffEntryO do not alter the state of the buffer.
0x390 - Ox3AC ISIRxBuffEntry1 [7: 32x8 n/a ISI receive Buff, packet entry #1.
0] s per ISIRxBuffEntryO.
Ox3BO ISISubidOSeq 1 Ox00000000 ISI sub ID 0 sequence bit register.
0x3B4 ISISubId1Seq 1 Ox00000000 ISI sub ID 1 sequence bit register.
0x3B8 ISISubldSeqMask 2 Ox00000000 ISI sub ID sequence bit mask register.
Ox3BC ISINumPins I Ox00000000 ISI number of pins register.
Ox3CO ISITurnAround Ox0000000F ISI bus turn around register.
0x3C4 ISITShortReplyWi 5 Ox0000001 F ISI short packet reply window.
n 0x3C8 ISITLongReplyWin 9 Ox000001 FF ISI long packet reply window.
Ox3CC ISIDebug Ox00000000 ISI debug register.

A detailed description of each register format follows. The CPU has full read access to all registers.
Write access to the fields of each register is defined as:
= Full: The CPU has full write access to the field, i.e. the CPU can write a I
or a 0 to each bit.
= Clear: The CPU can clear the field by writing a I to each bit. Writing a 0 to this type of field will have no effect.
= None: The CPU has no write access to the field, i.e. a CPU write will have no effect on the field.
12.5.5.2.1 SCBResetN
Table 39. SCBResetN register format Field Name Bit(s) write access Description CTRL 0 Full scb ctrl sub-block reset.
Setting this field will reset the SCB control sub-block logic, including all configuration registers.
0 = reset 1 = default state ISI 1 Full scb isi sub-block reset.
Setting this field will reset the ISI sub-block logic.
0 = reset 1 = default state USBH 2 Full scb usbh sub-block reset.
Setting this field will reset the USB host controller core and associated logic.
0 = reset 1 = default state USBD 3 Full scb usbd sub-block reset.
Setting this field will reset the USB device controller core and associated logic.
0 = reset I = default state 12.5.5.2.2 SCBGo Table 40. SCBGo register format Field Name Bit(s) rite access Description CTRL 0 Full scb_ctrl sub-block go.
0 = halted 1 = running ISi 1 Full scb isi sub-block go.
0 = halted 1 = running 12.5.5.2.3 SCBWakeUpEn This register is used to gate the propagation of the USB and ISI reset signals to the CPR block.
Table 41. SCBWakeUpEn register format Field Name Bit(s) rite access Description USBWakeUpEn 0 Full usb cpr reset n propagation enable.
1 = enable 0 = disable ISIWakeUpEn 1 Full isi cpr reset n propagation enable.
1 = enable 0 = disable 12.5.5.2.4 SCBISITxBufferArb This register determines which source has priority at the ISITxBuffer interface on the ISI block.
When a bit is set priority is given to the relevant source. When both bits have the same value, arbitration will be performed in a round-robin manner.
Table 42. SCBISITxBufferArb register format Field Name Bit(s) rrft Descripfiion access CPUPriority 0 Full CPU priority 1 = high priority 0 = low priority USBPriority 1 Full USB priority 1 = high priority 0 = low priority 12.5.5.2.5 SCBDebugSel Contains address of the register selected for debug observation as it would appear on cpu adr. The contents of the selected register are output in the scb cpu data bus while cpu scb sel is low and scb cpu debug valid is asserted to indicate the debug data is valid. It is expected that a number of pseudo-registers will be made available for debug observation and these will be outlined with the implementation details.
Table 43. SCBDebugSel register format Field Name Bit(s) fwrite Deseription access CPUAdr 11:2 Full cpu adr register address.
12.5.5.2.6 USBEPnDest This register description applies to USBEPODest, USBEP9Dest, USBEP2Dest, USBEP3Dest, USBEP4Dest. The SCB has two routing options for each packet received, based on the DestlSlld associated with the packets source EP:
= To the DMA Manager = To the ISI
The SCB map therefore does not need special fields to identify the DMAChannels on the ISIMaster SoPEC as this is taken care of by the SCB hardware. Thus the USBEPODest and USBEPIDest registers should be programmed with 0x20 and Ox21 (for ISIO.0 and ISIO.1) respectively to ensure data arriving on these endpoints is moved directly to DRAM.
Table 44. USBEPnDest register format Fieid Name Bit(s) Write access Descripfiion SequenceBit 0 Full Sequence bit for packets going from USBEPn to DestISIId.DestISlSubld.
Every CPU write to this register initialises the value of the sequence bit and this is subsequently updated by the ISI after every successful long packet transmission.
DestISlld 4:1 Full Destination ISI ID.
Denotes the ISlld of the target SoPEC as per Table DestISlSubld 5 Full Destination ISI sub ID.
Indicates which DMAChannel of the arget SoPEC the endpoint is mapped onto:
0 = DMAChannelO
I = DMAChannell ChannelEn 6 Full Communication channel enable bit or EPn.
his enables/disables the commuhication channel for EPn.
hen disabled, the SCB will not accept USB packets addressed to EPn.
0 = Channel disabled 1 = Channel enabled If the local SoPEC is connected to an external USB host, it is recommended that the EPO
communication channel should always remain enabled and mapped to DMAChannelO
on the local SoPEC, as this is intended as the primary control communication channel between the external USB host and the local SoPEC.
A SoPEC ISIMaster should map as many USB endpoints, under the control of the external host, as are required for the multi-SoPEC system it is part of. As already mentioned this mapping may be dynamically reconfigured.
12.5.5.2.7 DMAnBottomAdr This register description applies to DMAOBottomAdr and DMA1 BottomAdr.
Table 45. DMAnBottomAdr register format Fleld Name Bit(s) rite Descript[on access DMAnBottomAdr 21:5 Full The 256-bit aligned DRAM address of the bottom of the circular buffer (inclusive) serviced by DMAChanneln 12.5.5.2.8 DMAnTopAdr This register description applies to DMAOTopAdr and DMA1 TopAdr.
Table 46. DMAnTopAdr register format Field Name Bit(s) rite Description ccess DMAnTopAdr 21:5 Full he 256-bit aligned DRAM address o he top of the circular buffer (inclusive) serviced by DMAChanneln 12.5.5.2.9 DMAnCurrWPtr This register description applies to DMAOCurrWPtr and DMAlCurrWPtr.
Table 47. DMAnCurrWptr register format Field Name Bit(s) Write Description CGeSs DMAnCurrWPtr 21:5 Full he 256-bit aligned DRAM address oG
he next location DMAChannelO will write to. This register is set by the CPU
at the start of a DMA operation and dynamically updated by the DM
manager during the operation.
12.5.5.2.10 DMAnlntAdr This register description applies to DMAOIntAdr and DMA1/ntAdr.
Table 48. DMAnIntAdr register format Bit(s) rite Description cceSS
DMAnIntAdr 21:5 Full The 256-bit aligned DRAM address of the location that will trigger an interrupt when reached b DMAChanneln buffer.
12.5.5.2.11 DMAnMaxAdr This register description applies to DMAOMaxAdr and DMA IMaxAdr.
Table 49. DMAnMaxAdr register format Field Name Bit(s) Write Description access DMAnMaxAdr 21:5 Full he 256-bit aligned DRAM address of the last free location that in the DMAChanneln circular buffer. DMAChannel0 transfers ill stop when it reaches this address.
12.5.5.2.12 DMAAccessEn This register enables DMA access for the various requestors, on a per channel basis.
Table 50. DMAAccessEn register format Field Name Bit(s) --.rite Descfipfiory access DMAChannelOEn 0 Full DMA Channel #0 access enable.
his uni-directional write channel is used by the USBD and the ISI.
1 = enable 0 = disable DMAChannel1 En 1 Full s per USBDISIOEn.
DMAChanne12En 2 Full DMA Channel #2 access enable.
his bi-directional read/write channel is used by the USBH.
1 = enable 0 = disable 12.5.5.2.13 DMAStatus The status bits are not sticky bits i.e. they reflect the 'live' status of the channel.
DMAChannelNIntAdrHit and DMAChannelNMaxAdrHit status bits may only be cleared by writing to the relevant DMAnlntAdr or DMAnMaxAdr register.
Table 51. DMAStatus register format Field Name Bit(s) -rite Descript[on access DMAChannelOlntAdrHit 0 None DMA channel #0 interrupt address hit.
1= DMAChannelO has reached the address contained in the DMAOIntAdr register.
0 = default state DMAChannelOMaxAdrHit 1 None DMA channel #0 max address hit.
1= DMAChannel0 has reached the address contained in the DMAOMaxAdr register.
0 = default state DMAChannell lntAdrHit 3 None s per DMAChannelOlntAdrHit.
DMAChannell MaxAdrHit None s per DMAChannelOMaxAdrHit.
12.5.5.2.14 DMAMask register All bits of the DMAMask are both readable and writable by the CPU. The DMA
manager cannot alter the value of this register. All interrupts are generated in an edge sensitive manner i.e. the DMA
manager will generate a dma_icu irq pulse each time a status bit goes high and its corresponding mask bit is enabled.
Table 52. DMAMask register format Field Name Bit(s} rite Description aiccess DMAChannelOlntAdrHitlntEn 0 Full DMAChannelOlntAdrHit status interrupt enable.
1 = enable 0 = disable DMAChannelOMaxAdrHitlntEn 1 Full DMAChannelOMaxAdrHit status interrupt enable.
I = enable 0 = disable DMAChannell IntAdrHitlntEn 2 Full s per DMAChannelOlntAdrHitlntEn DMAChannell MaxAdrHitlntEn 3 Full s per DMAChannelOMaxAdrHitlntEn 12.5.5.2.15 CPUISITxBuffCtrl register Table 53. CPUISITxBuffCtrl register format Fietd Name Btt(s) Wr%te Description acaess PktValid 0 ull his field should be set by the CPU to indicate the validity of the CPUISITxBuff contents. This field will be cleared by the SCB once the contents of the CPUISITxBuff has been copied to the ISITxBuff.
NOTE: The CPU should not clear this ield under normal operation. If the CPU clears this field during a packet ransfer to the ISITxBuff, the transfer ill be aborted - this is not recommended.
1 = valid packet.
0 = default state.
PktDesc 3:1 ull PktDesc field, as per Table , of the packet contained in the CPUISITxBuff.
he CPU is responsible for maintaining he correct sequence bit value for each ISIld.ISlSubld channel it communicates ith. Only valid when CPU
IS1TxBuffCtrl.PktValid = 1.
DestlSlld 7:4 ull Denotes the ISlld of the target SoPEC
as per Table .
DestISlSubld 8 ull Indicates which DMAChannel of the arget SoPEC the packet in the CPUISITxBuff is destined for.
1 = DMAChannell 0 = DMAChannel0 12.5.5.2.16 USBDIntStatus The USBD1ntStatus register contains status bits that are related to conditions that can cause an interrupt to the CPU, if the corresponding interrupt enable bits are set in the USBDMask register.
The field name extension Sticky implies that the status condition will remain registered until cleared by a CPU write of 1 to each bit of the field.
NOTE: There is no EpOlrregPktStickyfield because the default control EP will frequently receive packets that are not multiples of 32 bytes during normal operation.
Table 54. USBDIntStatus register format Fie(dName Bit(s) -rite Description access CoreSuspendSticky 0 Clear Device core USB suspend flag. Sticky.
1= USB suspend state. Set when device core udcvci suspend signal transitions from 1-> 0.
0 = default value.
CoreUSBResetSticky I Clear Device core USB reset flag. Sticky.
1= USB reset. Set when device core udcvci reset signal transitions from 1-> 0.
0 = default value.
CoreUSBSOFSticky 2 Clear Device core USB Start Of Frame (SOF) flag.
Sticky.

1= USB SOF. Set when device core udcvci sof signal transitions from 1-> 0 0 = default value.
CPU ISITxBuffEm ptySticky 3 Clear CPU ISI transmit buffer empty flag. Sticky.
1 = empty.
0 = default value.
CPUEp0lnBuffEmptySticky 4 Clear CPU EPO IN buffer empty flag. Sticky.
1 = em pty.
0 = default value.
CPUEp5lnBuffEmptySticky 5 Clear CPU EP5 IN buffer empty flag. Sticky.
1 = empty.
0 = default value.
Ep01nNAKSticky 6 clear EPO-IN NAK flag. Sticky his flag is set if the USB device core issues a read request for EPO-IN and there is not a alid packet present in the EPO-IN buffer. The core will therefore send a NAK response to he IN token that was received from external USB host. This is an indicator of any back-pressure on the USB caused by EPO-IN.
1 = NAK sent.
0 = default value Ep51nNAKSticky 7 Clear s per EpOInNAK.
EpOOutNAKSticky 8 Clear EPO-OUT NAK flag. Sticky his flag is set if the USB device core issues a write request for EPO-OUT and there is no space in the OUT EP buffer for a the packet.
he core will therefore send a NAK response o the OUT token that was received from external USB host. This is an indicator of any back-pressure on the USB caused by EPO-OUT.
1 = NAK sent.
0 = default value Ep1 OutNAKSticky 9 Clear s per EpOOutNAK.
Ep2OutNAKSticky 10 Clear s per EpOOutNAK.
Ep3OutNAKSticky 11 Clear s per EpOOutNAK.
Ep4OutNAKSticky 12 Clear s per EpOOutNAK.
Ep1lrregPktSticky 13 Clear EP1-OUT irregular sized packet flag. Sticky.

Indicates a packet that is not a multiple of 32 bytes in size was received by EP1-OUT.
1= irregular sized packet received.
0 = default value.
Ep2lrregPktSticky 14 Clear s per Ep9lrregPktSticky.
Ep3lrregPktSticky 15 Clear s per EpllrregPktSticky.
Ep4lrregPktSticky 16 Clear s per EpllrregPktSticky.
OutBuffOverFlowSticky 17 Clear OUT EP buffer overflow flag. Sticky.
his flag is set if the USB device core attempted to write a packet of more than 64 bytes to the OUT EP buffer. This is a fatal error, suggesting a problem in the USB device IP core. The SCB will take no further action.
1 = overflow condition detected.
0 = default value.
InBuffUnderRunSticky 18 clear IN EP buffer underrun flag. Sticky.
his flag is set if the USB device core attempted to read more data than was present from the IN EP buffer. This is a fatal error, suggesting a problem in the USB device IP core. The SCB will take no further action.
1 = underrun condition detected.
0 = default value.
12.5.5.2.17 USBDISIFIFOStatus This register contains the status of the ISI mapped OUT EP packet FIFO. This is a secondary status register and will not cause any interrupts to the CPU.
Table 55. USBDISIFIFOStatus register format ield Name Bit(s) rite, [7escription access EntryOValid 0 none FIFO entry #0 valid field.
This flag will be set by the USBD when the USB device core indicates the validity of packet entry #0 in the FIFO.
1= valid USB packet in ISI OUT EP buffer 0.
0 = default value.
EntryOSource 3:1 none FIFO entry #0 source field.
Contains the EP associated with packet entry #0 in the FIFO.
Binary Coded Decimal.
Only valid when ISIBuffOPktValid =1.

Entry1 Valid none s per EntryOValid.
Entry1 Source 7:5 none s per EntryOSource.
Entry2Valid 8 none s per EntryOValid.
Entry2Source 11:9 none s per EntryOSource.
Entry3Valid 12 none s per EntryOValid.
Entry3Source 15:13 none s per EntryOSource.
12.5.5.2.18 USBDDMAOFIFOStatus This register description applies to USBDDMAOFIFOStatus and USBDDMAIFIFOStatus.
This register contains the status of the DMAChanneIN mapped OUT EP packet FIFO. This is a secondary status register and will not cause any interrupts to the CPU.
Table 56. USBDDMANFIFOStatus register format tetd Narrie Bit(s) rite` Description access EntryOValid 0 none FIFO entry #0 valid field.
his flag will be set by the USBD when the USB device core indicates the validity of packet entry #0 in the FIFO.
1= valid USB packet in ISI OUT EP buffer 0.
0 = default value.
EntryOSource 3:1 none FIFO entry #0 source field.
Contains the EP associated with packet entry #0 in the FIFO. Binary Coded Decimal.
Only valid when EntryOValid = 1.
Entry1 Valid none s per EntryOValid.
Entryl Source 7:5 none s per EntryOSource.
12.5.5.2.19 USBDResume This register causes the USB device core to initiate resume signalling to the external USB host.
Oniy applicable when the device core is in the suspend state.
Table 57. USBDResume register format Field Name Bit(s) rite access Description USBDResume 0 ull USBD core resume register.
he USBD will clear this register upon resume notification from the device core.
1 = generate resume signalling.
0 = default value.
12.5.5.2.20 USBDSetup This register controls the general setup/configuration of the USBD.
Table 58. USBDSetup register format Fi.eld Name Bit(s) rite Description access Ep1 lrregPktCntrl 0 ull EP I OUT irregular sized packet control.
n irregular sized packet is defined as a packet that is not a multiple of 32 bytes.
1= discard irregular sized packets.
0= read 32 bytes from buffer, regardless of packet size.
Ep2lrregPktCntrl 1 ull s per Ep1 IrregPktDiscard Ep3lrregPktCntrl 2 ull s per Ep1 IrregPktDiscard Ep4lrregPktCntrl 3 ull s per Ep1 IrregPktDiscard 12.5.5.2.21 USBDEpNInBuffCtrl register This register description applies to USBDEp0lnBuffCtrl and USBDEp51nBuffCtr1.
Table 59. USBDEpNInBuffCtr{ register format Field Name Bit(s) rtte Description aGCess PktValid 0 ull Setting this register validates the contents of USBDEpNInBuff.
This ield will be cleared by the SCB once the packet has been successfully transmitted to the external USB host.
NOTE: The CPU should not clear this field under normal operation.
If the CPU clears this field during a packet transfer to the USB, the ransfer will be aborted - this is not recommended.
1 = valid packet.
0 = default state.
12.5.5.2.22 USBDMask This register serves as an interrupt mask for all USBD status conditions that can cause a CPU
interrupt. Setting a field enables interrupt generation for the associated status event. Clearing a field disables interrupt generation for the associated status event. All interrupts will be generated in an edge sensitive manner, i.e. when the associated status register transitions from 0-> 1.
Table 60. USBDMask register format Field Name Bit(s) rite Description access CoreSuspendStickyEn 0 ull CoreSuspendSticky status interrupt enable.
CoreUSBResetStickyEn 1 ull Core USBResetSticky status interrupt enable.
CoreUSBSOFStickyEn 2 ulI CoreUSBSOFSticky status interrupt enable.
CPU ISITxBuffEm ptyStickyEn 3 ull CPUISITxBuffEmptySticky status interrupt enable.
CPUEp0lnBuffEmptyStickyEn 4 ull CPUEpOInBuffEmptySticky status interrupt enable.

CPUEp5lnBuffEmptyStickyEn 5 ull CPUEp51nBuffEmptyStickystatus interruptenable.
Ep01nNAKStickyEn 6 ull Ep01nNAKStickystatusinterruptenable.
Ep5InNAKStickyEn 7 ull Ep5lnNAKSticky status interrupt enable.
EpOOutNAKStickyEn 8 ull EpOOutNAKSticky status interrupt enable.
Ep1 OutNAKStickyEn 9 ull Ep9OutNAKSticky status interrupt enable.
Ep2OutNAKStickyEn 10 ull Ep2OutNAKSticky status interrupt enable.
Ep3OutNAKStickyEn 11 ull Ep3OutNAKSticky status interrupt enable.
Ep4OutNAKStickyEn 12 ull Ep4OutNAKSticky status interrupt enable.
Ep1 IrregPktStickyEn 13 ull Ep1lrregPktSticky status interrupt enable.
Ep2lrregPktStickyEn 14 ull Ep2lrregPktSticky status interrupt enable.
Ep3lrregPktStickyEn 15 ull Ep31rregPktSticky status interrupt enable.
Ep4lrregPktStickyEn 16 ull Ep4lrregPktSticky status interrupt enable.
OutBuffOverFlowStickyEn 17 ull OutBuffOverFlowSticky status interrupt enable.
InBuffUnderRunStickyEn 18 ull InBuffUnderRunSticky status interrupt enable.
12.5.5.2.23 USBDDebug This register is intended for debug purposes only. Contains non-sticky versions of all interrupt capable status bits, which are referred to as dynamic in the table.
Table 61. USBDDebug register format Field Name Bit(s) Write Description access CoreTimeStamp 10:0 none USB device core frame number.
CoreSuspend 11 none Dynamic version of CoreSuspendSticky.
CoreUSBReset 12 none Dynamic version of CoreUSBResetSticky.
CoreUSBSOF 13 none Dynamic version of CoreUSBSOFSticky.
CPUISITxBuffEmpty 14 none Dynamic version of CPUISITxBuffEmptySticky.
CPUEp0lnBuffEmpty 15 none Dynamic version of CPUEp0In8uffEmptySticky.
CPUEp5lnBuffEmpty 16 none Dynamic version of CPUEp5lnBuffEmptySticky.
EpOInNAK 17 none Dynamic version of EpOInNAKSticky.
Ep5InNAK 18 none Dynamic version of Ep51nNAKSticky.
EpOOutNAK 19 none Dynamic version of EpOOutNAKSticky.
Ep1 OutNAK 20 none Dynamic version of EplOutNAKSticky.
Ep20utNAK 21 none Dynamic version of Ep2OutNAKSticky.
Ep3OutNAK 22 none Dynamic version of Ep3OutNAKSticky.
Ep4OutNAK 23 none Dynamic version of Ep4OutNAKSticky.
Ep1lrregPkt 24 none Dynamic version of EpllrregPktSticky.
Ep2lrregPkt 25 none Dynamic version of Ep2lrregPktSticky.
Ep3lrregPkt 26 none Dynamic version of Ep3lrregPktSticky.

Ep4lrregPkt 27 none Dynamic version of Ep4lrregPktSticky.
OutBuffOverFlow 28 none Dynamic version of OutBuffOverFlowSticky.
InBuffUnderRun 29 none Dynamic version of InBuffUnderRunSticky.
12.5.5.2.24 USBHStatus This register contains all status bits associated with the USBH. The field name extension Sticky implies that the status condition will remain registered until cleared by a CPU write.
Table 62. USBHStatus register format Fie(d Narrte Bit(s) .-, rite Description cceSS
CorelRQSticky 0 clear HC core IRQ interrupt flag. Sticky Set when HC core UHOSTC lrqN output signal ransitions from 0 -> 1. Refer to OHCI spec for details on HC interrupt processing.
1= IRQ interrupt from core.
0 = default value.
CoreSMlSticky 1 clear HC core SMI interrupt flag. Sticky Set when HC core UHOSTC SmiN output signal transi-ions from 0 -> 1. Refer to OHCI spec for details on HC
interrupt processing.
1= SMI interrupt from HC.
0 = default value.
CoreBuffAcc 2 none HC core buffer access flag.
HC core UHOSTC BufAcc output signal. Indicates whether the HC is accessing a descriptor or a buffer in shared system memory.
1 = buffer access 0 = descriptor access.
12.5.5.2.25 USBHMask This register serves as an interrupt mask for all USBH status conditions that can cause a CPU
interrupt. All interrupts will be generated in an edge sensitive manner, i.e.
when the associated status register transitions from 0 -> 1.
Table 63. USBHMask register format Field Name Bit(s) rite access Description CoreIRQlntEn 0 ull CorelRQSticky status interrupt enable.
1 = enable.
0 = disable.
CoreSMllntEn 1 ull CoreSMlSticky status interrupt enable.

1 = enable.
0 = disable.
12.5.5.2.26 USBHDebug This register is intended for debug purposes only. Contains non-sticky versions of all interrupt capable status bits, which are referred to as dynamic in the table.
Table 64. USBHDebug register format Field Name Bit(s) jwrite access Descriptiart CorelRQ 0 none Dynamic version of CorelRQSticky.
CoreSMl 1 None Dynamic version of CoreSMlSticky.
12.5.5.2.27 ISICntrl This register controls the general setup/configuration of the ISI.
Note that the reset value of this register allows the SoPEC to automatically become an ISIMaster (AutoMasterEnable = 1) if any USB packets are received on endpoints 2-4. On becoming an ISIMaster the ISIMasterSel bit is set and any USB or CPU packets destined for other ISI devices are transmitted. The CPU can override this capability at any time by clearing the AutoMasterEnable bit.
Table 65. ISICntrl register format Field Name Bit(s) . rite Descriptipn access xEnable 0 Full ISI transmit enable.
Enables ISI transmission of long or ping packets. ACKs may still be transmitted when this bit is 0.
his is cleared by transmit errors and needs to be restarted by the CPU.
I = Transmission enabled 0 = Transmission disabled RxEnable 1 Full ISI receive enable.
Enables ISI reception. This is can only be cleared by he CPU and it is only anticipated that reception will be disabled when the ISI in not in use and the ISI pins are being used by the GPIO for another purpose.
1 = Reception enabled 0 = Reception disabled ISIMasterSel 2 Full ISI master select.
Determines whether the SoPEC is an ISIMaster or not 1 = ISIMaster 0 = ISISlave utoMasterEnable 3 Full ISI auto master enable.
Enables the device to automatically become the ISIMaster if activity is detected on USB endpoints2-4.
1 = auto-master operation enabled 0 = auto-master operation disabled 12.5.5.2.28 IS l ld Table 66. ISIId register format FieldNarne Bit(s) rite I DescXipfiiori = access ISIld 3:0 Full ISlld for this SoPEC.
SoPEC resets to being an ISISlave with ISIIdO.OxF (the broadcast ISIId) is an illegal value and should not be written o this register.
12.5.5.2.29 ISI Num Retries Table 67. ISINumRetries register format Field Name Bit(s) Write Descriptiort ccess ISINumRetries 3:0 Full Number of ISI retransmissions to attempt in response to an inferred NAK before aborting a long packet transmission 12.5.5.2.30 ISIPingScheduleN
This register description applies to ISIPingSchedule0, ISIPingSchedule1 and ISIPingSchedule2.
Table 68. ISIPingScheduleN register format rteld Name Bit(s) W rite Descr[ption access ISIPingSchedule 14:0 Full Denotes which ISlids will be receive ping packets.
Note that bitO refers to ISIIdO, bitl to ISIId1...bit14 to ISIId14.
12.5.5.2.31 ISITotalPeriod Table 69. ISITotalPeriod register format Field Name jBit(s) rite access Description ISITotalPeriod 3:0 Full Reload value of the ISITotalPeriod counter 12.5.5.2.32 ISILocalPeriod Table 70. ISILocalPeriod register format I

Field Name Bit(s) Write access C3escription ISILocalPeriod 3:0 Full Reload value of the ISILocalPeriod counter 12.5.5.2.33 ISI IntStatus The ISllntStatus register contains status bits that are related to conditions that can cause an interrupt to the CPU, if the corresponding interrupt enable bits are set in the ISIMask register.
Table 71. ISIIntStatus register Field.l`tame Bit(s) rite Description access xErrorSticky 0 None ISI transmit error flag. Sticky.
Receiving ISI device would not accept the transmitted packet. Only set after NumRetries unsuccessful retransmissions. (excluding ping packets).
his bit is cleared by the ISI after transmission has been re-enabled by the CPU setting the TxEnable bit of the ISICntrl register.
1 transmit error.
0 = default state.
RxFrameErrorSticky I Clear ISI receive framing error flag. Sticky.
his bit is set by the ISI when a framing error detected in the received packet, which can be caused by an incorrect Start or Stop field or by bit stuffing errors.
1 = framing error detected.
0 = default state.
RxCRCErrorSticky 2 Clear ISI receive CRC error flag.
his bit is set by the ISI when a CRC error is detected in an incoming packet. Other than dropping the errored packet ISI reception is unaffected by a CRC
Error.
1 = CRC error 0 = default state.
RxBuffOverFlowSticky 3 Clear ISI receive buffer over flow flag. Sticky.
n overflow has occurred in the ISI receive buffer and a packet had to be dropped.
1= over flow condition detected.
0 = default state.
12.5.5.2.34 ISITxBuffStatus The ISITxBuffStatus register contains status bits that are related to the ISI
Tx buffer. This is a secondary status register and will not cause any interrupts to the CPU.
Table 72. ISITxBuffStatus register format Field Name Bit(s) rite Description access EntryOPktValid 0 None ISI Tx buffer entry #0 packet valid flag.
his flag will be set by the ISI when a valid ISI packet is ritten to entry #0 in the ISITxBuff for transmission over the ISI bus. A Tx packet is considered valid when it is 32 bytes in size and the ISI has written the packet header information o EntryOPktDesc, EntryODestlSlld and EntryODestISlSubld.
1 = packet valid.
0 = default value.
EntryOPktDesc 3:1 None ISI Tx buffer entry #0 packet descriptor.
PktDesc field as per Table for the packet entry #0 in the ISlTxBuff. Only valid when EntryOPktValid = 1.
EntryODestlSlld 7:4 None ISI Tx buffer entry #0 destination ISI ID.
Denotes the ISlld of the target SoPEC as per Table . Only alid when EntryOPktValid = 1.
EntryODestISlSubld 8 None ISI Tx buffer entry #0 destination ISI sub ID.
Indicates which DMAChannel on the target SoPEC that packet entry #0 in the ISITxBuff is destined for. Only valid hen EntryOPktValid = 1.
I = DMAChannell 0 = DMAChannel0 Entryl PktValid 9 None s per EntryOPktValid.
Entryl PktDesc 12:10 None s per EntryOPktDesc.
Entryl DestlSlId 16:13 None s per EntryODestlSlld.
Entryl DestISISubld 17 None s per EntryODestISlSubld.
12.5.5.2.35 IS I RxBuffStatus The ISIRxBuffStatus register contains status bits that are related to the ISI
Rx buffer. This is a secondary status register and will not cause any interrupts to the CPU.
Table 73. ISIRxBuffStatus register format Field Name Bit(s) Write Description access EntryOPktValid 0 None ISI Rx buffer entry #0 packet valid flag.
his flag will be set by the ISI when a valid ISI packet is received and written to entry #0 of the ISIRxBuff. A Rx packet is considered valid when it is 32 bytes in size and no framing or CRC errors were detected.

1 = valid packet 0 = default value EntryOPktDesc 3:1 None ISl Rx buffer entry #0 packet descriptor.
PktDesc field as per Table for packet entry #0 of the ISIRxBuff. Only valid when EntryOPktValid = 1.
EntryODestlSlld 7:4 None ISI Rx buffer 0 destination ISI ID.
Denotes the ISIId of the target SoPEC as per Table . This should always correspond to the local SoPEC ISlld. Only alid when EntryOPktValid = 1.
EntryODestISlSubld 8 None ISI Rx buffer 0 destination ISI sub ID.
Indicates which DMAChannel on the target SoPEC that entry #0 of the ISIRxBuff is destined for. Only valid when EntryDPktValid = 1.
I = DMAChannell 0 = DMAChannelO
Entryl PktValid 9 None s per EntryOPktValid.
Entryl PktDesc 12:10 None s per EntryOPktDesc.
Entryl DestlSIld 16:13 None s per EntryODestlSlld.
Entry1 DestISlSubld 17 None s per EntryODestISlSubld.
12.5.5.2.36 ISIMask register An interrupt will be generated in an edge sensitive manner i.e. the ISI will generate an isi icu irq pulse each time a status bit goes high and the corresponding bit of the ISlMask register is enabled.
Table 74. ISIMask register Field Name Bit(s) rite Descriptian access xErrorintEn 0 Full TxErrorSticky status interrupt enable.
1 = enable.
0 = disable.
RxFrameErrorlntEn 1 Full RxFrameErrorSticky status interrupt enable.
1 = enable.
0 = disable.
RxCRCErrorlntEn 2 Full RxCRCErrorSticky status interrupt enable.
1 = enable.
0 = disable.
RxBuffOverFlowlntEn 3 Full RxBuffOverFlowSticky status interrupt enable.
1 = enable.
0 = disable.

12.5.5.2.37 ISISubIdNSeq This register description applies to 1SISubIdOSeq and ISISubIdOSeq.
Table 75. ISISubIdNSeq register format Field Name Bit(s) rite Description access_ ISISubIdNSeq 0 Full ISI sub ID channel N sequence bit.
This bit may be initialised by the CPU but is updated by the ISI each time an error-free long packet is received.
12.5.5.2.38 ISISubldSeqMask Table 76. ISISubldSeqMask register format Field Name Bit(s) W rite Desoription .access ISISubldSeqOMask 0 Full ISI sub ID channel 0 sequence bit mask.
Setting this bit ensures that the sequence bit will be ignored for incoming packets for the ISISubld.
1 = ignore sequence bit.
0 = default state.
ISISubldSeq1 Mask 1 Full s per ISISubldSeqOMask.
12.5.5.2.39 ISINumPins Table 77. ISINumPins register format Field Name Bit(s) rite aecess Description ISINumPins 0 Full Select number of active ISI pins.
1 = 4 pins 0 = 2 pins 12.5.5.2.40 ISITurnAround The ISI bus turnaround time will reset to its maximum value of OxF to provide a safer starting mode for the ISI bus. This value should be set to a value that is suitable for the physical implementation of the ISI bus, i.e. the lowest turn around time that the physical implementation will allow without significant degradation of signal integrity.
Table 78. ISITurnAround register format Field Name Bit(s) Write access Description ISITurnAround 3:0 Full ISI bus turn around time in ISI clock cycles (32MHz).
12.5.5.2.41 ISIShortReplyWin The ISI short packet reply window time will reset to its maximum value of Ox1 F to provide a safer starting mode for the ISI bus. This value should be set to a value that will allow for expected frequency of bit stuffing and receiver response timing.
Table 79. ISIShortReplyWin register format Fielti Name Bit(s) rite access CJescription.
ISIShortReplyWin :0 Full ISI long packet reply window in ISI
clock cycles (32MHz).
12.5.5.2.42 ISILongReplyW in The ISI long packet reply window time will reset to its maximum value of Ox1 FF to provide a safer starting mode for the ISI bus. This value should be set to a value that will allow for expected frequency of bit stuffing and receiver response timing.
Table 80. ISILongReplyWin register format Field Nacrre Bit(s) rite ` Description access ISILongReplyWin 8:0 Full ISI long packet reply window in ISI clock cycles (32MHz).
12.5.5.2.43 ISIDebug This register is intended for debug purposes only. Contains non-sticky versions of all interrupt capable status bits, which are referred to as dynamic in the table.
Table 81. ISIDebug register format Field Name Bit(s) .. rite access Descriptian xError 0 None Dynamic version of TxErrorSticky.
RxFrameError 1 None Dynamic version of RxFrameErrorSticky.
RxCRCError 2 None Dynamic version of RxCRCErrorSticky.
RxBuffOverFlow 3 None Dynamic version of RxBuffOverFlowSticky.
12.5.5.3 CPU Bus Interface 12.5.5.4 Control Core Logic 12.5.5.5 DIU Bus Interface 12.6 DMA REGS
All of the circular buffer registers are 256-bit word aligned as required by the DIU. The DMAnBottomAdr and DMAnTopAdr registers are inclusive i.e. the addresses contained in those registers form part of the circular buffer. The DMAnCurrWPtr always points to the next location the DMA manager will write to so interrupts are generated whenever the DMA manager reaches the address in either the DMAnlntAdr or DMAnMaxAdr registers rather than when it actually writes to these locations. It therefore can not write to the location in the DMAnMaxAdr register.
SCB Map regs The SCB map is configured by mapping a USB endpoint on to a data sink. This is performed on a endpoint basis i.e. each endpoint has a configuration register to allow its data sink be selected.
Mapping an endpoint on to a data sink does not initiate any data flow - each endpoint/data sink needs to be enabled by writing to the appropriate configuration registers for the USBD, ISI and DMA
manager.
13. General Purpose 10 (GPIO) 13.1 OVERVIEW
The General Purpose 10 block (GPIO) is responsible for control and interfacing of GPIO pins to the rest of the SoPEC system. It provides easily programmable control logic to simplify control of GPIO
functions. In all there are 32 GPIO pins of which any pin can assume any output or input function.
Possible output functions are = 4 Stepper Motor control Outputs = 12 Brushless DC Motor Control Output (total of 2 different controllers each with 6 outputs) = 4 General purpose high drive pulsed outputs capable of driving LEDs.
= 4 Open drain IOs used for LSS interfaces ;ach 4 Normal drive low impedance IOs used for the ISI interface in Multi-SoPEC mode of the pins can be configured in either input or output mode, each pin is independently controlled. A programmable de-glitching circuit exists for a fixed number of input pins. Each input is a schmidt trigger to increase noise immunity should the input be used without the de-glitch circuit.
The mapping of the above functions and their alternate use in a slave SoPEC to GPIO pins is shown in Table 82 below.
Table 82. GPIO pin type GPIO pin(s) Pin 10 Type Default Function gpio[3:0] Normal drive, low impedance IOPins 1 and 0 in ISI Mode, pins (35 Ohm), Integrated pull-up2 and 3 in input mode resistor gpio[7:4] High drive, normal impedance lOInput Mode (65 Ohm), intended for LED
drivers gpio[31:8] Normal drive, normal impedance Input Mode 10 (65 Ohm), no pull-up 13.2 Stepper Motor control The motor control pins can be directly controlled by the CPU or the motor control logic can be used to generate the phase pulses for the stepper motors. The controller consists of two central counters from which the control pins are derived. The central counters have several registers (see Table ) used to configure the cycle period, the phase, the duty cycle, and counter granularity.
There are two motor master counters (0 and 1) with identical features. The period of the master counters are defined by the MotorMasterClkPeriod[1:0] and MotorMasterClkSrc registers i.e. both master counters are derived from the same MotorMasterClkSrc. The MotorMasterClkSrc defines the timing pulses used by the master counters to determine the timing period.
The MotorMasterClkSrc can select clock sources of 1 s,100 s,10ms and pclktiming pulses.
The MotorMasterClkPeriod[1:0] registers are set to the number of timing pulses required before the timing period re-starts. Each master counter is set to the relevant MotorMasterClkPeriod value and counts down a unit each time a timing pulse is received.
The master counters reset to MotorMasterClkPeriod value and count down. Once the value hits zero a new value is reloaded from the MotorMasterC1kPeriod[1:0] registers.
This ensures that no master clock glitch is generated when changing the clock period.
Each of the 10 pins for the motor controller are derived from the master counters. Each pin has independent configuration registers. The MotorMasterClkSelect(3:0] registers define which of the two master counters to use as the source for each motor control pin. The master counter value is compared with the configured MotorCtrlLow and MotorCtrlHigh registers (bit fields of the MotorCtrlConfig register). If the count is equal to MotorCtrlHigh value the motor control is set to 1, if the count is equal to MotorCtrlLowvalue the motor control pin is set to 0.
This allows the phase and duty cycle of the motor control pins to be varied at pclk granularity.
The motor control generators keep a working copy of the MotorCtrlLow, MotorCtrlHigh values and update the configured value to the working copy when it is safe to do so. This allows the phase or duty cycle of a motor control pin to be safely adjusted by the CPU without causing a glitch on the output pin.
Note that when reprogramming the MotorCtrlLow, MotorCtrlHigh registers to reorder the sequence of the transition points (e.g changing from low point less than high point to low point greater than high point and vice versa) care must still taken to avoid introducing glitching on the output pin.
13.3 LED CONTROL
LED lifetime and brightness can be improved and power consumption reduced by driving the LEDs with a pulsed rather than a DC signal. The source clock for each of the LED
pins is a 7.8kHz (128 s period) clock generated from the 1 s clock pulse from the Timers block. The LEDDutySelect registers are used to create a signal with the desired waveform. Unpulsed operation of the LED pins can be achieved by using CPU 10 direct control, or setting LEDDutySelect to 0.
By default the LED
pins are controlled by the LED control logic.
13.4 LSS INTERFACE VIA GPIO
In some SoPEC system configurations one or more of the LSS interfaces may not be used. Unused LSS interface pins can be reused as general 10 pins by configuring the IOModeSelect registers.
When a mode select register for a particular GPIO pin is set to 23,22,21,20 the GPIO pin is connected to LSS control IOs 3 to 0 respectively.
13.5 ISI INTERFACE VIA GPIO
In Multi-SoPEC mode the SCB block (in particular the ISI sub-block) requires direct access to and from the GPIO pins. Control of the ISI interface pins is determined by the IOModeSelect registers.
When a mode select register for a particular GPIO pin is set to 27,26,25,24 the GPIO pin connected to the ISI control bits 3 to 0 respectively. By default the GPIO pins 1 to 0 are directly controlled by the ISl block.

In single SoPEC systems the pins can be re-used by the GPIO.
13.6 CPU GPIO CONTROL
The CPU can assume direct control of any (or all) of the 10 pins individually.
On a per pin basis the CPU can turn on direct access to the pin by configuring the lOModeSelect register to CPU direct mode. Once set the 10 pin assumes the direction specified by the CpulODirection register. When in output mode the value in register CpulOOut will be directly reflected to the output driver. When in input mode the status of the input pin can be read by reading CpulOln register. When writing to the CpulOOut register the value being written is XORed with the current value in CpulOOut. The CPU
can also read the status of the 10 selected de-glitched inputs by reading the CpulOlnDeGlitch register.
13.7 PROGRAMMABLE DE-GLITCHING LOGIC
Each 10 pin can be filtered through a de-glitching logic circuit, the pin that the de-glitching logic is connected to is configured by the InputPinSelect registers. There are 10 de-glitching circuits, so a maximum of 10 input pin can be de-glitched at any time.
The de-glitch circuit can be configured to sample the 10 pin for a predetermined time before concluding that a pin is in a particular state. The exact sampling length is configurable, but each de-glitch circuit must use one of two possible configured values (selected by DeGlitchSelect). The sampling length is the same for both high and low states. The DeGlitchCount is programmed to the number of system time units that a state must be valid for before the state is passed on. The time units are selected by DeGlitchClkSel and can be one of 1 s,100 s,10ms and pclk pulses.
For example if DeGlitchCount is set to 10 and DeGlitchClkSel set to 3, then the selected input pin must consistently retain its value for 10 system clock cycles (pclk) before the input state will be propagated from CpulOln to CpulOlnDeglitch.
13.8 INTERRUPT GENERATION
Any of the selected input pins (selected by InputPinSelect) can generate an interrupt from the raw or deglitched version of the input pin. There are 10 possible interrupt sources from the GPIO to the interrupt controller, one interrupt per input pin. The InterruptSrcSelect register determines whether the raw input or the deglitched version is used as the interrupt source.
The interrupt type, masking and priority can be programmed in the interrupt controller.
13.9 FREQUENCY ANALYSER
The frequency analyser measures the duration between successive positive edges on a selected input pin (selected by InputPinSelect) and reports the last period measured (FreqAnaLastPeriod) and a running average period (FreqAnaAverage).
The running average is updated each time a new positive edge is detected and is calculated by FreqAnaAverage = ( FreqAnaAverage / 8 ) * 7 + FreqAnaLastPeriod / 8.
The analyser can be used with any selected input pin (or its deglitched form), but only one input at a time can be selected. The input is selected by the FreqAnaPinSelect (range of 0 to 9) and its deglitched form can be selected by FreqAnaPinFormSelect.
13.10 BRUSHLESS DC (BLDC) MOTOR CONTROLLERS

The GPIO contains 2 brushless DC (BLDC) motor controllers. Each controller consists of 3 hall inputs, a direction input, and six possible outputs. The outputs are derived from the input state and a pulse width modulated (PWM) input from the Stepper Motor controller, and is given by the truth table in Table 83.
Table 83. Truth Table for BLDC Motor Controllers direction hc hb ha q6 q5 q4 q3 q2 ql All inputs to a BLDC controller must be de-glitched. Each controller has its inputs hardwired to de-glitch circuits. Controller 1 hall inputs are de-glitched by circuits 2 to 0, and its direction input is de-glitched by circuit 3. Controller 2 inputs are de-glitched by circuits 6 to 4 for hall inputs and 7 for direction input.
Each controller also requires a PWM input. The stepper motor controller outputs are reused, output 0 is connected to BLDC controller 1, and output 1 to BLDC controller 2.
The controllers have two modes of operation, internal and external direction control (configured by BLDCMode). If a controller is in external direction mode the direction input is taken from a de-glitched circuit, if it is in internal direction mode the direction input is configured by the BLDCDirection register.
The BLDC controller outputs are connected to the GPIO output pins by configuring the lOModeSelect register for each pin. e.g Setting the mode register to 8 will connect q1 Controller 1 to drive the pin.
13.11 IMPLEMENTATION

13.11.1 Definitions of I/O
Table 84. I/O definition Port name Pins I/O Description Clocks and Resets Pclk 1 In System Clock prst_n 1 In System reset, synchronous active low impulse[2:0] 3 In imers block generated timing pulses.
0 - 1 s pulse 1 -100 s pulse 2 - 10 ms pulse CPU Interface cpu_adr[8:2] 8 In CPU address bus. Only 7 bits are required to decode the address space for this block cpu_dataout[31:0] 32 In Shared write data bus from the CPU
gpio_cpu_data[31:0] 32 Out Read data bus to the CPU
cpu_rwn I In Common read/not-write signal from the CPU
cpu_gpio_sel 1 In Block select from the CPU. When cpu gpio sel is high both cpu adr and cpu dataout are valid gpio_cpu_rdy 1 Out Ready signal to the CPU. When gpio cpu rdy is high it indicates the last cycle of the access. For a rite cycle this means cpu_dataout has been registered by the GPIO block and for a read cycle his means the data on gpio cpu data is valid.
gpio cpu_berr I Out Bus error signal to the CPU indicating an invalid access.
gpio_cpu_debug_valid 1 Out Debug Data valid on gpio cpu data bus. Active high cpu_acode[1:0] 2 In CPU Access Code signals. These decode as ollows:
00 - User program access 01 - User data access - Supervisor program access 11 - Supervisor data access 10 Pins gpio_o[31:0] 32 Out General purpose 10 output to 10 driver gpio_i[31:0] 32 In General purpose 10 input from 10 receiver gpio_e[31:0] 32 Out General purpose 10 output control. Active high driving GPIO to LSS

Iss_gpio_dout[1:0] 2 In LSS bus data output Bit 0- LSS bus 0 Bit 1- LSS bus 1 gpio_Iss_din[1:0] 2 Out LSS bus data input Bit 0 - LSS bus 0 Bit 1- LSS bus 1 Iss_gpio_e[1:0] 2 In LSS bus data output enable, active high Bit 0- LSS bus 0 Bit 1- LSS bus 1 Iss_gpio_clk[1:0] 2 In LSS bus clock output Bit 0 - LSS bus 0 Bit 1 - LSS bus 1 GPIO to ISI
gpio_isi din[1:0] 2 Out Input data from 10 receivers to ISI.
isi_gpio_dout[1:0] 2 In Data output from ISI to 10 drivers isi_gpio_e[1:0] 2 In GPIO ISI pins output enable (active high) from ISI
interface usbh_gpio_power_en 1 In Port Power enable from the USB host core, active high gpio_usbh_over_current 1 Out Over current detect to the USB host core, active high Miscellaneous gpio_icu_irq[9:0] 10 Out GPIO pin interrupts gpio_cpr wakeup 1 Out SoPEC wakeup to the CPR block active high.
Debug debug_data_out[31:0] 32 In Output debug data to be muxed on to the GPIO pins debug_cntrl[31:0] 32 In Control signal for each GPIO bound debug data line indicating whether or not the debug data should be selected by the pin mux 13.11.2 Configuration registers The configuration registers in the GPIO are programmed via the CPU interface.
Refer to section 11.4.3 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the GPIO. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the GPIO. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of gpio cpu data. Table 85 lists the configuration registers in the GPIO block Table 85. GPIO Register Definition ddress Register bits" Reset. Description GPIObase +
Ox000-0x07C IOModeSelect[ 32x5 See Specifies the mode of operation for each 31:0] able for GPIO pin. One 5 bit bus per pin.
default values Possible assignment values and correspond controller outputs are as follows alue - Controlled by 3 to 0 Output, LED controller 4 to 1 7 to 4 Output Stepper Motor control 4-1 13 to 8 Output BLDC 1 Motor control 6-1 19 to 14 - Output BLDC 2 Motor control 6-1 23 to 20 - LSS control 4-1 27 to 24 - ISI control 4-1 28 - CPU Direct Control 29 - USB power enable output 30 - Input Mode 0x080-0xA4 InputPinSelect[ 10x5 OxOO Specifies which pins should be selected as 9:0] inputs. Used to select the pin source to the DeGlitch Circuits.
CPU 10 Control OxOBO CpulOUserMod 32 Ox0000 User Mode Access Mask to CPU GPIO
eMask 0000 control register. When 1 user access is enabled. One bit per gpio pin. Enables access to CpulODirection, CpulOOut and CpulOln in user mode.
OxOB4 CpulOSuperMo 32 OxFFFF Supervisor Mode Access Mask to CPU
deMask FFFF GPIO control register. When I supervisor access is enabled. One bit per gpio pin.
Enables access to CpulODirection, CpulOOut and CpulOln in supervisor mode.
OxOB8 CpulODirection 32 Ox0000 Indicates the direction of each 10 pin, when 0000 controlled by the CPU
0 - Indicates Input Mode I - Indicates Output Mode OxOBC CpulOOut 32 Ox0000 Value used to drive output pin in CPU direct 0000 mode.
bits31:0 - Value to drive on output GPIO
pins hen written to the register assumes the new value XORed with the current value.
0x0C0 CpulOln 32 External pin alue received on each input pin regardless value of mode. Read Only register.
0x0C4 CpuDeGlitchUs 10 0x000 User Mode Access Mask to erModeMask CpulOlnDeglitch control register. When 1 user access is enabled, otherwise bit reads as zero.
OxOC8 CpulOlnDeglitc 10 Ox000 Deglitched version of selected input pins.
h he input pins are selected by the lnputPinSelect register.
Note that after reset this register will reflect he external pin values 256 pclk cycles after hey have stabilized. Read Only register.
Deglitch control OxODO-OxOD4 DeGlitchCount[ 2x8 OxFF Deglitch circuit sample count in 1:0] DeGlitchClkSre selected units.
OxOD8-OxODCDeGiitchClkSrc 2x2 0x3 Specifies the unit use of the GPIO deglitch [1:0] circuits:
0 -1 s pulse 1-100 spulse .
2-10mspulse 3 - pclk OxOEO DeGlitchSelect 10 Ox000 Specifies which deglitch count (DeGlitchCount) and unit select (DeGlitchClkSrc) should be used with each de-glitch circuit 0 - Specifies DeGlitchCount[0] and DeGlitchClkSrc(0]
1 - Specifies DeGlitchCount[1] and DeGlitchClkSrc[1]
Motor Control OxOE4 MotorCtrlUser 1 Ox0 User Mode Access enable to Motor control ModeEnable configuration registers. When 1 user access is enabled.
Enables user access to MotorMasterClkPeriod, MotorMasterClkSrc, MotorDutySelect, MotorPhaseSelect, MotorMasterClockEnable, Motor-MasterClkSelect, BLDCMode and BLDCDirection registers OxOE8-OxOEC MotorMasterCl 2x16 Ox0000 Specifies the motor controller master clock kPeriod[1:0] periods in MotorMasterClkSrc selected units OxOFO MotorMasterCl 2 Ox0 Specifies the unit use by the motor controller kSrc master clock generator:
0 -1 s pulse 1 - 100 s pulse 2-10mspulse 3 - pclk Ox0F4-0x100 MotorCtrlConfig 1x32 Ox0000 Specifies the transition points in the clock [3:0] 0000 period for each motor control pin. One register per pin bits 15:0 - MotorCtrlLow, high to low ransition point bits 31:16 - MotorCtrlHigh, low to high ransition point 0x104 MotorMasterCl Ox0 Specifies which motor master clock should kSelect be used as a pin generator source 0 - Clock derived from MotorMasterClockPe-iod[O]
1 -Clock derived from MotorMasterClockPe-iod[1]
0x108 MotorMasterCl 2 Ox0 Enable the motor master clock counter.
ockEnable hen 1 count is enabled Bit 0 - Enable motor master clock 0 Bit 1 - Enable motor master clock 1 BLDC Motor Controllers Ox10C BLDCMode 2 Ox0 Specifies the Mode of operation of the BLDC Controller. One bit per Controller.
0- External direction control 1- Internal direction control Ox110 BLDCDirection 2 Ox0 Specifies the direction input of the BLDC
controller. Only used when BLDC controller is an internal direction control mode. One bit per controller.
LED control 0x114 LEDCtrlUserMo4 Ox0 User Mode Access enable to LED control deEnable configuration registers. When 1 user access is enabled.

One bit per LEDDutySelect select register.
Ox118-0x124 LEDDutySelect 4x3 OxO Specifies the duty cycle for each LED
[3:0] control output. See Figure 54 for encoding details. The LEDDutySelect[3:0] registers determine the duty cycle of the LED
controller outputs Frequency Analyser Ox130 FreqAnaUserM 1 Ox0 User Mode Access enable to Frequency odeEnable analyser configuration registers. When 1 user access is enabled. Controls access to FreqAnaPinFormSelect, FreqAnaLastPeriod, FreqAnaAverage and FreqAnaCountlnc.
Ox134 FreqAnaPinSel Ox00 Selects which selected input should be used ect or the frequency analyses.
Ox138 FreqAnaPinFor 1 Ox0 Selects if the frequency analyser should use mSelect he raw input or the deglitched form.
0 - Deglitched form of input pin 1- Raw form of input pin Ox13C FreqAnaLastPe 16 Ox0000 Frequency Analyser last period of selected riod input pin.
0x140 FreqAnaAverag 16 Ox0000 Frequency Analyser average period of e selected input pin.
Ox144 FreqAnaCounti 20 Ox0000 0 Frequency Analyser counter increment nc amount. For each clock cycle no edge is detected on the selected input pin the accumulator is incremented by this amount.
0x148 FreqAnaCount 32 Ox0000 Frequency Analyser running counter 0000 (Working register) Miscellaneous Ox150 InterruptSrcSel 10 Ox3FF Interrupt source select.1 bit per selected ect input. Determines whether the interrupt source is direct form the selected input pin or the deglitched version. Input pins are selected by the DeGlitchPinSelect register.
0 - Selected input direct 1 - Deglitched selected input Ox154 DebugSelect[8: 7 Ox00 Debug address select. Indicates the address 2] of the register to report on the gpio cpu data bus when it is not otherwise being used.
Ox158-Ox15C MotorMasterCo 2x16 Ox0000 Motor master clock counter values.
unt[1:0] Bus 0 - Master clock count 0 Bus 1- Master clock count I
Read Only registers 0x160 akeUpinputM 10 Ox000 Indicates which deglitched inputs should be ask considered to generate the CPR wakeup.
ctive high 0x164 akeUpLevel 1 0 Defines the level to detect on the masked GPIO inputs to generate a wakeup to the CPR
0 - Level 0 1 - Level 1 Ox168 USBOverCurre Ox00 Selects which deglitched input should be ntPinSelect used for the USB over current detect.
13.11.2.1 Supervisor and user mode access The configuration registers block examines the CPU access type (cpu acode signal) and determines if the access is allowed to that particular register, based on configured user access registers. If an access is not allowed the GPIO will issue a bus error by asserting the gpio cpu berr signal.
All supervisor and user program mode accesses will result in a bus error.
Access to the CpulODirection, CpulOOut and CpulOln is filtered by the CpulOUserModeMask and CpulOSuperModeMask registers. Each bit masks access to the corresponding bits in the CpulO*
registers for each mode, with CpulOUserModeMaskfiltering user data mode access and CpulOSuperModeMask filtering supervisor data mode access.
The addition of the CpulOSuperModeMask register helps prevent potential conflicts between user and supervisor code read modify write operations. For example a conflict could exist if the user code is interrupted during a read modify write operation by a supervisor ISR
which also modifies the CpulO* registers.
An attempt to write to a disabled bit in user or supervisor mode will be ignored, and an attempt to read a disabled bit returns zero. If there are no user mode enabled bits then access is not allowed in user mode and a bus error will result. Similarly for supervisor mode.
When writing to the CpulOOut register, the value being written is XORed with the current value in the CpulOOut register, and the result is reflected on the GPIO pins.
The pseudocode for determining access to the CpulOOut register is shown below.
Similar code could be shown for the CpulODirection and CpulOln registers. Note that when writing to CpulODirection data is deposited directly and not XORed with the existing data (as in the CpulOOut case).

if (cpu_acode == SUPERVISOR DATA MODE) then // supervisor mode if (CpuIOSuperModeMask[31:0] == 0 ) then access is denied, and bus error gpio_cpu_berr = 1 elsif (cpu_rwn == 1) then // read mode (no filtering needed) gpio_cpu_data [31: 0] = CpuIOOut [31: 0]
else // write mode,filtered by mask mask[31:0] _ (cpu_dataout[31:0] &
CpuIOSuperModeMask[31:0]) CpuIOOut [ 31: 0 ] = ( cpu_dataout [ 31 : 0 ] A mask [ 31: 0 ]
//bitwise XOR operator elsif (cpu_acode == USER DATA MODE) then // user datamode if (CpuIOUserModeMask[31:0] == 0 ) then // access is denied, and bus error gpio_cpu_berr = 1 elsif (cpu_rwn == 1) then // read mode, filtered by mask gpio_cpu_data = ( CpulOOut[31:0] &
CpuIOUserModeMask[31:01) else // write mode,filtered by mask mask[31:0] _ (cpu_dataout[31:01 &
CpuIOUserModeMask[31:0]) CpulOOut [ 31: 0] = ( cpu_dataout [ 31: 0] ~ mask [ 31: 0]
//bitwise XOR operator else // access is denied, bus error gpio_cpu_berr = 1 Table 86 details the access modes allowed for registers in the GPIO block. In supervisor mode all registers are accessible. In user mode forbidden accesses will result in a bus error (gpio cpu berr asserted).
Table 86. GPIO supervisor and user access modes Register Address Registers ccess Permitted Ox000-Ox07C IOModeSelect[31:0] Supervisor data mode only 0x080-0x94 InputPinSelect[9:0] Supervisor data mode only CPU 10 Control OxOBO CpulOUserModeMask Supervisor data mode only OxOB4 CpulOSuperModeMask Supervisor data mode only OxOB8 CpulODirection CpulOUserModeMask and CpulOSuperModeMask filtered OxOBC CpulOOut CpulOUserModeMask and CpulOSuperModeMask filtered OxOCO CpuIOIn CpulOUserModeMask and CpulOSuperModeMask filtered OxOC4 CpuDeGlitchUserModeMask Supervisor data mode only OxOC8 CpulOlnDeglitch CpuDeGlitchUserModeMask filtered.
Unrestricted Supervisor data mode access Deglitch control OxODO-OxOD4 DeGlitchCount[1:0] Supervisor data mode only OxOD8-OxODC DeGlitchClkSrc[1:0] Supervisor data mode only OxOEO DeGlitchSelect Supervisor data mode only Motor Control OxOE4 MotorCtrlUserModeEnable Supervisor data mode only OxOE8-OxOEC MotorMasterClkPeriod[1:0] MotorCtrlUserModeEnable enabled.
OxOFO MotorMasterClkSrc MotorCtrlUserModeEnable enabled.
OxOF4-Ox100 MotorCtrlConfig[3:0] MotorCtrlUserModeEnable enabled Ox104 MotorMasterClkSelect MotorCtrlUserModeEnable enabled Ox108 MotorMasterClockEnable MotorCtrlUserModeEnable enabled BLDC Motor Controllers Ox10C BLDCMode MotorCtrlUserModeEnable Enabled Ox110 BLDCDirection MotorCtrlUserModeEnable Enabled LED control 0x114 LEDCtrlUserModeEnable Supervisor data mode only Ox118-Ox124 LEDDutySelect[3:0] LEDCtrlUserModeEnable[3:0]
enabled Frequency Analyser Ox130 FreqAnaUserModeEnable Supervisor data mode only Ox134 FreqAnaPinSelect FreqAnaUserModeEnable enabled Ox138 FreqAnaPinFormSelect FreqAnaUserModeEnable enabled Ox13C FreqAnaLastPeriod FreqAnaUserModeEnable enabled Ox140 FreqAnaAverage FreqAnaUserModeEnable enabled Ox144 FreqAnaCountlnc FreqAnaUserModeEnable enabled 0x148 FreqAnaCount FreqAnaUserModeEnable enabled Miscellaneous Ox150 InterruptSrcSelect Supervisor data mode only Ox154 DebugSelect[8:2] Supervisor data mode only Ox158-Ox15C MotorMasterCount[1:0] Supervisor data mode only Ox160 akeUplnputMask Supervisor data mode only 0x164 akeUpLevel Supervisor data mode only 0x168 USBOverCurrentPinSelect Supervisor data mode only 13.11.3 GPIO partition 13.11.4 IO control The 10 control block connects the 10 pin drivers to internal signalling based on configured setup registers and debug control signals.
// output control for (i=0; i<32 ; i++) {
if (debug_cntrl[i] == 1) then debug mode gpi.o_e [i.] = 1; gpio_o [i] =debug_data_out [i]
else // normal mode case io mode select [i] is 0 : gpio_e[i] =1 ;gpio_o[i] =led ctrl[0] // LED
output 1 1 : gpio_e[i] =1 ;gpio_o[i] =1ed ctrl[1] // LED
output 2 2 : gpio_e [i] =1 ; gpio_o [i] =led. ctrl [2] // LED
output 3 3 : gpio_e [i] =1 ; gpi.o_o [i] =led. ctrl [3] // LED
output 4 4 : gpio_e [i] =1 ; gpi.o_o [il =motor_ctrl [0l // Stepper Motor Control 1 5 : gpio_e [i] =1 ; gpio_o [i] =motor ctrl [l] // Stepper Motor Control 2 6 : gpio_e [i] =1 ; gpio_o [i] =motor ctrl [2] // Stepper Motor Control 3 7 : gpio_e [i] =1 ; gpio_o [i] =motor_ctrl [3] Stepper Motor Control 4 8 : gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [0] [0] // BLDC
Motor Control 1,output 1 9 : gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [0] [1] // BLDC
Motor Control 1,output 2 : gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [0] [2] BLDC
Motor Control l,output 3 il: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [0] [3] BLDC
Motor Control l,output 4 5 12: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [0] [4] BLDC
Motor Control l,output 5 13: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [0] [5] BLDC
Motor Control l,output 6 14: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [l] [0] BLDC
10 Motor Control 2,output 1 15: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [1] [1] // BLDC
Motor Control 2,output 2 16: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [1] [2] // BLDC
Motor Control 2,output 3 17: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [1] [3] // BLDC
Motor Control 2,output 4 18: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [1] [4] // BLDC
Motor Control 2,output 5 19: gpio_e [i] =1 ; gpio_o [i] =bldc_ctrl [1] [5] // BLDC
Motor Control 2,output 6 20: gpio_e [i] =1 ; gpio_o [i] =lss_gpio_clk [0] LSS Clk 21: gpio_e[i] =1 ;gpio_o[i] =1ss_gpio_clk[1] // LSS Clk 22: gpio_e [i] =1ss_gpio_e [0] ; gpio_o [i]
=lss_gpio_dout[0]; // LSS Data 0 gpio_lss_din [0] = gpio_i [i]
23: gpio_e [i] =lss_gpio_e [1] ; gpio_o [i]
=1ss_gpio_dout[1]; // LSS Data 1 gpio_lss_din [1] = gpio_i [i]
24: gpio_e [i] =isi_gpio_e [0] ; gpio_o [i]
=isi_gpio_dout[0]; // ISI Control 1 gpio_isi_din [0] = gpio_i [i]
25: gpio_e [i] =isi_gpio_e [1] ; gpio_o [i]
=isi_gpio_dout[1]; // ISI Control 2 gpioisi_din [l] = gpio_i [i]
26: gpio_e [i.] =isi_gpio_e [2] ; gpio_o [i]
=isi_gpio_dout[23; // ISI Control 3 gpio_isi_din [2] = gpio_i [i]
27: gpio_e [i] =isi_gpio_e [3] ; gpio_o [i]
=isi_gpio_dout[33; // ISI Control 4 gpio_isi_din[3] = gpio_i[i]
28: gpio_e [i] =cpu_io_dir [i] ; gpio_o [i] =cpu_io_out [i]
j/ CPU Direct 29: gpio_e[i] =1 ;gpio_o[i] =usbh gpio_power_en USB host power enable 30: gpio_e [i] =0 ; gpio_-o [i] =0 Input only mode end case // all gpio are always readable by the CPU
cpu_io_in [i] = gpio_i [i.]
}
The input selection pseudocode, for determining which pin connects to which de-glitch circuit.
for( i=0 ;i < 10 ; i++) {
pin_num = input_pin_select[i]
deglitch input[i] = gpio_i[pin_num]
}
The gpio usbh over current output to the USB core is driven by a selected deglitched input (configured by the USBOverCurrentPinSelect register).
index = USBOverCurrentPinSelect gpio_usbh over current = cpu_io_in. deglitch[index]
13.11.5 Wakeup generator The wakeup generator compares the deglitched inputs with the configured mask (WakeUpinputMask) and level (WakeUpLevel), and determines whether to generate a wakeup to the CPR block.

for (i =0;i<10; i++) {
if (wakeup_level = 0) then // level 0 active wakeup - wakeup OR wakeup_input_mask[i] AND NOT
cpu_io_in deglitch[i]
else /j level 1 active wakeup = wakeup OR wakeup_input_mask[i] AND
cpu_io_in deglitch[i]
}
assign the output gpio_cpr_wakeup = wakeup 13.11.6 LED pulse generator The pulse generator logic consists of a 7-bit counter that is incremented on a 1 s pulse from the timers block (tim_pulse(0]). The LED control signal is generated by comparing the count value with the configured duty cycle for the LED (led duty_sel).
The logic is given by:
for (i=0 i<4 ;i++) for each LED pin // period divided into 8 segments period_div8 = cnt[6:4];
if (period_div8 < led duty_sel[i]) then led ctrl [i] = 1 else led ctrl[i] = 0 }
update the counter every lus pulse if (tim pulse [0] == 1) then cnt ++
13.11.7 Stepper Motor control The motor controller consists of 2 counters, and 4 phase generator logic blocks, one per motor control pin. The counters decrement each time a timing pulse (cnt en) is received. The counters start at the configured clock period value (motor mas clk_period) and decrement to zero. If the counters are enabled (via motor mas clk enable), the counters will automatically restart at the configured clock period value, otherwise they will wait until the counters are re-enabled.
The timing pulse period is one of pclk, 1 s, 100 s, 1 ms depending on the motor mas clk sel signal. The counters are used to derive the phase and duty cycle of each motor control pin.
// decrement logic if (cnt en == 1) then if ((mas cnt == 0) AND (motor mas clk enable == 1)) then mas_cnt = motor mas_clk_period[15:0]
elsif ((mas cnt == 0) AND (motor mas clk enable == 0)) then mas cnt = 0 else mas cnt --else // hold the value mas cnt = mas cnt The phase generator block generates the motor control logic based on the selected clock generator (motor mas clk sel) the motor control high transition point (curr motor ctrl high) and the motor control low transition point (curr motor ctrl low).
The phase generator maintains current copies of the motor ctrl config configuration value (motor ctrl config[31:16] becomes curr motor ctrl high and motor ctrl config[15:0] becomes curr motor ctrl low). It updates these values to the current register values when it is safe to do so without causing a glitch on the output motor pin.
Note that when reprogramming the motor ctrl config register to reorder the sequence of the transition points (e.g changing from low point less than high point to low point greater than high point and vice versa) care must taken to avoid introducing glitching on the output pin.
There are 4 instances one per motor control pin.
The logic is given by:
// select the input counter to use if (motor mas clk sel == 1) then count = mas cnt [1]
else count = mas cnt [ 0 ]
Generate the phase and duty cycle if (count == curr motor ctrl low) then motor ctrl = 0 elsif (count == curr motor ctrl_high) then motor ctrl = 1 else motor ctrl = motor ctrl // remain the same // update the current registers at period boundary if (count == 0) then curr motor ctrl_high = motor ctrl_config[31:16] //
update to new high value curr motor ctrl_low = motor ctrl_confi.g[15:0]
update to new high value 13.11.8 Input deglitch The input deglitch logic rejects input states of duration less than the configured number of time units (deglitch cnt), input states of greater duration are reflected on the output cpu io in deglitch. The time units used (either pclk, 1 s, 100 s, 1 ms) by the deglitch circuit is selected by the deglitch clk src bus.
There are 2 possible sets of deglitch cnt and deglitch clk src that can be used to deglitch the input pins. The values used are selected by the deglitch sel signal.
There are 10 deglitch circuits in the GPIO. Any GPIO pin can be connected to a deglitch circuit.
Pins are selected for deglitching by the InputPinSelect registers.
Each selected input can be used to generate an interrupt. The interrupt can be generated from the raw input signal (deglitch input) or a deglitched version of the input (cpu io in deglitch). The interrupt source is selected by the interrupt srn se/ect signal.
The counter logic is given by if (deglitch_input != deglitch_input_delay) then cnt = deglitch cnt output_en = 0 elsif (cnt == 0 ) then cnt = cnt output_en = 1 elsif (cnt en == 1) then cnt --output_en = 0 13.11.9 Frequency Analyser The frequency analyser block monitors a selected deglitched input (cpu io in deglitch) or a direct selected input (deglitch input) and detects positive edges. The selected input is configured by FreqAnaPinSelect and FreqAnaPinFormSel registers. Between successive positive edges detected on the input it increments a counter (FreqAnaCount) by a programmed amount (FreqAnaCountlnc) on each clock cycle. When a positive edge is detected the FreqAnaLastPeriod register is updated with the top 16 bits of the counter and the counter is reset. The frequency analyser also maintains a running average of the FreqAnaLastPeriod register. Each time a positive edge is detected on the input the FreqAnaAverage register is updated with the new calculated FreqAnaLastPeriod. The average is calculated as 7/8 the current value plus 1/8 of the new value. The FreqAnaLastPeriod, FreqAnaCount and FreqAnaAverage registers can be written to by the CPU.
The pseudocode is given by if ((pin == 1) AND pin_delay ==0 )) then positive edge detected freq_ana_lastperiod [15 : 0] = freq_ana_count [31:16]
freq_ana average[15:0] = freq_ana_average[15:0] -freq_ana_average [15 : 3]
+
freq_ana_lastperiod[15:3]
freq_ana_count[15:0] = 0 else freq_ana_count [ 31: 0] = f req_ana_count [ 31: 0] +
freq_ana_count_inc[19:0]
// implement the configuration register write if (wr last en == 1) then freq_ana_lastperiod = wr data elsif (wr average_en == 1 ) then freq_ana_average = wr data elsif (wr freq_count_en == 1) then freq_ana_count = wr data 13.11.10 BLDC Motor Controller The BLDC controller logic is identical for both instances, only the input connections are different.
The logic implements the truth table shown in Table . The six q outputs are combinationally based on the direction, ha, hb, hc and pwm inputs. The direction input has 2 possible sources selected by the mode, the pseudocode is as follows // determine if in internal or external direction mode if (mode == 1) then // internal mode direction = int direction else // external mode direction = ext direction 14 Interrupt Controller Unit (ICU) The interrupt controller accepts up to N input interrupt sources, determines their priority, arbitrates based on the highest priority and generates an interrupt request to the CPU.
The ICU complies with the interrupt acknowledge protocol of the CPU. Once the CPU accepts an interrupt (i.e. processing of its service routine begins) the interrupt controller will assert the next arbitrated interrupt if one is pending.
Each interrupt source has a fixed vector number N, and an associated configuration register, IntReg[N]. The format of the IntReg[N] register is shown in Table 87 below.
Table 87. IntReg[N] register format Field bit(s) Description Priority 3:0 Interrupt priority ype 5:4 Determines the triggering conditions for the interrupt 00 - Positive edge 10 - Negative edge 01 - Positive level 11 - Negative level Mask 6 Mask bit.
1- Interrupts from this source are enabled, 0 - Interrupts from this source are disabled.
Note that there may be additional masks in operation at he source of the interrupt.
Reserved 31:7 Reserved. Write as 0.
Once an interrupt is received the interrupt controller determines the priority and maps the programmed priority to the appropriate CPU priority levels, and then issues an interrupt to the CPU.
The programmed interrupt priority maps directly to the LEON CPU interrupt levels. Level 0 is no interrupt. Level 15 is the highest interrupt level.
14.1 INTERRUPT PREEMPTION

With standard LEON pre-emption an interrupt can only be pre-empted by an interrupt with a higher priority level. If an interrupt with the same priority level (1 to 14) as the interrupt being serviced becomes pending then it is not acknowledged until the current service routine has completed.
Note that the level 15 interrupt is a special case, in that the LEON processor will continue to take level 15 interrupts (i.e re-enter the ISR) as long as level 15 is asserted on the icu cpu ilevel.
Level 0 is also a special case, in that LEON consider level 0 interrupts as no interrupt, and will not issue an acknowledge when level 0 is presented on the icu cpu ilevel bus.
Thus when pre-emption is required, interrupts should be programmed to different levels as interrupt priorities of the same level have no guaranteed servicing order. Should several interrupt sources be programmed with the same priority level, the lowest value interrupt source will be serviced first and so on in increasing order.
The interrupt is directly acknowledged by the CPU and the ICU automatically clears the pending bit of the lowest value pending interrupt source mapped to the acknowledged interrupt level.
All interrupt controller registers are only accessible in supervisor data mode. If the user code wishes to mask an interrupt it must request this from the supervisor and the supervisor software will resolve user access levels.
14.2 INTERRUPT SOURCES
The mapping of interrupt sources to interrupt vectors (and therefore IntReg[N]
registers) is shown in Table 88 below. Please refer to the appropriate section of this specification for more details of the interrupt sources.
Table 88. Interrupt sources vector table ector Source Description 0 imers atchDog Timer Update request 1 imers Generic Timer 1 interrupt 2 imers Generic Timer 2 interrupt 3 PCU PEP Sub-system Interrupt- TE finished band PCU PEP Sub-system Interrupt- LBD finished band 5 PCU PEP Sub-system Interrupt- CDU finished band 6 PCU PEP Sub-system Interrupt- CDU error 7 PCU PEP Sub-system Interrupt- PCU finished band 8 PCU PEP Sub-system Interrupt- PCU Invalid address interrupt 9 PHI PEP Sub-system Interrupt- PHI Line Sync Interrupt 10 PHI PEP Sub-system Interrupt- PHI Buffer underrun 11 PHI PEP Sub-system Interrupt- PHI Page finished 12 PHI PEP Sub-system Interrupt- PHI Print ready 13 SCB USB Host interrupt 14 SCB USB Device interrupt 15 SCB ISI interrupt 16 SCB DMA interrupt 17 LSS LSS interrupt, LSS interface 0 interrupt request 18 LSS LSS interrupt, LSS interface 1 interrupt request 19-28 GPIO GPIO general purpose interrupts 29 imers Generic Timer 3 interrupt 14.3 IMPLEMENTATION
14.3.1 Definitions of UO
Table 89. Interrupt Controller Unit I/O definition Port name JPins I/O Description Clocks and Resets Pclk 1 In System Clock prst_n 1 In System reset, synchronous active low CPU interface cpu_adr[7:2] 6 In CPU address bus. Only 6 bits are required to decode the address space for the ICU block cpu_dataout[31:0] 32 In Shared write data bus from the CPU
icu_cpu_data[31:0] 32 Out Read data bus to the CPU
cpu_rwn I In Common read/not-write signal from the CPU
cpu_icu_sel 1 In Block select from the CPU. When cpu icu sel is high both cpu adr and cpu dataout are valid icu_cpu_rdy 1 Out Ready signal to the CPU. When icu cpu rdy is high it indicates the last cycle of the access. For a write cycle this means cpu dataout has been registered by the ICU block and for a read cycle his means the data on icu_cpu data is valid.
icu_cpu_ilevel[3:0] Out Indicates the priority level of the current active interrupt.
cpu_iack 1 In Interrupt request acknowledge from the LEON
core.
cpu_icu_ilevel[3:0] In Interrupt acknowledged level from the LEON
core icu_cpu_berr 1 Out Bus error signal to the CPU indicating an invalid access.
cpu_acode[1:0] 2 In CPU Access Code signals. These decode as ollows:
00 - User program access 01 - User data access - Supervisor program access 11 - Supervisor data access icu_cpu_debug valid 1 Out Debug Data valid on icu cpu data bus. Active high Interrupts tim_icu wd_irq 1 In Watchdog timer interrupt signal from the Timers block im_icu_irq[2:0] 3 In Generic timer interrupt signals from the Timers block gpio_icu_irq[9:0] 10 In GPIO pin interrupts usb_icu_irq[1:0] 2 In USB host and device interrupts from the SCB
Bit 0 - USB Host interrupt Bit 1- USB Device interrupt isi_icu_irq 1 In ISI interrupt from the SCB
dma_icu_irq I In DMA interrupt from the SCB
Iss_icu_irq[1:0] 2 In LSS interface interrupt request cdu finishedband 1 In Finished band interrupt request from the CDU
cdu_icuJpegerror 1 In JPEG error interrupt from the CDU
Ibd finishedband 1 In Finished band interrupt request from the LBD
e finishedband I In Finished band interrupt request from the TE
pcu finishedband 1 In Finished band interrupt request from the PCU
pcu_icu_address_invalid I In Invalid address interrupt request from the PCU
phi_icu_underrun 1 In Buffer underrun interrupt request from the PHI
phi_icu_page finish 1 In Page finished interrupt request from the PHI
phi_icu_print_rdy 1 In Print ready interrupt request from the PHI
phi_icu_iinesync_int 1 In Line sync interrupt request from the PHI

14.3.2 Configuration registers The configuration registers in the ICU are programmed via the CPU interface.
Refer to section 11.4 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the ICU. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the ICU. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of icu_pcu data. Table 90 lists the configuration registers in the ICU block.
The ICU block will only allow supervisor data mode accesses (i.e. cpu acode[1:0]
=
SUPERVISOR DATA). All other accesses will result in icu cpu berr being asserted.
Table 90. ICU Register Map ddress R.egister bits jResefi Description lCU base +
OxOO - 0x74 IntReg[29:0] 30x7 Ox00 Interrupt vector configuration register 0x88 lntClear 30 Ox0000 Interrupt pending clear register. If written with a 0000 one it clears corresponding interrupt Bits[30:0] - Interrupts sources 30 to 0 (Reads as zero) 0x90 IntPending 30 Ox0000 Interrupt pending register. (Read Only) 0000 Bits[30:0]- Interrupts sources 30 to 0 OxAO IntSource 5 Ox1 F Indicates the interrupt source of the last acknowl-edged interrupt. The Nolnterrupt value is defined as all bits set to one.
(Read Only) OxCO DebugSelect[7:2] 6 Ox00 Debug address select. Indicates the address of he register to report on the icu cpu data bus hen it is not otherwise being used.
14.3.3 ICU partition 14.3.4 Interrupt detect The ICU contains multiple instances of the interrupt detect block, one per interrupt source: The interrupt detect block examines the interrupt source signal, and determines whether it should generate request pending (intpend) based on the configured interrupt type and the interrupt source conditions. If the interrupt is not masked the interrupt will be reflected to the interrupt arbiter via the int active signal. Once an interrupt is pending it remains pending until the interrupt is accepted by the CPU or it is level sensitive and gets removed. Masking a pending interrupt has the effect of removing the interrupt from arbitration but the interrupt will still remain pending.
When the CPU accepts the interrupt (using the normal ISR mechanism), the interrupt controller automatically generates an interrupt clear for that interrupt source (cpu int clear). Alternatively if the interrupt is masked, the CPU can determine pending interrupts by polling the IntPending registers. Any active pending interrupts can be cleared by the CPU without using an ISR via the IntClear registers.
Should an interrupt clear signal (either from the interrupt clear unit or the CPU) and a new interrupt condition happen at the same time, the interrupt will remain pending. In the particular case of a level sensitive interrupt, if the level remains the interrupt will stay active regardless of the clear signal.
The logic is shown below:
mask = int_config [6]
type = int_config [5:4]
int_pend = last-int_pend the last pending interrupt update the pending FF
// test for interrupt condition if (type == NEG LEVEL) then int_pend = NOT(int_src) elsif (type == POS LEVEL) int_pend = int_src elsif ((type == POS_EDGE ) AND (int_src == 1) AND
(last int src == 0)) int_pend = 1 elsif ((type == NEG EDGE ) AND (int src == 0) AND
(last int src == 1)) int_pend = 1 elsif ((int_clear == 1 )OR (cpu_int_clear==1)) then int_pend = 0 else int_pend = last_int_pend // stay the same as before // mask the pending bit if (mask == 1) then int_active = int_pend else int active = 0 // assign the registers last int src = int src last_int_pend = int_pend 14.3.5 Interrupt arbiter The interrupt arbiter logic arbitrates a winning interrupt request from multiple pending requests based on configured priority. It generates the interrupt to the CPU by setting icu cpu ilevel to a non-zero value. The priority of the interrupt is reflected in the value assigned to icu cpu ilevel, the higher the value the higher the priority, 15 being the highest, and 0 considered no interrupt.
// arbitrate with the current winner int ilevel = 0 for (i=o;i<30;i++) {
if ( int_active[i] == 1) then {
if (int_config [i] [3 : 0] > win int_ilevel [3 : 0] ) then win int_ilevel [3 : 0] = int_config [i] [3 : 0]
}
}
}
assign the CPU interrupt level int ilevel = win int ilevel[3:0]
14.3.6 Interrupt clear unit The interrupt clear unit is responsible for accepting an interrupt acknowledge from the CPU, determining which interrupt source generated the interrupt, clearing the pending bit for that source and updating the lntSource register.
When an interrupt acknowledge is received from the CPU, the interrupt clear unit searches through each interrupt source looking for interrupt sources that match the acknowledged interrupt level (cpu icu ilevel) and determines the winning interrupt (lower interrupt source numbers have higher priority). When found the interrupt source pending bit is cleared and the IntSource register is updated with the interrupt source number.
The LEON interrupt acknowledge mechanism automatically disables all other interrupts temporarily until it has correctly saved state and jumped to the ISR routine. It is the responsibility of the ISR to re-enable the interrupts. To prevent the IntSource register indicating the incorrect source for an interrupt level, the ISR must read and store the IntSource value before re-enabling the interrupts via the Enable Traps (ET) field in the Processor State Register (PSR) of the LEON.
See section 11.9 on page 132 for a complete description of the interrupt handling procedure.
After reset the state machine remains in Idle state until an interrupt acknowledge is received from the CPU (indicated by cpu lack). When the acknowledge is received the state machine transitions to the Compare state, resetting the source counter (cnt) to the number of interrupt sources.
While in the Compare state the state machine cycles through each possible interrupt source in decrementing order. For each active interrupt source the programmed priority (intoriority[cnt][3:0]) is compared with the acknowledged interrupt level from the CPU (cpu icu ilevel), if they match then the interrupt is considered the new winner. This implies the last interrupt source checked has the highest priority, e.g interrupt source zero has the highest priority and the first source checked has the lowest priority. After all interrupt sources are checked the state machine transitions to the lntClear state, and updates the int source register on the transition.
Should there be no active interrupts for the acknowledged level (e.g. a level sensitive interrupt was removed), the IntSource register will be set to Nolnterrupt. Nolnterrupt is defined as the highest possible value that IntSource can be set to (in this case Ox1 F), and the state machine will return to Idle.
The exact number of compares performed per clock cycle is dependent the number of interrupts, and logic area to logic speed trade-off, and is left to the implementer to determine. A comparison of all interrupt sources must complete within 8 clock cycles (determined by the CPU acknowledge hardware).
When in the IntClear state the state machine has determined the interrupt source to clear (indicated by the int source register). It resets the pending bit for that interrupt source, transitions back to the Idle state and waits for the next acknowledge from the CPU.
The minimum time between successive interrupt acknowledges from the CPU is 8 cycles.
15 Timers Block (TIM) The Timers block contains general purpose timers, a watchdog timer and timing pulse generator for use in other sections of SoPEC.
15.1 WATCHDOG TIMER

The watchdog timer is a 32 bit counter value which counts down each time a timing pulse is received. The period of the timing pulse is selected by the WatchDogUnitSel register. The value at any time can be read from the WatchDogTimer register and the counter can be reset by writing a non-zero value to the register. When the counter transitions from 1 to 0, a system wide reset will be triggered as if the reset came from a hardware pin.
The watchdog timer can be polled by the CPU and reset each time it gets close to 1, or alternatively a threshold (WatchDoglntThres) can be set to trigger an interrupt for the watchdog timer to be serviced by the CPU. If the WatchDoglntThres is set to N, then the interrupt will be triggered on the N to N-1 transition of the WatchDogTimer. This interrupt can be effectively masked by setting the threshold to zero. The watchdog timer can be disabled, without causing a reset, by writing zero to the WatchDogTimer register.
15.2 TIMING PULSE GENERATOR
The timing block contains a timing pulse generator clocked by the system clock, used to generate timing pulses of programmable periods. The period is programmed by accessing the TimerStartValue registers. Each pulse is of one system clock duration and is active high, with the pulse period accurate to the system clock frequency. The periods after reset are set to 1 us, 100us and 100 ms.
The timing pulse generator also contains a 64-bit free running counter that can be read or reset by accessing the FreeRunCount registers. The free running counter can be used to determine elapsed time between events at system clock accuracy or could be used as an input source in low-security random number generator.
15.3 GENERIC TIMERS
SoPEC contains 3 programmable generic timing counters, for use by the CPU to time the system.
The timers are programmed to a particular value and count down each time a timing pulse is received. When a particular timer decrements from 1 to 0, an interrupt is generated. The counter can be programmed to automatically restart the count, or wait until re-programmed by the CPU. At any time the status of the counter can be read from GenCntValue, or can be reset by writing to GenCntValue register. The auto-restart is activated by setting the GenCntAuto register, when activated the counter restarts at GenCntStartValue. A counter can be stopped or started at any time, without affecting the contents of the GenCntValue register, by writing a 1 or 0 to the relevent GenCntEnable register.
15.4 IMPLEMENTATION
15.4.1 Definitions of I/O
Table 91. Timers block I/O definition Port name Pins I/O Description Clocks and Resets Pclk I In System Clock prst_n 1 In System reset, synchronous active low im_pulse[2:0] 3 Out Ilimers block generated timing pulses, each one pclk wide 0- Nominal 1 s pulse 1- Nominal 100 s pulse 2 - Nominal 10ms pulse CPU interface cpu_adr[6:2] 5 In CPU address bus. Only 5 bits are required to decode he address space for the ICU block cpu_dataout[31:0] 32 In Shared write data bus from the CPU
im_cpu_data[31:0] 32 Out Read data bus to the CPU
cpu_rwn 1 In Common read/not-write signal from the CPU
cpu tim_sel 1 In Block select from the CPU. When cpu tim se/ is high both cpu adr and cpu dataout are valid im_cpu_rdy 1 Out Ready signal to the CPU. When tim cpu rdy is high it indicates the last cycle of the access. For a write cycle this means cpu dataout has been registered by he TIM block and for a read cycle this means the data on tim_cpu data is valid.
tim_cpu_berr 1 Out Bus error signal to the CPU indicating an invalid access.
cpu_acode[1:0] 2 In CPU Access Code signals. These decode as follows:
00 - User program access 01 - User data access - Supervisor program access 11 - Supervisor data access im cpu debug valid I Out Debug Data valid on tirr- cpu data bus. Active high Miscellaneous im_icu wd_irq I Out frVatchdog timer interrupt signal to the ICU block im_icu_irq[2:0] 3 Out Generic timer interrupt signals to the ICU block im_cpr_reset_n 1 Out IWatch dog timer system reset.
15.4.2 Timers sub-block partition 15.4.3 Watchdog timer The watchdog timer counts down from pre-programmed value, and generates a system wide reset when equal to one. When the counter passes a pre-programmed threshold (wdog fim thres) value 5 an interrupt is generated (tim icu wd irq) requesting the CPU to update the counter. Setting the counter to zero disables the watchdog reset. In supervisor mode the watchdog counter can be written to or read from at any time, in user mode access is denied. Any accesses in user mode will generate a bus error.

10 The counter logic is given by if (wdog_wen == 1) then wdog_tim_cnt = write_data load new data elsif ( wdog_tim_cnt == 0) then wdog_tim cnt = wdog_tim cnt count disabled elsif ( cnt en == 1 ) then wdog_tim_cnt--else wdog_tim_cnt = wdog_tim_cnt The timer decode logic is if (( wdog_tim cnt == wdog_tim thres) AND (wdog_tim cnt != 0 )AND (cnt en == 1)) then tim icu_wd_irq = 1 else tim_icu_wd_irq = 0 reset generator logic if (wdog_tim_cnt == 1) AND (cnt_en == 1) then tim cpr_reset_n = 0 else tim_cpr_reset_n = 1 15.4.4 Generic timers The generic timers block consists of 3 identical counters. A timer is set to a pre-configured value (GenCntStartValue) and counts down once per selected timing pulse (gen_unit sel). The timer can be enabled or disabled at any time (gen tirn en), when disabled the counter is stopped but not cleared. The timer can be set to automatically restart (gen tim auto) after it generates an interrupt.
In supervisor mode a timer can be written to or read from at any time, in user mode access is determined by the GenCntUserModeEnable register settings.

The counter logic is given by if (gen wen == 1) then gen_tim cnt = write_data elsif (( cnt_en == 1)AND (gen_tim en == 1)) then if ( gen_tim cnt == 1) OR ( gen_tim cnt == 0) then //
counter may need re-starting if (gen_tim auto == 1) then gen_tim cnt = gen_tim cnt_st value else gen. tim cnt = 0 hold count at zero else gen_tim_cnt--else gen_tim cnt = gen tim cnt The decode logic is if (gen_tim cnt == 1)AND ( cnt_en == 1)AND (gen_tim_en == 1 ) then tim icu_irq = 1 else tim_icu_irq = 0 15.4.5 Timing pulse generator The timing pulse generator contains a general free running 64-bit timer and 3 timing pulse generators producing timing pulses of one cycle duration with a programmable period. The period is programmed by changed the TimerStartValue registers, but have a nominal starting period of 1 s, 100 s and 1 ms. In supervisor mode the free running timer register can be written to or read from at any time, in user mode access is denied. The status of each of the timers can be read by accessing the PulseTimerStatus registers in supervisor mode. Any accesses in user mode will result in a bus error.
15.4.5.1 Free Run Timer The increment logic block increments the timer count on each clock cycle. The counter wraps around to zero and continues incrementing if overflow occurs. When the timing register (FreeRunCount) is written to, the configuration registers block will set the free run wen high for a clock cycle and the value on write data will become the new count value. If free run wenf1] is 1 the higher 32 bits of the counter will be written to, otherwise if free run wen[0]
the lower 32 bits are written to. It is the responsibility of software to handle these writes in a sensible manner.
The increment logic is given by if (free run wen[l] == 1) then free run cnt[63:32] = write data elsif (free run wen[0] == 1) then free run cnt[31:0] = write data else free run cnt ++
15.4.5.2 Pulse Timers The pulse timer logic generates timing pulses of I clock cycle length and programmable period.
Nominally they generate pulse periods of 1 ps, 100 s and 1 ms. The logic for timer 0 is given by:
// Nominal lus generator if (pulse_0_cnt == 0 ) then pulse_0_cnt = timer start_value[0]
tim-pulse [0] = 1 else pulse_0_cnt --tim__pulse [0] = 0 The logic for timer 1 is given by:
// 100us generator if ((pulse_1_cnt == 0) AND (ti.m_pulse[0l == 1)) then pulse_1_cnt = timer start value[1]
tim_pulse [1] = 1 elsif (tim_pulse[0l == 1) then pulse_1_cnt --tim_pulse [1] = 0 else pulse_1_cnt = pulse_l_cnt tim_pulse [1] = 0 The logic for the timer 2 is given by:
// loms generator if ((pulse_2_cnt == 0 ) AND (tim_pulse[l] 1)) then pulse_2_cnt = timer start_value[2]
tim_pulse [2] = 1 elsif (tim_pulse[1] == 1) then pulse_2_cnt --tim__pulse [2] = 0 else pulse_2_cnt = pulse_2_cnt tim_pulse [2] = 0 15.4.6 Configuration registers The configuration registers in the TIM are programmed via the CPU interface.
Refer to section 11.4.3 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the TIM. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the TIM. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of timJncu data. Table 92 lists the configuration registers in the TIM block .
Table 92. Timers Register Map ddress TIM_base Register bits Reset Description +
Ox00 atchDogUnitSel 2 Ox0 Specifies the units used for the watchdog timer:
0- Nominal I s pulse 1- Nominal 100 s pulse 2 - Nominal 10 ms pulse 3 - pclk 0x04 atchDogTimer 32 OxFFFF Specifies the number of units to count FFFF before watchdog timer triggers.
0x08 atchDoglntThres 32 Ox0000 Specifies the threshold value below 0000 hich the watchdog timer issues an interrupt OxOC-Ox10 FreeRunCount[1:0] 2x32 Ox0000 Direct access to the free running 0000 counter register.
Bus 0 - Access to bits 31-0 Bus 1- Access to bits 63-32 Ox14 to Ox1 C GenCntStartValue[ 3x32 Ox0000 Generic timer counter start value, 2:0] 0000 number of units to count before event 0x20 to 0x28 GenCntValue[2:0] 3x32 Ox0000 Direct access to generic timer counter 0000 registers Ox2C to 0x34 GenCntUnitSel[2:0 3x2 OxO Generic counter unit select. Selects he timing units used with corresponding counter:
0 - Nominall s pulse I - NominallOO s pulse 2 - Nominal 10 ms pulse 3 - pclk 0x38 to 0x40 GenCntAuto[2:0] 3x1 OxO Generic counter auto re-start select.
hen high timer automatically restarts, otherwise timer stops.
0x44 to Ox4C GenCntEnable[2:0] 3x1 OxO Generic counter enable.
0 - Counter disabled 1 - Counter enabled 0x50 GenCntUserMode 3 Ox0 User Mode Access enable to generic Enable imer configuration register. When 1 user access is enabled.
Bit 0 - Generic timer 0 Bit 1- Generic timer 1 Bit 2 - Generic timer 2 0x54 to Ox5C TimerStartValue[2: 3x8 Ox7F, iming pulse generator start value.
0] 0x63, Indicates the start value for each 0x63 iming pulse timers. For timer 0 the start value specifies the timer period in pclk cycles - 1.

For timer 1 the start value specifies he timer period in timer 0 intervals -1 For timer 2 the start value specifies he timer period in timer 1 intervals -1.
Nominally the timers generate pulses at 1us,100us and 10ms intervals respecitively.
0x60 DebugSelect[6:2] 5 OxOO Debug address select. Indicates the address of the register to report on he tirn cpu data bus when it is not otherwise being used.
Read Onl Registers 0x64 PulseTimerStatus 24 OxOO Current pulse timer values, and pulses 7:0 - Timer 0 count 15:8 - Timer 1 count 23:16 - Timer 2 count 24 - Timer 0 pulse 25 - Timer 1 pulse 26 - Timer 2 pulse 15.4.6.1 Supervisor and user mode access The configuration registers block examines the CPU access type (cpu acode signal) and determines if the access is allowed to that particular register, based on configured user access registers. If an access is not allowed the block will issue a bus error by asserting the tim cpu berr signal.
The timers block is fully accessible in supervisor data mode, all registers can written to and read from. In user mode access is denied to all registers in the block except for the generic timer configuration registers that are granted user data access. User data access for a generic timer is granted by setting corresponding bit in the GenCntUserModeEnable register.
This can only be changed in supervisor data mode. If a particular timer is granted user data access then all registers for configuring that timer will be accessible. For example if timer 0 is granted user data access the GenCntStartValue(O], GenCntUnitSel[O], GenCntAuto[0], GenCntEnable[O] and GenCntValue(0]
registers can all be written to and read from without any restriction.
Attempts to access a user data mode disabled timer configuration register will result in a bus error.
Table 93 details the access modes allowed for registers in the TIM block. In supervisor data mode all registers are accessable. All forbidden accesses will result in a bus error (tim cpu berr asserted).

Table 93. TIM supervisor and user access modes Register Registers ccess Permission ddress Ox00 atchDogUnitSel Supervisor data mode only 0x04 atchDogTimer Supervisor data mode only 0x08 atchDoglntThres Supervisor data mode only OxOC-Ox10 FreeRunCount Supervisor data mode only Ox14 GenCntStartValue[O] GenCntUserModeEnable[0]
Ox18 GenCntStartValue[1 ] GenCntUserModeEnable[1 ]
Ox1 C GenCntStartValue[2] GenCntUserModeEnable[2]
0x20 GenCntValue[O] GenCntUserModeEnable[0]
0x24 GenCntValue[1 ] GenCntUserModeEnable[1 ]
0x28 GenCntValue[2] GenCntUserModeEnable[2]
Ox2C GenCntUnitSel[0] GenCntUserModeEnable[O]
0x30 GenCntUnitSel[1] GenCntUserModeEnable[1]
0x34 GenCntUnitSel[2] GenCntUserModeEnable[2]
0x38 GenCntAuto[O] GenCntUserModeEnable[O]
Ox3C GenCntAuto[1] GenCntUserModeEnable[1]
0x40 GenCntAuto[2] GenCntUserModeEnable[2]
0x44 GenCntEnable[O] GenCntUserModeEnable[0]
0x48 GenCntEnable[1 ] GenCntUserModeEnable[1 ]
Ox4C GenCntEnable[2] GenCntUserModeEnable[2]
0x50 GenCntUserModeEnable Supervisor data mode only 0x54-Ox5C imerStartValue[2:0] Supervisor data mode only 0x60 DebugSelect Supervisor data mode only 0x64 PulseTimerStatus Supervisor data mode only 16 Clocking, Power and Reset (CPR) The CPR block provides all of the clock, power enable and reset signals to the SoPEC device.
16.1 POWERDOWN MODES
The CPR block is capable of powering down certain sections of the SoPEC
device. When a section is powered down (i.e. put in sleep mode) no state is retained(except the PSS
storage), the CPU
must re-initialize the section before it can be used again.
For the purpose of powerdown the SoPEC device is divided into sections:
Table 94. Powerdown sectioning Section Block Print Engine PipelinePCU
SubSystem (Section 0) CDU
CFU
LBD
SFU
TE
FU
HCU
DNC
DWU
LLU
PHI
CPU-DRAM (Section 1) DRAM
CPU/MMU
DIU
IM
ROM
LSS
PSS
ICU
ISI Subsystem (Section 2) ISI (SCB) DMA Ctrl (SCB) GPIO
USB Subsystem (Section 3) USB (SCB) Note that the CPR block is not located in any section. All configuration registers in the CPR block are clocked by an ungateable clock and have special reset conditions.
16.1.1 Sleep mode Each section can be put into sleep mode by setting the corresponding bit in the SleepModeEnable register. To re-enable the section the sleep mode bit needs to be cleared and then the section should be reset by writing to the relevant bit in the ResetSection register.
Each block within the section should then be re-configured by the CPU.
If the CPU system (section 1) is put into sleep mode, the SoPEC device will remain in sleep mode until a system level reset is initiated from the reset pin, or a wakeup reset by the SCB block as a result of activity on either the USB or ISI bus. The watchdog timer cannot reset the device as it is in section 1 also, and will be in sleep mode.
If the CPU and ISI subsystem are in sleep mode only a reset from the USB or a hardware reset will re-activate the SoPEC device.
If all sections are put into sleep mode, then only a system level reset initiated by the reset pin will re-activate the SoPEC device.

Like all software resets in SoPEC the ResetSection register is active-low i.e.
a 0 should be written to each bit position requiring a reset. The ResetSection register is self-reseting.
16.1.2 Sleep Mode powerdown procedure When powering down a section, the section may retain it's current state (although not gauranteed to). It is possible when powering back up a section that inconsistancies between interface state machines could cause incorrect operation. In order to prevent such condition from happening, all blocks in a section must be disabled before powering down. This will ensure that blocks are restored in a benign state when powered back up.
In the case of PEP section units setting the Go bit to zero will disable the block. The DRAM
subsystem can be effectively disabled by setting the RotationSync bit to zero, and the SCB system disabled by setting the DMAAccessEn bits to zero turning off the DMA access to DRAM. Other CPU
subsystem blocks without any DRAM access do not need to be disabled.
16.2 RESET SOURCE
The SoPEC device can be reset by a number of sources. When a reset from an internal source is initiated the reset source register (ResetSrc) stores the reset source value.
This register can then be used by the CPU to determine the type of boot sequence required.
16.3 CLOCK RELATIONSHIP
The crystal oscillator excites a 32MHz crystal through the xtalin and xtalout pins. The 32MHz output is used by the PLL to derive the master VCO frequency of 960MHz. The master clock is then divided to produce 320MHz clock (c1k320), 160MHz clock (cIk160) and 48MHz (c1k48) clock sources.
The phase relationship of each clock from the PLL will be defined. The relationship of internal clocks c1k320, c1k48 and cIk160 to xtalin will be undefined.
At the output of the clock block, the skew between each pcik domain (pcik section[2:0] and,jc/k) should be within skew tolerances of their respective domains (defined as less than the hold time of a D-type flip flop).
The skew between doclk and pclk should also be less than the skew tolerances of their respective domains.
The usbclk is derived from the PLL output and has no relationship with the other clocks in the system and is considered asynchronous.
16.4 PLL CONTROL
The PLL in SoPEC can be adjusted by programming the PLLRangeA, PLLRangeB, PLLTunebits and PLLMuIt registers. If these registers are changed by the CPU the values are not updated until the PLLUpdate register is written to. Writing to the PLLUpdate register triggers the PLL control state machine to update the PLL configuration in a safe way. When an update is active (as indicated by PLLUpdate register) the CPU must not change any of the configuration registers, doing so could cause the PLL to lose lock indefintely, requiring a hardware reset to recover.
Configuring the PLL
registers in an inconsistent way can also cause the PLL to lose lock, care must taken to keep the PLL configuration within specified parameters.

The VCO frequency of the PLL is calculated by the number of divider in the feedback path. PLL
output A is used as the feedback source.
VCOfreq = REFCLK x PLLMuIt x PLLRangeA x External divider VCOfreq = 32 x 3 x 10 x 1= 960 Mhz.
In the default PLL setup, PLLMuIt is set to 3, PLLRangeA is set to 3 which corresponds to a divide by 10, PLLRangeB is set to 5 which corresponds to a divide by 3.
PLLouta = VCOfreq / PLLRangeA = 960Mhz / 10 = 96 Mhz PLLoutb = VCOfreq / PLLRangeB = 960Mhz / 3 = 320 Mhz See [16] for complete PLL setup parameters.
16.5 IMPLEMENTATION
16.5.1 Definitions of I/O
Table 95. CPR I/O definition Port name lPins 1/0 Description Clocks and Resets Xtalin 1 In Crystal input, direct from 10 pin.
Xtalout I Inout Crystal output, direct to 10 pin.
pclk_section[3:0] Out System clocks for each section Doclk 1 Out Data out clock (2x pclk) for the PHI block Jclk I Out Gated version of system clock used to clock the FPEG decoder core in the CDU
Usbclk 1 Out USB clock, nominally at 48 Mhz 'clk enable 1 In Gating signal for jclk. When 1 jclk is enabled reset_n 1 In Reset signal from the reset n pin usb_cpr_reset_n 1 In Reset signal from the USB block isi_cpr_reset_n 1 In Reset signal from the ISI block im_cpr_reset_n 1 In Reset signal from watch dog timer.
gpio_cpr_wakeup 1 In SoPEC wake up from the GPIO, active high.
prst_n_section[3:0] 4 Out System resets for each section, synchronous active low dorst n 1 Out Reset for PHI block, synchronous to doclk 'rst_n 1 Out Reset for JPEG decoder core in CDU block, synchronous to jclk usbrst_n 1 Out Reset for the USB block, synchronous to usbclk CPU interface cpu adr[5:2] 3 In CPU address bus. Only 4 bits are required to decode the address space for the CPR block cpu_dataout[31:0] 32 In Shared write data bus from the CPU
cpr_cpu_data[31:0] 32 Out Read data bus to the CPU

cpu_rwn 1 In Common read/not-write signal from the CPU
cpu_cpr sel 1 In Block select from the CPU. When cpu cpr sel is high both cpu adr and cpu dataout are valid cpr cpu_rdy 1 Out Ready signal to the CPU. When cpr cpu rdy is high it indicates the last cycle of the access. For a rite cycle this means cpu dataout has been registered by the block and for a read cycle this means the data on cpr cpu data is valid.
cpr cpu_berr 1 Out Bus error signal to the CPU indicating an invalid access.
cpu_acode[1:0] 2 In CPU Access Code signals. These decode as ollows:
00 - User program access 01 - User data access - Supervisor program access 11 - Supervisor data access cpr_cpu_debug valid 1 Out Debug Data valid on cpr cpu data bus. Active high 16.5.2 Configuration registers The configuration registers in the CPR are programmed via the CPU interface.
Refer to section 11.4 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the CPR. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit 5 register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the CPR. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of cprpcu data. Table 96 lists the configuration registers in the CPR block.
The CPR block will only allow supervisor data mode accesses (i.e. cpu acode(1:0] _ 10 SUPERVISOR DATA ). All other accesses will result in cpr cpu berr being asserted .
Table 96. CPR Register Map .ddress Register bits Reset Description;
CPR base Ox00 SleepModeEnable OxOa Sleep Mode enable, when high a section of logic is put into powerdown.
Bit 0 - Controls section 0 Bit 1- Controls section 1 Bit 2 - Controls section 2 Bit 3 - Controls section 3 Note that the SleepModeEnable register has special reset conditions. See Section 16.5.6 for details 0x04 ResetSrc 5 Ox1a Reset Source register, indicating the source of the last reset (or wake-up) Bit 0 - External Reset Bit 1- USB wakeup reset Bit 2 - ISI wakeup reset Bit 3 - Watchdog timer reset Bit 4 - GPIO wake-up (Read Only Register) 0x08 ResetSection OxF ctive-low synchronous reset for each section, self-resetting.
Bit 0 - Controls section 0 Bit 1- Controls section 1 Bit 2 - Controls section 2 Bit 3 - Controls section 3 OxOC DebugSelect[5:2] 4 OxO Debug address select. Indicates the address of the register to report on the cpr cpu data bus when it is not otherwise being used.
PLL Control 0x10 PLLTuneBits 10 Ox3BC PLL tuning bits Ox14 PLLRangeA 0x3 PLLOUT A frequency selector (defaults to 60Mhz to 125Mhz) Ox18 PLLRangeB 3 0x5 PLLOUT B frequency selector (defaults to 200Mhz to 400Mhz) Ox1 C PLLMultiplier 5 0x03 PLL multiplier selector, defaults to efclk x 3 0x20 PLLUpdate 1 Ox0 PLL update control. A write (of any alue) to this register will cause the PLL to lose lock for -100us. Reading the register indicates the status of the update.
0 - PLL update complete I - PLL update active No writes to PLL TuneBits, PLLRangeA, PLL-RangeB,PLLMultiplier or PLLUpdate are allowed while the PLL update is active.
a. Reset value depends on reset source. External reset shown.
16.5.3 CPR Sub-block partition 16.5.4 reset n deglitch The external reset_n signal is deglitched for about 1 s. reset_n must maintain a state for 1 us second before the state is passed into the rest of the device. All deglitch logic is clocked on bufrefclk.
16.5.5 Sync reset The reset synchronizer retimes an asynchronous reset signal to the clock domain that it resets. The circuit prevents the inactive edge of reset occurring when the clock is rising 16.5.6 Reset generator logic The reset generator logic is used to determine which clock domains should be reset, based on configured reset values (reset section n), the external reset (reset n), watchdog timer reset (tim cpr reset n), the USB reset (usb cpr reset n), the GPIO wakeup control (gpio cpr wakeup) and the ISI reset (isl cpr reset n). The reset direct from the 10 pin (reset n) is synchronized and de-glitched before feeding the reset logic.
All resets are lengthened to at least 16 pclk cycles, regardless of the duration of the input reset. The clock for a particular section must be running for the reset to have an effect. The clocks to each section can be enabled/disabled using the SleepModeEnable register.
Resets from the ISI or USB block reset everything except its own section (section 2 or 3).
Table 97. Reset domains Reset signal Domain reset_dom[0] Section 0 pclk domain (PEP) reset_dom[1] Section 1 pclk domain (CPU) reset_dom[2] Section 2 pclk domain (ISI) reset_dom[3] Section 3 usbclk/pclk domain (USB) reset_dom[4] doclk domain reset dom[5] 'clk domain The logic is given by if (reset_dg_n == 0) then reset_dom[5:0] = Ox00 reset everything reset src [4: 0] = Ox01 cfg_reset_n = 0 sleep_mode_en[3:0] = Ox0 re-awaken all sections elsif (tim cpr_reset_n == 0) then reset_dom[5:0] = Ox00 reset everything except CPR config reset src [4 : 0] = 0x08 cfg_reset_n = 1 CPR config stays the same sleep mode_en[1] = 0 re-awaken section 1 only (awake already) elsif (usb_cpr_reset_n == 0) then reset_dom[5:0] = 0x08 all except USB domain +
CPR config reset src [4 : 0] = 0x02 cfg_reset_n = 1 /J CPR config stays the same sleep_mode_en[1] = 0 re-awaken section 1 only, section 3 is awake elsif (isi_cpr_reset_n == 0) then reset_dom[5:0] = 0x04 all except ISI domain +
CPR config reset src [4: 0] = 0x04 cfg_reset_n = 1 CPR config stays the same sleep_mode_en[1] = 0 re-awaken section 1 only, section 2 is awake elsif (gpio_cpr_wakeup = 1) then reset_dom[5:0] = Ox3C PEP and CPU sections only reset src [4 :0] = Ox10 cfg_reset_n = 1 CPR config stays the same sleep mode_en[1] = 0 re-awaken section 1 only, section 2 is awake else // propagate resets from reset section register reset_dom[5:0] = Ox3F default to on cfg_reset_n = 1 // CPR cfg registers are not in any section sleep_mode_en[3:0] = sleep_mode_en[3:0] // stay the same by default if (reset section n[0] 0) then reset_dom[5] = 0 jclk domain resetdom[4] = 0 doclk domain reset_dom[0] = 0 pclk section 0 domain if (reset section n[1] 0) then reset_dom[1] = 0 pclk section 1 domain if (reset section n[2] 0) then reset_dom[2] = 0 pclk section 2 domain (ISI) if (reset_section n[3] _= 0) then reset_dom[3] = 0 USB domain 16.5.7 Sleep logic The sleep logic is used to generate gating signals for each of SoPECs clock domains. The gate enable (gate dom) is generated based on the configured sleep mode_en and the internally generated jclk enable signal.
The logic is given by // clock gating for sleep modes gate_dom[5:0] = OxO default to all clocks on if (sleep_mode_en[0] 1) then section 0 sleep gate_dom[0] = 1 pclk section 0 gate_dom[4] = 1 doclk domain gate_dom[5] = 1 jclk domain if (sleep_mode_en[1] 1) then section 1 sleep gate_dom[l] = 1 pclk section 1 if (sleep_mode_en[2] 1) then // section 2 sleep gate_dom[2] = 1 // pclk section 2 if (sleep_mode_en[3] 1) then section 3 sleep gate_dom[3] = 1 // usb section 3 the jclk can be turned off by CDU signal if (jclk enable == 0) then gate_dom [5] = 1 The clock gating and sleep logic is clocked with the master_pclk clock which is not gated by this logic, but is synchronous to other pclk section and jclk domains.
Once a section is in sleep mode it cannot generate a reset to restart the device. For example if section 1 is in sleep mode then the watchdog timer is effectively disabled and cannot trigger a reset.
16.5.8 Clock gate logic The clock gate logic is used to safely gate clocks without generating any glitches on the gated clock. When the enable is high the clock is active otherwise the clock is gated.
16.5.9 Clock generator Logic The clock generator block contains the PLL, crystal oscillator, clock dividers and associated control logic. The PLL VCO frequency is at 960MHz locked to a 32 MHz refclk generated by the crystal oscillator. In test mode the xtalin signal can be driven directly by the test clock generator, the test clock will be reflected on the refclk signal to the PLL.
16.5.9.1 Clock divider A
The clock divider A block generates the 48MHz clock from the input 96MHz clock (pilouta) generated by the PLL. The divider is enabled only when the PLL has acquired lock.
16.5.9.2 Clock divider B

The clock divider B block generates the 160MHz clocks from the input 320MHz clock (plloutb) generated by the PLL. The divider is enabled only when the PLL has acquired lock.
16.5.9.3 PLL control state machine The PLL will go out of lock whenever pll reset goes high (the PLL reset is the only active high reset in the device) or if the configuration bits pll rangea, pll rangeb, pll mult, pll tune are changed. The PLL control state machine ensures that the rest of the device is protected from glitching clocks while the PLL is being reset or it's configuration is being changed.
In the case of a hardware reset (the reset is deglitched), the state machine first disables the output clocks (via the clk gate signal), it then holds the PLL in reset while its configuration bits are reset to default values. The state machine then releases the PLL reset and waits approx. 100us to allow the PLL to regain lock. Once the lock time has elapsed the state machine re-enables the output clocks and resets the remainder of the device via the reset dg n signal.
When the CPU changes any of the configuration registers it must write to the PLLupdate register to allow the state machine to update the PLL to the new configuration setup. If a PLLUpdate is detected the state machine first gates the output clocks. It then holds the PLL in reset while the PLL
configuration registers are updated. Once updated the PLL reset is released and the state machine waits approx 100us for the PLL to regain lock before re-enabling the output clocks. Any write to the PLLUpdate register will cause the state machine to perform the update operation regardless of whether the configuration values changed or not.
All logic in the clock generator is clocked on bufrefclk which is always an active clock regardless of the state of the PLL.
17 ROM Block 17.1 OVERVIEW
The ROM block interfaces to the CPU bus and contains the SoPEC boot code. The ROM block consists of the CPU bus interface, the ROM macro and the ChipiD macro. The current ROM size is 16 KBytes implemented as a 4096 x32 macro. Access to the ROM is not cached because the CPU
enjoys fast (no more than one cycle slower than a cache access), unarbitrated access to the ROM.
Each SoPEC device is required to have a unique ChiplD which is set by blowing fuses at manufacture. IBM's 300mm ECID macro and a custom 112-bit ECID macro are used to implement the ChipID offering 224-bits of laser fuses. The exact number of fuse bits to be used for the ChiplD
will be determined later but all bits are made available to the CPU. The ECID
macros allows all 224 bits to be read out in parallel and the ROM block will make all 224 bits available in the FuseChiplD[N] registers which are readable by the CPU in supervisor mode only.
17.2 BOOT OPERATION
The are two boot scenarios for the SoPEC device namely after power-on and after being awoken from sleep mode. When the device is in sleep mode it is hoped that power will actually be removed from the DRAM, CPU and most other peripherals and so the program code will need to be freshly downloaded each time the device wakes up from sleep mode. In order to reduce the wakeup boot time (and hence the perceived print latency) certain data items are stored in the PSS block (see section 18). These data items include the SHA-1 hash digest expected for the program(s) to be downloaded, the master/slave SoPEC id and some configuration parameters. All of these data items are stored in the PSS by the CPU prior to entering sleep mode. The SHA-1 value stored in the PSS is calculated by the CPU by decrypting the signature of the downloaded program using the appropriate public key stored in ROM. This compute intensive decryption only needs to take place once as part of the power-on boot sequence - subsequent wakeup boot sequences will simply use the resulting SHA-1 digest stored in the PSS. Note that the digest only needs to be stored in the PSS before entering sleep mode and the PSS can be used for temporary storage of any data at all other times.
The CPU is expected to be in supervisor mode for the entire boot sequence described by the pseudocode below. Note that the boot sequence has not been finalised but is expected to be close to the following:

if (ResetSrc == 1) then /j Reset was a power-on reset configure_sopec need to configure peris (USB, ISI, DMA, ICU etc.) // Otherwise reset was a wakeup reset so peris etc. were already configured PAUSE: wait until IrqSemaphore 1= 0 i.e. wait until an interrupt has been serviced if (IrqSemaphore == DMAChanOMsg) then parse_msg(DMAChanOMsgPtr) this routine will parse the message and take any // necessary action e.g. programming the DMAChannell registers elsif (IrqSemaphore == DMAChanlMsg) then program has been downloaded CalculatedHash = gen_shal(ProgramLocn, ProgramSize) if (ResetSrc == 1) then ExpectedHash = sig_decrypt(ProgramSig,public_key) else ExpectedHash = PSSHash if (ExpectedHash == CalculatedHash) then jmp(PrgramLocn) transfer control to the downloaded program else send host_msg("Program Authentication Failed") goto PAUSE:
elsif (IrqSemaphore == timeout) then nothing has happened if (ResetSrc == 1) then sleep_mode() put SoPEC into sleep mode to be woken up by USB/ISI activity else // we were woken up but nothing happened reset_sopec(PowerOnReset) else goto PAUSE

The boot code places no restrictions on the activity of any programs downloaded and authenticated by it other than those imposed by the configuration of the MMU i.e. the principal function of the boot code is to authenticate that any programs downloaded by it are from a trusted source. It is the responsibility of the downloaded program to ensure that any code it downloads is also authenticated and that the system remains secure. The downloaded program code is also responsible for setting the SoPEC ISlld (see section 12.5 for a description of the ISlld) in a multi -SoPEC system. See the "SoPEC Security Overview" document [9] for more details of the SoPEC security features.
17.3 IMPLEMENTATION
17.3.1 Definitions of I/O
Table 98. ROM Block I/O

Port name Pins I/O Description Clocks and Resets prst_n 1 In Global reset. Synchronous to pclk, active low.
Pclk 1 In Global clock CPU Interface cpu_adr[14:2] 13 In CPU address bus. Only 13 bits are required to decode the address space for this block.
rom_cpu_data[31: 32 Out Read data bus to the CPU
0]
cpu_rwn I In Common read/not-write signal from the CPU
cpu_acode[1:0] 2 In CPU Access Code signals. These decode as ollows:
00 - User program access 01 - User data access 10 - Supervisor program access 11 - Supervisor data access cpu_rom_sel 1 In Block select from the CPU. When cpu romsel is high cpu adr is valid rom_cpu_rdy 1 Out Ready signal to the CPU. When rom cpu rdy is high it indicates the last cycle of the access. For a read cycle this means the data on om_cpu data is valid.
rom_cpu_berr 1 Out ROM bus error signal to the CPU indicating an invalid access.
17.3.2 Configuration registers The ROM block will only allow read accesses to the FuseChiplD registers and the ROM with supervisor data space permissions (i.e. cpu acode[9:0] = 11). Write accesses with supervisor data space permissions will have no effect. All other accesses with will result in rom cpu berr being asserted. The CPU
subsystem bus slave interface is described in more detail in section 9.4.3.
Table 99. ROM Block Register Map ddress ROM base + Register, bits Reset Description 0x4000 FuseChiplDO 32 n/a alue of corresponding fuse bits 31 to 0 of the IBM 112-bit ECID macro. (Read only) 0x4004 FuseChipID1 32 n/a alue of corresponding fuse bits 63 to 32 of the IBM 112-bit ECID macro. (Read only) 0x4008 FuseChiplD2 32 n/a alue of corresponding fuse bits 95 to 64 of the IBM 112-bit ECID macro. (Read only) Ox400C FuseChiplD3 16 n/a alue of corresponding fuse bits 111 to 96 of the IBM 112-bit ECID macro. (Read only) 0x4010 FuseChiplD4 32 n/a alue of corresponding fuse bits 31 to 0 of the Custom 112-bit ECID macro. (Read only) 0x4014 FuseChiplD5 32 n/a alue of corresponding fuse bits 63 to 32 of the Custom 112-bit ECID macro. (Read only) 0x4018 FuseChiplD6 32 n/a alue of corresponding fuse bits 95 to 64 of the Custom 112-bit ECID macro. (Read only) 0x401 C FuseChiplD7 16 n/a alue of corresponding fuse bits 111 to 96 of the Custom 112-bit ECID macro.
(Read only) 17.3.3 Sub-Block Partition IBM offer two variants of their ROM macros; A high performance version (ROMHD) and a low power version (ROMLD). It is likely that the low power version will be used unless some implementation issue requires the high performance version. Both versions offer the same bit density. The sub-block partition diagram below does not include the clocking and test signals for the ROM or ECID macros. The CPU subsystem bus interface is described in more detail in section 11.4.3.
17.3.4 Table 100. ROM Block internal signals Port name IWidth Description Clocks and Resets prst_n 1 Global reset. Synchronous to pclk, active low.
Pclk 1 Global clock Internal Signals rom_adr[11:0] 12 ROM address bus rom_sel 1 Select signal to the ROM macro instructing it to access he location at rom adr rom_oe 1 Output enable signal to the ROM block rom_data[31:0] 32 Data bus from the ROM macro to the CPU bus interface rom_dvafid 1 Signal from the ROM macro indicating that the data on om data is valid for the address on rorn adr use_data[31:0] 32 Data from the FuseChipiD[N] register addressed by fuse reg adr use_reg_adr[2:0] 3 Indicates which of the FuseChiplD registers is being addressed Sub-block signal definition 18 Power Safe Storage (PSS) Block 18.1 OVERVIEW
The PSS block provides 128 bytes of storage space that will maintain its state when the rest of the SoPEC device is in sleep mode. The PSS is expected to be used primarily for the storage of decrypted signatures associated with downloaded programmed code but it can also be used to store any information that needs to survive sleep mode (e.g. configuration details). Note that the signature digest only needs to be stored in the PSS before entering sleep mode and the PSS can be used for temporary storage of any data at all other times.
Prior to entering sleep mode the CPU should store all of the information it will need on exiting sleep mode in the PSS. On emerging from sleep mode the boot code in ROM will read the ResetSrc register in the CPR block to determine which reset source caused the wakeup. The reset source information indicates whether or not the PSS contains valid stored data, and the PSS
data determines the type of boot sequence to execute. If for any reason a full power-on boot sequence should be performed (e.g. the printer driver has been updated) then this is simply achieved by initiating a full software reset.

Note that a reset or a powerdown (powerdown is implemented by clock gating) of the PSS block will not clear the contents of the 128 bytes of storage. If clearing of the PSS storage is required, then the CPU must write to each location individually.
18.2 IMPLEMENTATION
The storage area of the PSS block will be implemented as a 128-byte register array. The array is located from PSS_base through to PSS_base+Ox7F in the address map. The PSS
block will only allow read or write accesses with supervisor data space permissions (i.e. cpu acode[9:0] = 11).
All other accesses will result in pss cpu berr being asserted. The CPU
subsystem bus slave interface is described in more detail in section 11.4.3.
18.2.1 Definitions of 1/O
Table 101. PSS Block I/O

Port name Pins I/O Description Clocks and Resets prst_n I In Global reset. Synchronous to pclk, active low.
Pclk 1 In Global clock CPU Interface cpu_adr[6:2] 5 In CPU address bus. Only 5 bits are required to decode the address space for this block.
cpu_dataout[31:0] 32 In Shared write data bus from the CPU
pss_cpu_data[31:0] 32 Out Read data bus to the CPU
cpus_rwn 1 In Common read/not-write signal from the CPU
cpu_acode[1:0] 2 In CPU Access Code signals. These decode as ollows:
00 - User program access 01 - User data access 10 - Supervisor program access 11 - Supervisor data access cpu_pss_sel 1 In Block select from the CPU. When cpupss sel is high both cpu adr and cpu dataout are valid pss_cpu_rdy 1 Out Ready signal to the CPU. When pss cpu rdy is high it indicates the last cycle of the access. For a read cycle this means the data on pss_cpu data is valid.
pss_cpu_berr 1 Out PSS bus error signal to the CPU indicating an invalid access.
19 Low Speed Serial Interface (LSS) 19.1 OVERVIEW
The Low Speed Serial Interface (LSS) provides a mechanism for the internal SoPEC CPU to communicate with external QA chips via two independent LSS buses. The LSS
communicates through the GPIO block to the QA chips. This allows the QA chip pins to be reused in multi-SoPEC environments. The LSS Master system-level interface is illustrated in Figure 75. Note that multiple QA chips are allowed on each LSS bus.
19.2 QA COMMUNICATION
The SoPEC data interface to the QA Chips is a low speed, 2 pin, synchronous serial bus. Data is transferred to the QA chips via the Iss data pin synchronously with the Iss clk pin. When the lss c/k is high the data on Iss data is deemed to be valid. Only the LSS
master in SoPEC can drive the Iss clk pin, this pin is an input only to the QA chips. The LSS
block must be able to interface with an open-collector pull-up bus. This means that when the LSS
block should transmit a logical zero it will drive 0 on the bus, but when it should transmit a logical 1 it will leave high-impedance on the bus (i.e. it doesn't drive the bus). If all the agents on the LSS bus adhere to this protocol then there will be no issues with bus contention.
The LSS block controls all communication to and from the QA chips. The LSS
block is the bus master in all cases. The LSS block interprets a command register set by the SoPEC CPU, initiates transactions to the QA chip in question and optionally accepts return data. Any return information is presented through the configuration registers to the SoPEC CPU.
The LSS block indicates to the CPU the completion of a command or the occurrence of an error via an interrupt.
The LSS protocol can be used to communicate with other LSS slave devices (other than QA
chips). However should a LSS slave device hold the clock low (for whatever reason), it will be in violation of the LSS protocol and is not supported. The LSS clock is only ever driven by the LSS
master.
19.2.1 Start and stop conditions All transmissions on the LSS bus are initiated by the LSS master issuing a START condition and terminated by the LSS master issuing a STOP condition. START and STOP
conditions are always generated by the LSS master. As illustrated in Figure 76, a START condition corresponds to a high to low transition on Iss data while Iss clk is high. A STOP condition corresponds to a low to high transition on Iss data while Iss clk is high.
19.2.2 Data transfer Data is transferred on the LSS bus via a byte orientated protocol. Bytes are transmitted serially.
Each byte is sent most significant bit (MSB) first through to least significant bit (LSB) last. One clock pulse is generated for each data bit transferred. Each byte must be followed by an acknowledge bit.
The data on the /ss data must be stable during the HIGH period of the Iss clk clock. Data may only change when /ss clk is low. A transmitter outputs data after the falling edge of Iss clk and a receiver inputs the data at the rising edge of /ss clk. This data is only considered as a valid data bit at the next /ss clk falling edge provided a START or STOP is not detected in the period before the next /ss clk falling edge. All clock pulses are generated by the LSS
block. The transmitter releases the Iss data line (high) during the acknowledge clock pulse (ninth clock pulse). The receiver must pull down the Iss data line during the acknowledge clock pulse so that it remains stable low during the HIGH period of this clock pulse.

Data transfers follow the format shown in Figure 77. The first byte sent by the LSS master after a START condition is a primary id byte, where bits 7-2 form a 6-bit primary id (0 is a global id and will address all QA Chips on a particular LSS bus), bit 1 is an even parity bit for the primary id, and bit 0 forms the read/ write sense. Bit 0 is high if the following command is a read to the primary id given or low for a write command to that id. An acknowledge is generated by the QA
chip(s) corresponding to the given id (if such a chip exists) by driving the Iss data line low synchronous with the LSS master generated ninth /ss clk.
19.2.3 Write procedure The protocol for a write access to a QA Chip over the LSS bus is illustrated in Figure 79 below.
The LSS master in SoPEC initiates the transaction by generating a START
condition on the LSS
bus. It then transmits the primary id byte with a 0 in bit 0 to indicate that the following command is a write to the primary id. An acknowledge is generated by the QA chip corresponding to the given primary id. The LSS master will clock out M data bytes with the slave QA Chip acknowledging each successful byte written. Once the slave QA chip has acknowledged the Mth data byte the LSS master issues a STOP condition to complete the transfer. The QA chip gathers the M data bytes together and interprets them as a command. See QA Chip Interface Specification for more details on the format of the commands used to communicate with the QA chip[8].
Note that the QA
chip is free to not acknowledge any byte transmitted. The LSS master should respond by issuing an interrupt to the CPU to indicate this error. The CPU should then generate a STOP condition on the LSS bus to gracefully complete the transaction on the LSS bus.
19.2.4 Read procedure The LSS master in SoPEC initiates the transaction by generating a START
condition on the LSS
bus. It then transmits the primary id byte with a 1 in bit 0 to indicate that the following command is a read to the primary id. An acknowledge is generated by the QA chip corresponding to the given primary id. The LSS master releases the Iss data bus and proceeds to clock the expected number of bytes from the QA chip with the LSS master acknowledging each successful byte read.
The last expected byte is not acknowledged by the LSS master. It then completes the transaction by generating a STOP condition on the LSS bus. See QA Chip Interface Specification for more details on the format of the commands used to communicate with the QA chip[8].
19.3 IMPLEMENTATION
A block diagram of the LSS master is given in Figure 80. It consists of a block of configuration registers that are programmed by the CPU and two identical LSS master units that generate the signalling protocols on the two LSS buses as well as interrupts to the CPU.
The CPU initiates and terminates transactions on the LSS buses by writing an appropriate command to the command register, writes bytes to be transmitted to a buffer and reads bytes received from a buffer, and checks the sources of interrupts by reading status registers.
19.3.1 Definitions of 10 Table 102. LSS 10 pins definitions Port name Pins jI/O Description Clocks and Resets Pclk 1 In System Clock prst_n 1 In System reset, synchronous active low CPU Interface cpu_rwn 1 In Common read/not-write signal from the CPU
cpu_adr[6:2] 5 In CPU address bus. Only 5 bits are required to decode the address space for this block cpu_dataout[31:0] 32 In Shared write data bus from the CPU
cpu_acode[1:0] 2 In CPU access code signals.
cpu_acode[0] - Program (0) / Data (1) access cpu acode[1] - User (0) / Supervisor (1) access cpu_Iss_sel 1 In Block select from the CPU. When cpu /ss sel is high both cpu adr and cpu dataout are valid Iss_cpu_rdy 1 Out Ready signal to the CPU. When Iss cpu rdy is high it indicates the last cycle of the access. For a write cycle this means cpu dataout has been registered by the LSS block and for a read cycle this means he data on Iss cpu data is valid.
Iss_cpu_berr 1 Out LSS bus error signal to the CPU.
Iss_cpu_data[31:0] 32 Out Read data bus to the CPU
Iss_cpu_debug_valid 1 Out ctive high. Indicates the presence of valid debug data on Iss cpu data.
GPIO for LSS buses Iss_gpio_dout[1:0] 2 Out LSS bus data output Bit 0 - LSS bus 0 Bit 1- LSS bus 1 gpio_Iss_din[1:0] 2 In LSS bus data input Bit 0 - LSS bus 0 Bit 1- LSS bus 1 Iss_gpio_e[1:0] 2 Out LSS bus data output enable, active high Bit 0 - LSS bus 0 Bit 1- LSS bus 1 Iss_gpio_clk[1:0] 2 Out LSS bus clock output Bit 0 - LSS bus 0 Bit 1- LSS bus 1 ICU interface Iss_icu_irq[1:0] 2 Out LSS interrupt requests Bit 0 - interrupt associated with LSS bus 0 Bit 1- interrupt associated with LSS bus I

19.3.2 Configuration registers The configuration registers in the LSS block are programmed via the CPU
interface. Refer to section 11.4 on page 96 for the description of the protocol and timing diagrams for reading and writing registers in the LSS block. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the LSS block. Table 103 lists the configuration registers in the LSS block. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of Iss cpu data.
The input cpu acode signal indicates whether the current CPU access is supervisor, user, program or data. The configuration registers in the LSS block can only be read or written by a supervisor data access, i.e. when cpu acode equals b11. If the current access is a supervisor data access then the LSS responds by asserting Iss cpu rdy for a single clock cycle.
If the current access is anything other than a supervisor data access, then the LSS generates a bus error by asserting Iss cpu berr for a single clock cycle instead of Iss cpu rdy as shown in section 11.4 on page 96. A write access will be ignored, and a read access will return zero.
Table 103. LSS Control Registers dctress - 1Regisfier bits Reset Description (L$S base +) Control registers Ox00 Reset 1 Ox1 write to this register causes a reset of the LSS.
0x04 LssClockHighLow- 16 OxOOC8 Lss clk has a 50:50 duty cycle, this register Duration defines the period of Iss clk by means of specifying the duration (in pclk cycles) that Iss clk is low (or high).
he reset value specifies transmission over the LSS bus at a nominal rate of 400kHz, corresponding to a low (or high) duration of 200 pclk (160Mhz) cycles.
Register should not be set to values less han 8.
0x08 LssClocktoDataHo 6 0x3 Specifies the number of pclk cycles that Data Id must remain valid for after the falling edge of ss clk.
Minimum value is 3 cycles, and must to programmed to be less than ssClockHighLowDuration.
LSS bus 0 registers Ox10 Lss0lntStatus 3 Ox0 LSS bus 0 interrupt status registers Bit 0 - command completed successfully Bit 1- error during processing of command, not -acknowledge received after transmission of primary id byte on LSS bus 0 Bit 2 - error during processing of command, not -acknowledge received after ransmission of data byte on LSS bus 0 II the bits in Lss0lntStatus are cleared when he LssOCmd register gets written to.
(Read only register) Ox14 LssOCurrentState 4 Ox0 Gives the current state of the LSS bus 0 state machine. (Read only register).
(Encoding will be specified upon state achine imp(ementation) 0x18 LssOCmd 21 Ox00 Command register defining sequence of 0000 events to perform on LSS bus 0 before interrupting CPU.
write to this register causes all the bits in he LssOlntStatus register to be cleared as ell as generating a IssO new cmd pulse.
Ox1 C- Ox2C LssOBuffer[4:0] 5x32 Ox0000 LSS Data buffer. Should be filled with 0000 ransmit data before transmit command, or read data bytes received after a valid read command.
LSS bus 1 registers 0x30 Lssl IntStatus 3 0x0 LSS bus 1 interrupt status registers Bit 0 - command completed successfully Bit 1- error during processing of command, not -acknowledge received after ransmission of primary id byte on LSS bus I
Bit 2 - error during processing of command, not -acknowledge received after ransmission of data byte on LSS bus 1 II the bits in LssllntStatus are cleared when he LsslCmd register gets written to.
(Read only register) 0x34 Lss1 CurrentState Ox0 Gives the current state of the LSS bus I
state machine. (Read only register) (Encoding will be specified upon state machine implementation) 0x38 Lssl Cmd 1 0x00_ Command register defining sequence of 0000 events to perform on LSS bus 1 before interrupting CPU.
write to this register causes all the bits in the LssllntStatus register to be cleared as eIl as generating a Iss1_new cmd pulse.
Ox3C - Ox4C Lssl Buffer[4:0] 5x32 Ox0000 LSS Data buffer. Should be filled with 0000 ransmit data before transmit command, or read data bytes received after a valid read command.
Debug registers 0x50 LssDebugSel[6:2] 5 Ox00 Selects register for debug output. This value is used as the input to the register decode logic instead of cpu adr[6:2] when the LSS
block is not being accessed by the CPU, i.e.
when cpu Iss sel is 0.
he output /ss cpu debug valid is asserted o indicate that the data on Iss cpu data is alid debug data. This data can be mutliplexed onto chip pins during debug mode.
19.3.2.1 LSS command registers The LSS command registers define a sequence of events to perform on the respective LSS bus before issuing an interrupt to the CPU. There is a separate command register and interrupt for each LSS bus. The format of the command is given in Table 104. The CPU writes to the command register to initiate a sequence of events on an LSS bus. Once the sequence of events has completed or an error has occurred, an interrupt is sent back to the CPU.
Some example commands are:
= a single START condition (Start =1, IdByteEnable = 0, RdWrEnable = 0, Stop =
0) = a single STOP condition (Start = 0, IdByteEnable = 0, RdWrEnable = 0, Stop =
1) = a START condition followed by transmission of the id byte (Start=1, IdByteEnable = 1, RdWrEnable = 0, Stop = 0, IdByte contains primary id byte) = a write transfer of 20 bytes from the data buffer (Start = 0, IdByteEnable =
0, RdWrEnable =
1, RdWrSense = 0, Stop = 0, TxRxByteCount = 20) = a read transfer of 8 bytes into the data buffer (Start = 0, IdByteEnable =
0, RdWrEnable =
1, RdWrSense = 1, ReadNack = 0, Stop = 0, TxRxByteCount = 8) = a complete read transaction of 16 bytes (Start = 1, ldByteEnable =1, RdWrEnable = 1, RdWrSense = 1, ReadNack = 1, Stop =1, IdByte contains primary id byte, TxRxByteCount =16), etc.
The CPU can thus program the number of bytes to be transmitted or received (up to a maximum of 20) on the LSS bus before it gets interrupted. This allows it to insert arbitrary delays in a transfer at a byte boundary. For example the CPU may want to transmit 30 bytes to a QA chip but insert a delay between the 20th and 21 St bytes sent. It does this by first writing 20 bytes to the data buffer. It then writes a command to generate a START condition, send the primary id byte and then transmit the 20 bytes from the data buffer. When interrupted by the LSS
block to indicate successful completion of the command the CPU can then write the remaining 10 bytes to the data buffer. It can then wait for a defined period of time before writing a command to transmit the 10 bytes from the data buffer and generate a STOP condition to terminate the transaction over the LSS bus.
An interrupt to the CPU is generated for one cycle when any bit in LssNlntStatus is set. The CPU
can read LssNlntStatus to discover the source of the interrupt. The LssNlntStatus registers are cleared when the CPU writes to the LssNCmd register. A null command write to the LssNCmd register will cause the LssNlntStatus registers to clear and no new command to start. A null command is defined as Start, IdbyteEnable, RdWrEnable and Stop all set to zero.
Table 104. LSS command register description bit(s) name Description 0 Start hen 1, issue a START condition on the LSS bus.
1 IdByteEnable ID byte transmit enable:
1- transmit byte in IdByte field 0 - ignore byte in IdByte field 2 RdWrEnable Read/write transfer enable:
0 - ignore settings of RdWrSense, ReadNack and TxRxByteCount 1- if RdWrSense is 0, then perform a write transfer of TxRxByteCount bytes from the data buffer.
if RdWrSense is 1, then perform a read transfer of TxRxByteCount bytes into the data buffer. Each byte should be acknowledged and the last byte received is acknowledged/not-acknowledged according to the setting of ReadNack.

3 RdWrSense Read/write sense indicator:
0 - write 1 - read 4 ReadNack Indicates, for a read transfer, whether to issue an acknowledge or a not-acknowledge after the last byte received (indicated by TxRxByteCount).
0- issue acknowledge after last byte received 1- issue not-acknowledge after last byte received.
Stop hen 1, issue a STOP condition on the LSS bus.
7:6 reserved Must be 0 15:8 IdByte Byte to be transmitted if IdByteEnable is 1. Bit 8 corresponds to the LSB.
20:16 xRxByteCountNumber of bytes to be transmitted from the data buffer or he number of bytes to be received into the data buffer.
The maximum value that should be programmed is 20, as he size of the data buffer is 20 bytes. Valid values are 1 o 20, 0 is valid when RdWrEnable = 0, other cases are invalid andundefined.

The data buffer is implemented in the LSS master block. When the CPU writes to the LssNBuffer registers the data written is presented to the LSS master block via the IssN
buffer wrdata bus and configuration registers block pulses the IssN buffer wen bit corresponding to the register 5 written. For example if LssNBuffer[2] is written to IssN buffer wen[2] will be pulsed. When the CPU reads the LssNBuffer registers the configuration registers block reflect the IssN buffer rdata bus back to the CPU.
19.3.3 LSS master unit The LSS master unit is instantiated for both LSS bus 0 and LSS bus 1. It controls transactions on the LSS bus by means of the state machine shown in Figure 83, which interprets the commands that are written by the CPU. It also contains a single 20 byte data buffer used for transmitting and receiving data.
The CPU can write data to be transmitted on the LSS bus by writing to the LssNBuffer registers. It can also read data that the LSS master unit receives on the LSS bus by reading the same registers. The LSS master always transmits or receives bytes to or from the data buffer in the same order.
For a transmit command, LssNBuffer[0](7:0J gets transmitted first, then LssNBuffer[0][15:8], LssNBuffer[0][23:16], LssNBuffer[OJ[31:24], LssNBuffer[1][7:OJ and so on until TxRxByteCount number of bytes are transmitted. A receive command fills data to the buffer in the same order.
Each new command the buffer start point is reset.
All state machine outputs, flags and counters are cleared on reset. After a reset the state machine goes to the Reset state and initialises the LSS pins (Iss c/k is set to 1, Iss data is tristated and allowed to be pulled up to 1). When the reset condition is removed the state machine transitions to the Wait state.
It remains in the Wait state until Iss new cmd equals 1. If the Start bit of the command is 0 the state machine proceeds directly to the CheckldByteEnable state. If the Start bit is I it proceeds to the GenerateStart state and issues a START condition on the LSS bus.
In the CheckidByteEnable state, if the IdByteEnable bit of the command is 0 the state machine proceeds directly to the CheckRdWrEnable state. If the IdByteEnable bit is 1 the state machine enters the Sendld8yte state and the byte in the IdByte field of the command is transmitted on the LSS. The WaitForldAck state is then entered. If the byte is acknowledged, the state machine proceeds to the CheckRdWrEnable state. If the byte is not-acknowledged, the state machine proceeds to the Generatelnterrupt state and issues an interrupt to indicate a not-acknowledge was received after transmission of the primary id byte.
In the CheckRdWrEnable state, if the RdWrEnable bit of the command is 0 the state machine proceeds directly to the CheckStop state. If the RdWrEnable bit is 1, count is loaded with the value of the TxRxByteCount field of the command and the state machine enters either the ReceiveByte state if the RdWrSense bit of the command is I or the TransmitByte state if the RdWrSense bit is 0.
For a write transaction, the state machine keeps transmitting bytes from the data buffer, decrementing count after each byte transmitted, until count is 1. If all the bytes are successfully transmitted the state machine proceeds to the CheckStop state. If the slave QA
chip not-acknowledges a transmitted byte, the state machine indicates this error by issuing an interrupt to the CPU and then entering the Generatelnterrupt state.
For a read transaction, the state machine keeps receiving bytes into the data buffer, decrementing count after each byte transmitted, until count is 1. After each byte received the LSS master must issue an acknowledge. After the last expected byte (i.e. when count is 1) the state machine checks the ReadNack bit of the command to see whether it must issue an acknowledge or not-acknowledge for that byte. The CheckStop state is then entered.
In the CheckStop state, if the Stop bit of the command is 0 the state machine proceeds directly to the Generatelnterrupt state. If the Stop bit is 1 it proceeds to the GenerateStop state and issues a STOP condition on the LSS bus before proceeding to the Generatelnterrupt state. In both cases an interrupt is issued to indicate successful completion of the command.
The state machine then enters the Wait state to await the next command. When the state machine reenters the Wait state the output pins (Iss data and Iss clk) are not changed, they retain the state of the last command. This allows the possibility of multi-command transactions.
The CPU may abort the current transfer at any time by performing a write to the Reset register of the LSS block.
19.3.3.1 START and STOP generation START and STOP conditions, which signal the beginning and end of data transmission, occur when the LSS master generates a falling and rising edge respectively on the data while the clock is high.

In the GenerateStart state, Iss gpio clk is held high with Iss gpio e remaining deasserted (so the data line is pulled high externally) for LssClockHighLowDuration pclk cycles.
Then Iss gpio e is asserted and lss gpio dout is pulled low (to drive a 0 on the data line, creating a falling edge) with Iss gpio clk remaining high for another LssClockHighLowDuration pclk cycles.
In the GenerateStop state, both Iss gpio clk and lss gpio dout are pulled low followed by the assertion of Iss gpio e to drive a 0 while the clock is low. After LssClockHighLowDuration pclk cycles, Iss gpio clk is set high. After a further LssClockHighLowDuration pclk cycles, Iss gpio e is deasserted to release the data bus and create a rising edge on the data bus during the high period of the clock.
If the bus is not in the required state for start and stop generation (/ss clk=1, Iss data=1 for start, and Iss c/k=1, Iss data=0), the state machine moves the bus to the correct state and proceeds as described above. Figure 82 shows the transition timing from any bus state to start and stop generation 19.3.3.2 Clock pulse generation The LSS master holds Iss gpio clk high while the LSS bus is inactive. A clock pulse is generated for each bit transmitted or received over the LSS bus. It is generated by first holding Iss gpio clk low for LssClockHighLowDuration pclk cycles, and then high for LssClockHighLowDuration pclk cycles.
19.3.3.3 Data De-glitching When data is received in the LSS block it is passed to a de-glitching circuit.
The de-glitch circuit samples the data 3 times on pclk and compares the samples. If all 3 samples are the same then the data is passed, otherwise the data is ignored.
Note that the LSS data input on SoPEC is double registered in the GPIO block before being passed to the LSS.
19.3.3.4 Data reception The input data, gpio Iss di, is first synchronised to the pclk domain by means of two flip-flops clocked by pclk (the double register resides in the GPIO block) . The LSS
master generates a clock pulse for each bit received. The output Iss gpio e is deasserted LssClockToDataHold pclk cycles after the falling edge of Iss gpio clk to release the data bus. The value on the synchronised gpio Iss di is sampled Tstrobe number of clock cycles after the rising edge of Iss gpio clk (the data is de-glitched over a further 3 stage register to avoid possible glitch detection). See Figure 84 for further timing information.
In the ReceiveByte state, the state machine generates 8 clock pulses. At each Tstrobe time after the rising edge of Iss gpio clk the synchronised gpio Iss di is sampled. The first bit sampled is LssNBuffer[O][7], the second LssNBuffer[0][6], etc to LssNBuffer[O][O]. For each byte received the state machine either sends an NAK or an ACK depending on the command configuration and the number of bytes received.
In the SendNack state the state machine generates a single clock pulse. Iss gpio e is deasserted and the LSS data line is pulled high externally to issue a not-acknowledge.

In the SendAck state the state machine generates a single clock pulse. Iss gpio e is asserted and a 0 driven on Iss gpio dout after Iss gpio clk falling edge to issue an acknowledge.
19.3.3.5 Data transmission The LSS master generates a clock pulse for each bit transmitted. Data is output on the LSS bus on the falling edge of Iss gpio clk.
When the LSS master drives a logical zero on the bus it will assert Iss gpio e and drive a 0 on Iss gpio dout after Iss gpio clk falling edge. Iss gpio e will remain asserted and Iss gpio dout will remain low until the next Iss c/k falling edge.
When the LSS master drives a logical one Iss gpio e should be deasserted at Iss gpio clk falling edge and remain deasserted at least until the next Iss gpio clk falling edge.
This is because the LSS bus will be externally pulled up to logical one via a pull-up resistor.
In the Sendld byte state, the state machine generates 8 clock pulses to transmit the byte in the IdByte field of the current valid command. On each falling edge of Iss gpio clk a bit is driven on the data bus as outlined above. On the first falling edge IdByte(7] is driven on the data bus, on the second falling edge IdByte[6] is driven out, etc.
In the TransmitByte state, the state machine generates 8 clock pulses to transmit the byte at the output of the transmit FIFO. On each falling edge of /ss gpio clk a bit is driven on the data bus as outlined above. On the first falling edge LssNBuffer[OJ(7] is driven on the data bus, on the second falling edge LssNBuffer[0][6] is driven out, etc on to LssNBuffer[O][7] bits.
In the WaitForAck state, the state machine generates a single clock pulse. At Tstrobe time after the rising edge of Iss gpio clk the synchronized gpio Iss di is sampled. A 0 indicates an acknowledge and ack detect is pulsed, a 1 indicates a not-acknowledge and nack detect is pulsed.
19.3.3.6 Data rate control The CPU can control the data rate by setting the clock period of the LSS bus clock by programming appropriate value in LssClockHighLowDuration. The default setting for the register is 200 (pclk cycles) which corresponds to transmission rate of 400kHz on the LSS bus (the Iss clk is high for LssClockHighLowDuration cycles then low for LssClockHighLowDuration cycles). The Iss c/k will always have a 50:50 duty cycle. The LssClockHighLowDuration register should not be set to values less than 8.
The hold time of Iss data after the falling edge of Iss clk is programmable by the LssClocktoDataHold register. This register should not be programmed to less than 2 or greater than the LssClockHighLowDuration value.
19.3.3.7 LSS master timing parameters The LSS master timing parameters are shown in Figure 84 and the associated values are shown in Table 105.
Table 105. LSS master timing parameters Parameter JDescription 1min nom Imax unit LSS Master Driving p LSS clock period divided by 2 8 200 jFFFF pclk cycles start_delay ime to start data edge from rising p +pclk cycles clock edge LssClocktoDataHold stop_delay ime to stop data edge from rising p +pclk cycles clock edge LssClocktoDataHold data_setup ime from data setup to rising clock p - 2 -pclk cycles edge ssClocktoDataHold Tdata_hold ime from falling clock edge to data LssClocktoDataHold pclk cycles hold ack_setup ime that outgoing (N)Ack is setup p - 2 -pclk cycles before Iss clk rising edge LssClocktoDataHold ack_hold ime that outgoing (N)Ack is held LssClocktoDataHold pclk cycles after !ss clk falling edge LSS Master Sampling Tstrobe LSS master strobe point for Tp-2 p-2 pclk cycles incoming data and (N)Ack values DRAM SUBSYSTEM
20 DRAM Interface Unit (DIU) 20.1 OVERVIEW
Figure 85 shows how the DIU provides the interface between the on-chip 20 Mbit embedded DRAM and the rest of SoPEC. In addition to outlining the functionality of the DIU, this chapter provides a top-level overview of the memory storage and access patterns of SoPEC and the buffering required in the various SoPEC blocks to support those access requirements.
The main functionality of the DIU is to arbitrate between requests for access to the embedded DRAM and provide read or write accesses to the requesters. The DIU must also implement the initialisation sequence and refresh logic for the embedded DRAM.
The arbitration scheme uses a fully programmable timeslot mechanism for non-CPU requesters to meet the bandwidth and latency requirements for each unit, with unused slots re-allocated to provide best effort accesses. The CPU is allowed high priority access, giving it minimum latency, but allowing bounds to be placed on its bandwidth consumption.
The interface between the DIU and the SoPEC requesters is similar to the interface on PEC1 i.e.
separate control, read data and write data busses.
The embedded DRAM is used principally to store:
= CPU program code and data.
= PEP (re)programming commands.
= Compressed pages containing contone, bi-level and raw tag data and header information.
= Decompressed contone and bi-level data.
= Dotline store during a print.

= Print setup information such as tag format structures, dither matrices and dead nozzle information.
20.2 IBM Cu-11 EMBEDDED DRAM
20.2.1 Single bank SoPEC will use the 1.5 V core voltage option in IBM's 0.13 m class Cu-11 process.
The random read/write cycle time and the refresh cycle time is 3 cycles at 160 MHz [16]. An open page access will complete in I cycle if the page mode select signal is clocked at 320 MHz or 2 cycles if the page mode select signal is clocked every 160 MHz cycle. The page mode select signal will be clocked at 160 MHz in SoPEC in order to simplify timing closure. The DRAM word size is 256 bits.
Most SoPEC requesters will make single 256 bit DRAM accesses (see Section 20.4). These accesses will take 3 cycles as they are random accesses i.e. they will most likely be to a different memory row than the previous access.
The entire 20 Mbit DRAM will be implemented as a single memory bank. In Cu-11, the maximum single instance size is 16 Mbit. The first I Mbit tile of each instance contains an area overhead so the cheapest solution in terms of area is to have only 2 instances. 16 Mbit and 4Mbit instances would together consume an area of 14.63 mm2 as would 2 times 10 Mbit instances. 4 times 5 Mbit instances would require 17.2 mmZ.
The instance size will determine the frequency of refresh. Each refresh requires 3 clock cycles. In Cu-11 each row consists of 8 columns of 256-bit words. This means that 10 Mbit requires 5120 rows. A complete DRAM refresh is required every 3.2 ms. Two times 10 Mbit instances would require a refresh every 100 clock cycles, if the instances are refreshed in parallel.
The SoPEC DRAM will be constructed as two 10 Mbit instances implemented as a single memory bank.
20.3 SoPEC MEMORY USAGE REQUIREMENTS
The memory usage requirements for the embedded DRAM are shown in Table 106.
Table 106. Memory Usage Requirements Block Size Description Compressed page 2048 Kbytes Compressed data page store for Bi-store level and contone data Decompressed 108 Kbyte 13824 lines with scale factor 6 2304 Contone Store pixels, store 12 lines, 4 colors =108 kB
13824 lines with scale factor 5 2765 pixels, store 12 lines, 4 colors =130 kB
Spot line store 5.1 Kbyte 13824 dots/line so 3 lines is 5.1 kB

ag Format Structure ypically 12 Kbyte (2.5 mm 55 kB in for 384 dot line tags ags @ 800 dpi) 2.5 mm tags (1/10th inch) @ 1600 dpi require 160 dot lines = 160/384 x55 or 23 kB
2.5 mm tags (1/10th inch) @ 800 dpi require 80/384 x55 = 12 kB
Dither Matrix store 4 Kbytes 64x64 dither matrix is 4 kB
128x128 dither matrix is 16 kB
256x256 dither matrix is 64 kB
DNC Dead Nozzl 1.4 Kbytes Delta encoded, (10 bit delta position +
Table 6 dead nozzle mask) x% Dnozzle 5% dead nozzles requires (1 0+6)x 692 Dnoz zles = 1.4 Kbytes Dot-line store 369.6 Kbytes ssume each color row is separated by 5 dot lines on the print head he dot line store will be 0+5+10...50+55 = 330 half dot lines +
8 extra half dot lines (4 per dot row) + 60 extra half dot lines estimated to account for printhead misalignment =
38 half dot lines.
438 half dot lines of 6912 dots =
369.6Kbytes PCU Program code 8 Kbytes 1024 commands of 64 bits = 8 kB
CPU 64 Kbytes Program code and data OTAL 2620 Kbytes (12 Kbyte TFS
storage) Note:
= Total storage is fixed to 2560 Kbytes to align to 20 Mbit DRAM. This will mean that less space than noted in Table may be available for the compressed band store.
20.4 SoPEC MEMORY ACCESS PATTERNS
Table 107 shows a summary of the blocks on SoPEC requiring access to the embedded DRAM
and their individual memory access patterns. Most blocks will access the DRAM
in single 256-bit accesses. All accesses must be padded to 256-bits except for 64-bit CDU write accesses and CPU write accesses. Bits which should not be written are masked using the individual DRAM bit write inputs or byte write inputs, depending on the foundry. Using single 256-bit accesses means that the buffering required in the SoPEC DRAM requesters will be minimized.
Table 107. Memory access patterns of SoPEC DRAM Requesters DRAM requester Direction Memory access pattern CPU R Single 256-bit reads.

Single 32-bit, 16-bit or 8-bit writes.
SCB R Single 256-bit reads.
Single 256-bit writes, with byte enables.
CDU R Single 256-bit reads of the compressed contone data.
Each CDU access is a write to 4 consecutive DRAM words in the same row but only 64 bits of each word are written with the remaining bits write masked.
he access time for this 4 word page mode burst is 3 + 2 + 2 +2 = 9 cycles if the page mode select signal is clocked at 160 MHz.
CFU R Single 256 bit reads.
LBD R Single 256 bit reads.
SFU R Separate single 256 bit reads for previous and current line but sharing he same DIU interface Single 256 bit writes.
E(TD) R Single 256 bit reads. Each read returns 2 times 128 bit tags.
E(TFS) R Single 256 bit reads. TFS is 136 bytes. This means there is unused data in the fifth 256 bit read. A total of 5 reads is required.
HCU R Single 256 bit reads. 128 x 128 dither matrix requires 4 reads per line ith double buffering. 256 x 256 dither matrix requires 8 reads at the end of the line with single buffering.
DNC R Single 256 bit dead nozzle table reads. Each dead nozzle table read con tains 16 dead-nozzle tables entries each of 10 delta bits plus 6 dead nozzle mask bits.
DWU Single 256 bit writes since enable/disable DRAM access per color plane.
LLU R Single 256 bit reads since enable/disable DRAM access per color plane.
PCU R Single 256 bit reads. Each PCU command is 64 bits so each 256 bit ord can contain 4 PCU commands.
PCU reads from DRAM used for reprogramming PEP should be executed with minimum latency.
If this occurs between pages then there will be free bandwidth as most of the other SoPEC Units will not be requesting from DRAM. If this occurs between bands then the LDB, CDU and TE bandwidth will be ree. So the PCU should have a high priority to access to any spare bandwidth.

Refresh Single refresh.

20.5 BUFFERING REQUIRED IN SOPEC DRAM REQUESTERS
If each DIU access is a single 256-bit access then we need to provide a 256-bit double buffer in the DRAM requester. If the DRAM requester has a 64-bit interface then this can be implemented as an 8 x 64-bit FIFO.
Table 108. Buffer sizes in SoPEC DRAM requesters DRAM Requester Direction ccess patterns Buffering required in block CPU R Single 256-bit reads. Cache.
Single 32-bit writes but allowing 16-bit or None.
byte addressable writes.
SCB R Single 256-bit reads. Double 256-bit buffer.
Single 256-bit writes, with byte enables. Double 256-bit buffer.
CDU R Single 256-bit reads of the compressed Double 256-bit buffer.
contone data.
Each CDU access is a write to 4 Double half JPEG block consecutive DRAM words in the same buffer.
row but only 64 bits of each word are ritten with the remaining bits write masked.
CFU R Single 256 bit reads. riple 256-bit buffer.
LBD R Single 256 bit reads. Double 256-bit buffer.
SFU R Separate single 256 bit reads for Double 256-bit buffer for previous and cur rent line but sharing each read channel.
he same DIU interface Single 256 bit writes. Double 256-bit buffer.
E(TD) R Single 256 bit reads. Double 256-bit buffer.
E(TFS) R Single 256 bit reads. TFS is 136 bytes. Double line-buffer for his means there is unused data in the 136 bytes implemented ifth 256 bit read. A total of 5 reads is in TE.
required.
HCU R Single 256 bit reads. 128 x 128 dither Configurable between matrix requires 4 reads per line with dou ble 128 byte buffer double buffering. 256 x 256 dither matrix and requires 8 reads at the end of the line single 256 byte buffer.
ith single buffering.
DNC R Single 256 bit reads Double 256-bit buffer.
Deeper buffering could be specified to cope with local clusters of dead nozzles.
DWU Single 256 bit writes per enabled Double 256-bit buffer per odd/even color plane. color plane.
LLU R Single 256 bit reads per enabled Double 256-bit buffer per odd/even color plane. color plane.
PCU R Single 256 bit reads. Each PCU Single 256-bit buffer.
command is 64 bits so each 256 bit DRAM read can contain 4 PCU com mands. Requested command is read rom DRAM together with the next 3 contiguous 64-bits which are cached to avoid unnecessary DRAM reads.
Refresh Single refresh. None.
20.6 SOPEC DIU BANDWIDTH REQUIREMENTS
Table 109. SoPEC DIU Bandwidth Requirements Block Name Direction Number of Peak verage Example number of cycles between Bandwidth Bandwidth allocated each hich must be (bits/cycle) imesiots' 256-bit DRAM supplied access to meet (bits/cycle) peak bandwidth CPU R
SCB R
3482 0.734 0.3933 1 CDU R 128 (SF = 4), 28864/n2 (SF=n), 32/10*n2 (SF=n), 1(SF=6) (SF = 6), 1:11.8 (SF = 6), 0.09 (SF = 6), 2 (SF=4) compression4 (SF = 4) 0.2 (SF = 4) (1:1 (10:1 compression) compression)5 For individual 64/n2 (SF=n), 32/n2 (SF=n)7, 2 (SF=6)8 accesses: 16 1.8 (SF = 6), 0.9 (SF = 6), (SF=4) cycles (SF = 4), 36 4 (SF = 4) 2 (SF = 4) cycles (SF = 6), n2 cycles (SF=n).
ill be implemented as a page mode burst of accesses every 64 cycles (SF = 4), 144 (SF =6), 4*n2 (SF =n) cycles6 CFU R 32 (SF = 4), 48 (SF32/n (SF=n), 32/n (SF=n), 6 (SF=6) = 6)9 5.4 (SF = 6), 5.4 (SF = 6), 8 (SF=4) 8 (SF = 4) 8 (SF = 4) LBD R 256 (1:11 (1:10.1 (10:11 compression)10 compression) compression)11 E(TD) R 25214 1.02 1.02 1 E(TFS) R 5 reads per line15 0.093 0.093 0 HCU R reads per line fo 0.074 0.074 0 128 x 128 dithe matrix16 DNC R 106 (5% dead 2.4 (clump o 0.8 (equall 3 nozzles 10-bit deltadead nozzles) spaced dead encoded)17 nozzles) DWU 6 writes eve 6 6 6 LLU R 8 reads eve 8 6 8 Refresh 10021 2.56 2.56 3(effective) TOTAL SF = 6: 34.9 SF = 6: 27.5 SF = 6: 36 SF = 4: 41.9 SF = 4: 31.2 excluding CPU.
excluding CPU excluding CPU SF= 4: 41 excluding CPU
Notes:
1: The number of allocated timesiots is based on 64 timeslots each of 1 bit/cycle but broken down to a granularity of 0.25 bit/cycle. Bandwidth is allocated based on peak bandwidth.
2: Wire-speed bandwidth for a 4 wire SCB configuration is 32 Mbits/s for each wire plus 12 Mbit/s for USB. This is a maximum of 138 Mbit/s. The maximum effective data rate is 26 Mbits/s for each wire plus 8 Mbit/s for USB. This is 112 Mbit/s. 112 Mbit/s is 0.734 bits/cycle or 256 bits every 348 cycles.

3: Wire-speed bandwidth for a 2 wire SCB configuration is 32 Mbits/s for each wire plus 12 Mbit/s for USB. This is a maximum of 74 Mbit/s. The maximum effective data rate is 26 Mbits/s for each wire plus 8 Mbit/s for USB. This is 60 Mbit/s. 60 Mbit/s is 0.393 bits/cycle or 256 bits every 650 cycles.
4: At 1:1 compression CDU must read a 4 color pixel (32 bits) every SF2 cycles.
5: At 10:1 average compression CDU must read a 4 color pixel (32 bits) every 10*SF2 cycles.
6: 4 color pixel (32 bits) is required, on average, by the CFU every SF2 (scale factor) cycles.
The time available to write the data is a function of the size of the buffer in DRAM. 1.5 buffering means 4 color pixel (32 bits) must be written every SF2/ 2 (scale factor) cycles. Therefore, at a scale factor of SF, 64 bits are required every SF2 cycles.
Since 64 valid bits are written per 256-bit write (Figure n page379 on page Error! Bookmark not defined.) then the DRAM is accessed every SF2 cycles i.e. at SF4 an access every 16 cycles, at SF6 an access every 36 cycles.
If a page mode burst of 4 accesses is used then each access takes (3 + 2 + 2 +2) equals 9 cycles. This means at SF, a set of 4 back-to-back accesses must occur every 4*SFZ cycles. This assumes the page mode select signal is clocked at 160 MHz. CDU timeslots therefore take 9 cycles.
For scale factors lower than 4 double buffering will be used.
7: The peak bandwidth is twice the average bandwidth in the case of 1.5 buffering.
8: Each CDU(W) burst takes 9 cycles instead of 4 cycles for other accesses so CDU times!ots are longer.
9: 4 color pixel (32 bits) read by CFU every SF cycles. At SF4, 32 bits is required every 4 cycles or 256 bits every 32 cycles. At SF6, 32bits every 6 cycles or 256 bits every 48 cycles.
10: At 1:1 compression require 1 bit/cycle or 256 bits every 256 cycles.
11: The average bandwidth required at 10:1 compression is 0.1 bits/cycle.
12: Two separate reads of 1 bit/cycle.
13: Write at 1 bit/cycle.
14: Each tag can be consumed in at most 126 dot cycles and requires 128 bits.
This is a maximum rate of 256 bits every 252 cycles.
15: 17 x 64 bit reads per line in PEC1 is 5 x 256 bit reads per line in SoPEC.
Double-line buffered storage.
16: 128 bytes read per line is 4 x 256 bit reads per line. Double-line buffered storage.
17: 5% dead nozzles 10-bit delta encoded stored with 6-bit dead nozzle mask requires 0.8 bits/cycle read access or a 256-bit access every 320 cycles. This assumes the dead nozzles are evenly spaced out. In practice dead nozzles are likely to be clumped. Peak bandwidth is estimated as 3 times average bandwidth.
18: 6 bits/cycle requires 6 x 256 bit writes every 256 cycles.
19: 6 bits/160 MHz SoPEC cycle average but will peak at 2 x 6 bits per 106 MHz print head cycle or 8 bits/ SoPEC cycle. The PHI can equalise the DRAM access rate over the line so that the peak rate equals the average rate of 6 bits/ cycle. The print head is clocked at an effective speed of 106 MHz.
20: Assume one 256 read per 256 cycles is sufficient i.e. maximum latency of 256 cycles per access is allowable.
21: Refresh must occur every 3.2 ms. Refresh occurs row at a time over 5120 rows of 2 parallel Mbit instances. Refresh must occur every 100 cycles. Each refresh takes 3 cycles.

20.7 DIU BUS TOPOLOGY
20.7.1 Basic topology 10 Table 110. SoPEC DIU Requesters Read rite Other CPU CPU efresh SCB SCB
CDU CDU
CFU SFU
BD WU
SFU
E(TD) E(TFS) CU
NC
LU
CU
Table 110 shows the DIU requesters in SoPEC. There are 12 read requesters and 5 write requesters in SoPEC as compared with 8 read requesters and 4 write requesters in PEC1.
Refresh is an additional requester.
In PECI, the interface between the DIU and the DIU requesters had the following main features:
= separate control and address signals per DIU requester multiplexed in the DIU according to the arbitration scheme, = separate 64-bit write data bus for each DRAM write requester multiplexed in the DIU, = common 64-bit read bus from the DIU with separate enables to each DIU read requester.
Timing closure for this bussing scheme was straight-forward in PEC1. This suggests that a similar scheme will also achieve timing closure in SoPEC. SoPEC has 5 more DRAM
requesters but it will be in a 0.13 um process with more metal layers and SoPEC will run at approximately the same speed as PEC1.
Using 256-bit busses would match the data width of the embedded DRAM but such large busses may result in an increase in size of the DIU and the entire SoPEC chip. The SoPEC requestors would require double 256-bit wide buffers to match the 256-bit busses. These buffers, which must be implemented in flip-flops, are less area efficient than 8-deep 64-bit wide register arrays which can be used with 64-bit busses. SoPEC will therefore use 64-bit data busses.
Use of 256-bit busses would however simplify the DIU implementation as local buffering of 256-bit DRAM data would not be required within the DIU.
20.7.1. 9 CPU DRAM access The CPU is the oniy DIU requestor for which access latency is critical. All DIU write requesters transfer write data to the DIU using separate point-to-point busses. The CPU
will use the cpu dataout[39:0] bus. CPU reads will not be over the shared 64-bit read bus.
Instead, CPU
reads will use a separate 256-bit read bus.
20.7.2 Making more efficient use of DRAM bandwidth The embedded DRAM is 256-bits wide. The 4 cycles it takes to transfer the 256-bits over the 64-bit data busses of SoPEC means that effectively each access will be at least 4 cycles long. It takes only 3 cycles to actually do a 256-bit random DRAM access in the case of IBM DRAM.
20.7.2. 9 Common read bus If we have a common read data bus, as in PECI, then if we are doing back to back read accesses the next DRAM read cannot start until the read data bus is free. So each DRAM
read access can occur only every 4 cycles. This is shown in Figure 86 with the actual DRAM
access taking 3 cycles leaving 1 unused cycle per access.
20.7.2.2 Interleaving CPU and non-CPU read accesses The CPU has a separate 256-bit read bus. All other read accesses are 256-bit accesses are over a shared 64-bit read bus. Interleaving CPU and non-CPU read accesses means the effective duration of an interleaved access timesiot is the DRAM access time (3 cycles) rather than 4 cycles.
Figure 87 shows interleaved CPU and non-CPU read accesses.
20.7.2.3 Interleaving read and write accesses Having separate write data busses means write accesses can be interleaved with each other and with read accesses. So now the effective duration of an interleaved access timeslot is the DRAM
access time (3 cycles) rather than 4 cycles. Interleaving is achieved by ordering the DIU
arbitration slot allocation appropriately.
Figure 88 shows interleaved read and write accesses. Figure 89 shows interleaved write accesses.

256-bit write data takes 4 cycles to transmit over 64-bit busses so a 256-bit buffer is required in the DIU to gather the write data from the write requester. The exception is CPU write data which is transferred in a single cycle.
Figure 89 shows multiple write accesses being interleaved to obtain 3 cycle DRAM access.
Since two write accesses can overlap two sets of 256-bit write buffers and multiplexors to connect two write requestors simultaneously to the DIU are required.
Write requestors only require approximately one third of the total non-CPU
bandwidth. This means that a rule can be introduced such that non-CPU write requestors are not allocated adjacent timeslots. This means that a single 256-bit write buffer and multiplexor to connect the one write requestor at a time to the DIU is all that is required.
Note that if the rule prohibiting back-to-back non-CPU writes is not adhered to, then the second write slot of any attempted such pair will be disregarded and re-allocated under the unused read round-robin scheme.

20.7.3 Bus widths summary Table 111. SoPEC DIU Requesters Data Bus Width Read Bus access width rite Bus access width CPU 256 (separate) CPU 32 SCB 64 (shared) SCB 64 CDU 64 (shared) CDU 64 CFU 64 (shared) SFU 64 LBD 64 (shared) DWU 64 SFU 64 (shared) E(TD) 64 (shared) E(TFS) 64 (shared) HCU 64 (shared) DNC 64 (shared) LLU 64 (shared) PCU 64 (shared) 20.7.4 Conclusions Timeslots should be programmed to maximise interleaving of shared read bus accesses with other accesses for 3 cycle DRAM access. The interleaving is achieved by ordering the DIU
arbitration slot allocation appropriately. CPU arbitration has been designed to maximise interleaving with non-CPU requesters 20.8 SOPEC DRAM ADDRESSING SCHEME
The embedded DRAM is composed of 256-bit words. However the CPU-subsystem may need to write individual bytes of DRAM. Therefore it was decided to make the DIU byte addressable. 22 bits are required to byte address 20 Mbit of DRAM.
Most blocks read or write 256 bit words of DRAM. Therefore only the top 17 bits i.e. bits 21 to 5 are required to address 256-bit word aligned locations.
The exceptions are = CDU which can write 64-bits so only the top 19 address bits i.e. bits 21-3 are required.
= CPU writes can be 8, 16 or 32-bits. The cpu diu wmask[1:0] pins indicate whether to write 8, 16 or 32 bits.
All DIU accesses must be within the same 256-bit aligned DRAM word. The exception is the CDU
write access which is a write of 64-bits to each of 4 contiguous 256-bit DRAM
words.

20.8.1 Write Address Constaints Specific to the CDU
Note the following conditions which apply to the CDU write address, due to the four masked page-mode writes which occur whenever a CDU write slot is arbitrated.
= The CDU address presented to the DIU is cdu_diu wadr[21:3].
= Bits [4:3] indicate which 64-bit segment out of 256 bits should be written in 4 successive masked page-mode writes.
= Each 10-Mbit DRAM macro has an input address port of width [15:0]. Of these bits, [2:0]
are the "page address". Page-mode writes, where you just vary these LSBs (i.e.
the "page"
or column address), but keep the rest of the address constant, are faster than random writes. This is taken advantage of for CDU writes.
= To guarantee against trying to span a page boundary, the DIU treats "cdu diu wadr[6:5]"
as being fixed at "00".
= From cdu_diu_wadr[21:3], a initial address of cdu_diu wadr[21:7] , concatenated with "00", is used as the starting location for the first CDU write. This address is then auto-incremented a further three times.
20.9 DIU PROTOCOLS
The DIU protocols are = Pipelined i.e. the following transaction is initiated while the previous transfer is in progress.
= Split transaction i.e. the transaction is split into independent address and data transfers.
20.9.1 Read Protocol except CPU
The SoPEC read requestors, except for the CPU, perform single 256-bit read accesses with the read data being transferred from the DIU in 4 consecutive cycles over a shared 64-bit read bus, diu dataj63:0]. The read address <unit> diu radr[21:5] is 256-bit aligned.
The read protocol is:
= <unit> diu rreq is asserted along with a valid <unit> diu radr[21:5].
= The DIU acknowledges the request with diu <unit> rack. The request should be deasserted. The minimum number of cycles between <unit> diu rreq being asserted and the DIU generating an diu <unit> rack strobe is 2 cycles (1 cycle to register the request, 1 cycle to perform the arbitration - see Section 20.14.10).
= The read data is returned on diu data[63:0J and its validity is indicated by diu <unit> rvalid. The overaii 256 bits of data are transferred over four cycles in the order :
[63:0] -> [127:64] -> [191:128] -> [255:192].
= When four diu <unit> rvalid pulses have been received then if there is a further request <unit> diu rreq should be asserted again. diu <unit> rvalid will be always be asserted by the DIU for four consecutive cycles. There is a fixed gap of 2 cycles between diu <unit> rack and the first diu <unit> rvalld pulse. For more detail on the timing of such reads and the implications for back-to-back sequences, see Section 20.14.10.
20.9.2 Read Protocol for CPU

The CPU performs single 256-bit read accesses with the read data being transferred from the DIU
over a dedicated 256-bit read bus for DRAM data, dram cpu data[255:0]. The read address cpu adr(29:5] is 256-bit aligned.
The CPU DIU read protocol is:
= cpu diu rreq is asserted along with a valid cpu adr[21:5].
= The DIU acknowledges the request with diu cpu rack. The request should be deasserted.
The minimum number of cycles between cpu diu rreq being asserted and the DIU
generating a cpu diu rack strobe is 1 cycle (1 cycle to perform the arbitration - see Section 20.14.10).
= The read data is returned on dram cpu data[255:0] and its validity is indicated by diu cpu rvalid.
= When the diu cpu rvalid pulse has been received then if there is a further request cpu diu rreq should be asserted again. The diu cpu rvalid pulse with a gap of 1 cycle after rack (1 cycle for the read data to be returned from the DRAM - see Section 20.14.10).
20.9.3 Write Protocol except CPU and CDU
The SoPEC write requestors, except for the CPU and CDU, perform single 256-bit write accesses with the write data being transferred to the DIU in 4 consecutive cycles over dedicated point-to-point 64-bit write data busses. The write address <unit> diu wadr[29:5] is 256-bit aligned.
The write protocol is:
= <unit> diu wreq is asserted along with a valid <unit> diu wadr[21:5].
= The DIU acknowledges the request with diu <unit> wack. The request should be deasserted. The minimum number of cycles between <unit> diu wreq being asserted and the DIU generating an diu <unit> wack strobe is 2 cycles (1 cycle to register the request, 1 cycle to perform the arbitration - see Section 20.14.10).
= In the clock cycles following diu <unit> wack the SoPEC Unit outputs the <unit> diu data[63:0], asserting <unit> diu wvalid. The first <unit> diu wvalid pulse can occur the clock cycle after diu <unit> wack. <unit> diu wvalid remains asserted for the following 3 clock cycles. This allows for reading from an SRAM where new data is available in the clock cycle after the address has changed e.g. the address for the second 64-bits of write data is available the cycle after diu <unit> wack meaning the second 64-bits of write data is a further cycle later. The overall 256 bits of data is transferred over four cycles in the order : [63:0] -> [127:64] -> [191:128] -> [255:192].
= Note that for SCB writes, each 64-bit quarter-word has an 8-bit byte enable mask associated with it. A different mask is used with each quarter-word. The 4 mask values are transferred along with their associated data, as shown in Figure 92.
= If four consecutive <unit> diu wvalid pulses are not provided by the requester, then the arbitration logic will disregard the write and re-allocate the slot under the unused read round-robin scheme.
Once all the write data has been output then if there is a further request <unit> diu wreq should be asserted again.

20.9.4 CPU Write Protocol The CPU performs single 128-bit writes to the DIU on a dedicated write bus, cpu diu wdata(127:0]. There is an accompanying write mask, cpu_diu wmask[15:0], consisting of 16 byte enables and the CPU also supplies a 128-bit aligned write address on cpu diu wadr[21:4]. Note that writes are posted by the CPU to the DIU and stored in a 1-deep buffer. When the DAU subsequently arbitrates in favour of the CPU, the contents of the buffer are written to DRAM.
The CPU write protocol, illustrated in Figure 93., is as follows :-= The DIU signals to the CPU via diu cpu write rdy that its write buffer is empty and that the CPU may post a write whenever it wishes.
= The CPU asserts cpu diu wdatavalid to enable a write into the buffer and to confirm the validity of the write address, data and mask.
= The DIU de-asserts diu cpu write rdy in the following cycle to indicate that its buffer is full and that the posted write is pending execution.
= When the CPU is next awarded a DRAM access by the DAU, the buffer's contents are written to memory. The DIU re-asserts diu cpu write rdy once the write data has been captured by DRAM, namely in the "MSN1" DCU state.
= The CPU can then, if it wishes, asynchronously use the new value of diu cpu write rdy to enable a new posted write in the same "MSN1" cycle.
20.9.5 CDU Write Protocol The CDU performs four 64-bit word writes to 4 contiguous 256-bit DRAM
addresses with the first address specified by cdu diu wadr[21:3]. The write address cdu diu wadr[21:5]
is 256-bit aligned with bits cdu diu wadr[4:3] allowing the 64-bit word to be selected.
The write protocol is:
= cdu diu wdata is asserted along with a valid cdu diu wadr[21:3].
= The DIU acknowledges the request with diu cdu wack. The request should be deasserted.
The minimum number of cycles between cdu diu wreq being asserted and the DIU
generating an diu cdu wack strobe is 2 cycles (1 cycle to register the request, 1 cycle to perform the arbitration - see Section 20.14.10).
= In the clock cycles following diu cdu wack the CDU outputs the cdu diu data[63:0], together with asserted cdu diu wvalid. The first cdu_diu wvalid pulse can occur the clock cycle after diu cdu wack. cdu diu wvalid remains asserted for the following 3 clock cycles.
This allows for reading from an SRAM where new data is available in the clock cycle after the address has changed e.g. the address for the second 64-bits of write data is available the cycle after diu cdu wack meaning the second 64-bits of write data is a further cycle later. Data is transferred over the 4-cycle window in an order, such that each successive 64 bits will be written to a monotonically increasing (by 1 location) 256-bit DRAM word.
= If four consecutive cdu diu wvalid pulses are not provided with the data, then the arbitration logic will disregard the write and re-allocate the slot under the unused read round-robin scheme.

= Once all the write data has been output then if there is a further request cdu diu wreq should be asserted again.
20.10 DIU ARBITRATION MECHANISM
The DIU will arbitrate access to the embedded DRAM. The arbitration scheme is outlined in the next sections.
20.10.1 Timeslot based arbitration scheme Table summarised the bandwidth requirements of the SoPEC requestors to DRAM.
If we allocate the DIU requestors in terms of peak bandwidth then we require 35.25 bits/cycle (at SF
=6) and 40.75 bits/ cycle (at SF = 4) for all the requestors except the CPU.
A timeslot scheme is defined with 64 main timeslots. The number of used main timeslots is programmable between 1 and 64.
Since DRAM read requestors, except for the CPU, are connected to the DIU via a 64-bit data bus each 256-bit DRAM access requires 4 pclk cycles to transfer the read data over the shared read bus. The timeslot rotation period for 64 timeslots each of 4 pclk cycles is 256 pclk cycles or 1.6 s, assuming pclk is 160 MHz. Each timeslot represents a 256-bit access every 256 pclk cycles or 1 bit/cycle. This is the granularity of the majority of DIU requestors bandwidth requirements in Table .
The SoPEC DIU requesters can be represented using 4 bits (Table n page288 on page 298).
Using 64 timesiots means that to allocate each timesiot to a requester, a total of 64 x 5-bit configuration registers are required for the 64 main timesiots.
Timeslot based arbitration works by having a pointer point to the current timesiot. When re-arbitration is signaled the arbitration winner is the current timeslot and the pointer advances to the next timesiot. Each timeslot denotes a single access. The duration of the timeslot depends on the access.
Note that advancement through the timeslot rotation is dependent on an enable bit, RotationSync, being set. The consequences of clearing and setting this bit are described in section 20.14.12.2.1 on page 325.
If the SoPEC Unit assigned to the current timeslot is not requesting then the unused timeslot arbitration mechanism outlined in Section 20.10.6 is used to select the arbitration winner.
Note that there is always an arbitration winner for every slot. This is because the unused read re-allocation scheme includes refresh in its round-robin protocol. If all other blocks are not requesting, an early refresh will act as fall-back for the slot.
20.10.2 Separate read and write arbitration windows For write accesses, except the CPU, 256-bits of write data are transferred from the SoPEC DIU
write requestors over 64-bit write busses in 4 clock cycles. This write data transfer latency means that writes accesses, except for CPU writes and also the CDU, must be arbitrated 4 cycles in advance. (The CDU is an exception because CDU writes can start once the first 64-bits of write data have been transferred since each 64-bits is associated with a write to a different 256-bit word).

Since write arbitration must occur 4 cycles in advance, and the minimum duration of a timeslot duration is 3 cycles, the arbitration rules must be modified to initiate write accesses in advance.
Accordingly, there is a write timeslot lookahead pointer shown in Figure 96 two timeslots in advance of the current timesiot pointer.
The following examples illustrate separate read and write timeslot arbitration with no adjacent write timeslots. (Recall rule on adjacent write timesiots introduced in Section 20.7.2.3 on page 267.) In Figure 97 writes are arbitrated two timeslots in advance. Reads are arbitrated in the same timeslot as they are issued. Writes can be arbitrated in the same timeslot as a read. During arbitration the command address of the arbitrated SoPEC Unit is captured.
Other examples are shown in Figure 98 and Figure 99. The actual timeslot order is always the same as the programmed timeslot order i.e. out of order accesses do not occur and data coherency is never an issue.
Each write must always incur a latency of two timeslots.
Startup latency may vary depending on the position of the first write timeslot. This startup latency is not important.
Table 112 shows the 4 scenarios depending on whether the current timeslot and write timeslot lookahead pointers point to read or write accesses.
Table 112. Arbitration with separate windows for read and write accesses current timeslot pointer rite timeslot actions lookahead pointer Read rite Initiate DRAM read, Initiate write arbitration Read1 read2 Initiate DRAM readl.
rite1 rite2 Initiate write2 arbitration.
Execute DRAM writel.
rite read Execute DRAM write.

If the current timeslot pointer points to a read access then this will be initiated immediately.
If the write timeslot lookahead pointer points to a write access then this access is arbitrated immediately, or immediately after the read access associated with the current timeslot pointer is initiated.
When a write access is arbitrated the DIU will capture the write address. When the current timeslot pointer advances to the write timeslot then the actual DRAM access will be initiated.
Writes will therefore be arbitrated 2 timeslots in advance of the DRAM write occurring.

At initialisation, the write lookahead pointer points to the first timesiot.
The current timeslot pointer is invalid until the write lookahead pointer advances to the third timeslot when the current timeslot pointer will point to the first timesiot. Then both pointers advance in tandem.
CPU write accesses are excepted from the lookahead mechanism.
If the selected SoPEC Unit is not requesting then there will be separate read and write selection for unused timesiots. This is described in Section 20.10.6.
20.10.3 Arbitration of CPU accesses What distinguishes the CPU from other SoPEC requestors, is that the CPU
requires minimum latency DRAM access i.e. preferably the CPU should get the next available timeslot whenever it requests.
The minimum CPU read access latency is estimated in Table 113. This is the time between the CPU making a request to the DIU and receiving the read data back from the DIU.
Table 113. Estimated CPU read access latency ignoring caching CPU read access latency Duration CPU cache miss 1 cycle CPU MMU logic issues request and 1 cycle DIU arbitration completes ransfer the read address to the DRAM 1 cycle DRAM read latency 1 cycle Register the read data in CPU bridge 1 cycle Register the read data in CPU 1 cycle CPU cache miss 1 cycle CPU MMU logic issues request and 1 cycle DIU arbitration completes TOTAL gap between requests 6 cycles If the CPU, as is likely, requests DRAM access again immediately after receiving data from the DIU then the CPU could access every second timeslot if the access latency is 6 cycles. This assumes that interleaving is employed so that timeslots last 3 cycles. If the CPU access latency were 7 cycles, then the CPU would only be able to access every third timeslot.
If a cache hit occurs the CPU does not require DRAM access. For its next DIU
access it will have to wait for its next assigned DIU slot. Cache hits therefore will reduce the number of DRAM
accesses but not speed up any of those accesses.
To avoid the CPU having to wait for its next timeslot it is desirable to have a mechanism for ensuring that the CPU always gets the next available timeslot without incurring any latency on the non-CPU timeslots.
This can be done by defining each timeslot as consisting of a CPU access preceding a non-CPU
access. Each timeslot will last 6 cycles i.e. a CPU access of 3 cycles and a non-CPU access of 3 cycles. This is exactly the interleaving behaviour outlined in Section 20.7.2.2. If the CPU does not require an access, the timeslot will take 3 or 4 and the timeslot rotation will go faster. A summary is given in Table 114.
Table 114. Timeslot access times.

ccess Duration Explanation CPU access + non-CPU access 3 + 3 = 6 cycles Interleaved access non-CPU access cycles ccess and preceding access both to shared read bus non-CPU access 3 cycles ccess and preceding access not both to shared read bus CDU write access 3+2+2+2 = 9 cycles Page mode select signal is clocked at 160 MHz CDU write accesses require 9 cycles. CDU write accesses preceded by a CPU
access require 12 cycles. CDU timeslots therefore take longer than all other DIU requestors timeslots.
With a 256 cycle rotation there can be 42 accesses of 6 cycles.
For low scale factor applications, it is desirable to have more timeslots available in the same 256 cycle rotation. So two counters of 4-bits each are defined allowing the CPU to get a maximum of (CPUPreAccessTimeslots + 1) pre-accesses for every (CPUTotalTimeslots + 1) main slots. A
timeslot counter starts at CPUTotalTimeslots and decrements every timeslot, while another counter starts at CPUPreAccessTimeslots and decrements every timeslot in which the CPU uses its access. When the CPU pre-access counter goes to zero before CPUTotalTimeslots, no further CPU accesses are allowed. When the CPUTotalTimeslots counter reaches zero both counters are reset to their respective initial values.
The CPU is not included in the list of SoPEC DIU requesters, Table , for the main timeslot allocations. The CPU cannot therefore be allocated main timeslots. It relies on pre-accesses in advance of such slots as the sole method for DRAM transfers.
CPU access to DRAM can never be fully disabled, since to do so would render SoPEC
inoperable. Therefore the CPUPreAccessTimeslots and CPUTotalTimeslots register values are interpreted as follows : In each succeeding window of (CPUTotalTimeslots+ 1) slots, the maximum quota of CPU pre-accesses allowed is (CPUPreAccessTimeslots + 1). The "+ 1"
implementations mean that the CPU quota cannot be made zero.
The various modes of operation are summarised in Table 115 with a nominal rotation period of 256 cycles.
Table 115. CPU timeslot allocation modes with nominal rotation period of 256 cycles ccess Type Nominal Number of Notes imesiot imeslots duration CPU Pre-access 6 cycles 2 timesiots Each access is CPU + non-CPU.
i.e. If CPU does not use a timeslot then CPUPreAccessTimeslo rotation is faster.
ts = CPUTotalTimeslots Fractional CPU Pre- or 6 2-64 timeslots Each CPU + non-CPU access access cycles requires a 6 cycle i.e. imeslot.
CPUPreAccessTimeslo ts < CPUTotalTimeslots Individual non-CPU timeslots take 4 cycles if current access and preceding access are both o shared read bus.
Individual non-CPU timeslots take 3 cycles if current access and preceding access are not both o shared read bus.
20.10.4 CDU accesses As indicated in Section 20.10.3, CDU write accesses require 9 cycles. CDU
write accesses preceded by a CPU access require 12 cycles. CDU timeslots therefore take longer than all other DIU requestors timesiots. This means that when a write timeslot is unused it cannot be re-allocated to a CDU write as CDU accesses take 9 cycles. The write accesses which the CDU
write could otherwise replace require only 3 or 4 cycles.
Unused CDU write accesses can be replaced by any other write access according to 20.10.6.1 Unused write timeslots allocation on page 277.
20.10.5 Refresh controller Refresh is not included in the list of SoPEC DIU requesters, Table , for the main timeslot allocations. Timeslots cannot therefore be allocated to refresh.
The DRAM must be refreshed every 3.2 ms. Refresh occurs row at a time over 5120 rows of 2 parallel 10 Mbit instances. A refresh operation must therefore occur every 100 cycles. The refresh_neriod register has a default value of 99. Each refresh takes 3 cycles.
A refresh counter will count down the number of cycles between each refresh.
When the down-counter reaches 0, the refresh controller will issue a refresh request and the down-counter is reloaded with the value in refreshJveriod and the count-down resumes immediately. Allocation of main slots must take into account that a refresh is required at least once every 100 cycles.
Refresh is included in the unused read and write timeslot allocation. If unused timeslot allocation results in refresh occurring early by N cycles, then the refresh counter will have counted down to N. In this case, the refresh counter is reset to refresh_period and the count-down recommences.

Refresh can be preceded by a CPU access in the same way as any other access.
This is controlled by the CPUPreAccessTimeslots and CPUTotalTimeslots configuration registers.
Refresh will therefore not affect CPU performance. A sequence of accesses including refresh might therefore be CPU, refresh, CPU, actual timesiot.
20.10.6 Allocating unused timeslots Unused slots are re-allocated separately depending on whether the unused access was a read access or a write access. This is best-effort traffic. Only unused non-CPU
accesses are re-allocated.
20.10.6.1 Unused write timeslots allocation Unused write timeslots are re-allocated according to a fixed priority order shown in Table 116 .
Table 116. Unused write timeslot priority order Name Priority Order SCB(W) I
SFU(W) 2 Unused read timeslot allocation CDU write accesses cannot be included in the unused timeslot allocation for write as CDU
accesses take 9 cycles. The write accesses which the CDU write could otherwise replace require only 3 or 4 cycles.
Unused write timeslot allocation occurs two timesiots in advance as noted in Section 20.10.2. If the units at priorities 1-3 are not requesting then the timeslot is re-allocated according to the unused read timeslot allocation scheme described in Section 20.10.6.2.
However, the unused read timesiot allocation will occur when the current timesiot pointer of Figure 96 reaches the timesiot i.e. it will not occur in advance.
20.10.6.2 Unused read timeslots allocation Unused read timeslots are re-allocated according to a two level round-robin scheme. The SoPEC
Units included in read timesiot re-allocation is shown in Table 117.

Table 117. Unused read timesiot allocation Name SCB(R) CDU(R) CFU
LBD
SFU(R) E(TD) TE(TFS) HCU
DNC
LLU
PCU
CPU
Refresh 'Each SoPEC requestor has an associated bit, ReadRoundRobinLevel, which indicates whether it is in level 1 or level 2 round-robin.
Table 118. Read round-robin level selection Level ction eadRoundRobinLevel= 0 evel 1 ReadRoundRobinLevel 1 eve12 A pointer points to the most recent winner on each of the round-robin levels.
Re-allocation is carried out by traversing level 1 requesters, starting with the one immediately succceding the last level 1 winner. If a requesting unit is found, then it wins arbitration and the level 1 pointer is shifted to its position. If no level I unit wants the slot, then level 2 is similarly examined and its pointer adjusted.
Since refresh occupies a (shared) position on one of the two levels and continually requests access, there will always be some round-robin winner for any unused slot.
20.10.6.2.1 Shared CPU / Refresh Round-Robin Position Note that the CPU can conditionally be allowed to take part in the unused read round-robin scheme. Its participation is controlled via the configuration bit EnableCPURoundRobin. When this bit is set, the CPU and refresh share a joint position in the round-robin order, shown in Table When cleared, the position is occupied by refresh alone.
If the shared position is next in line to be awarded an unused non-CPU
read/write slot, then the CPU will have first option on the slot. Only if the CPU doesn't want the access, will it be granted to refresh. If the CPU is excluded from the round robin, then any awards to the position benefit refresh.
20.11 GUIDELINES FOR PROGRAMMING THE DIU
Some guidelines for programming the DIU arbitration scheme are given in this section together with an example.
20.11.1 Circuit Latency Circuit latency is a fixed service delay which is incurred, as and from the acceptance by the DIU
arbitration logic of a block's pending read/write request. It is due to the processing time of the request, readying the data, plus the DRAM access time. Latencies differ for read and write requests. See Tables 79 and 80 for respective breakdowns.
If a requesting block is currently stalled, then the longest time it will have to wait between issuing a new request for data and actually receiving it would be its timeslot period, plus the circuit latency overhead, along with any intervening non-standard slot durations, such as refresh and CDU(W).
In any case, a stalled block will always incur this latency as an additional overhead, when coming out of a stall.
In the case where a block starts up or unstalls, it will start processing newly-received data at a time beyond its serviced timesiot equivalent to the circuit latency. If the block's timeslots are evenly spaced apart in time to match its processing rate, (in the hope of minimising stalls,) then the earliest that the block could restall, if not re-serviced by the DIU, would be the same latency delay beyond its next timeslot occurrence. Put another way, the latency incurred at start-up pushes the potential DIU-induced stall point out by the same fixed delta beyond each successive timeslot allocated to the block. This assumes that a block re-requests access well in advance of its upcoming timeslots. Thus, for a given stall-free run of operation, the circuit latency overhead is only incurred inititially when unstalling.
While a block can be stalled as a result of how quickly the DIU services its DRAM requests, it is also prone to stalls caused by its upstream or downstream neighbours being able to supply or consume data which is transferred between the blocks directly, (as opposed to via the DIU). Such neighbour-induced stalls, often occurring at events like end of line, will have the effect that a block's DIU read buffer will tend to fill, as the block stops processing read data. Its DIU write buffer will also tend to fill, unable to despatch to DRAM until the downstream block frees up shared-access DRAM locations. This scenario is beneficial, in that when a block unstalls as a result of its neighbour releasing it, then that block's read/write DIU buffers will have a fill state less likely to stall it a second time, as a result of DIU service delays.
A block's slots should be scheduled with a service guarantee in mind. This is dictated by the block's processing rate and hence, required access to the DRAM. The rate is expressed in terms of bits per cycle across a processing window, which is typically (though not always) 256 cycles.
Slots should be evenly interspersed in this window (or "rotation") so that the DIU can fulfill the block's service needs.
The following ground rules apply in calculating the distribution of slots for a given non-CPU block:-= The block can, at maximum, suffer a stall once in the rotation, (i.e.
unstall and restall) and hence incur the circuit latency described above.
This rule is, by definition, always fulfilled by those blocks which have a service requirement of only 1 bit/cycle (equivalent to 1 slot/rotation) or fewer. It can be shown that the rule is also satisfied by those blocks requiring more than 1 bit/cycle. See Section 20.12.1 Slot Distributions and Stall Calculations for Individual Blocks, on page 285.

= Within the rotation, certain slots will be unavailable, due to their being used for refresh.
(See Section 20.11.2 Refresh latencies) = In programming the rotation, account must be taken of the fact that any CDU(W) accesses will consume an extra 6 cycles/access, over and above the norm, in CPU pre-access mode, or 5 cycles/access without pre-access.
= The total delay overhead due to latency, refreshes and CDU(W) can be factored into the service guarantee for all blocks in the rotation by deleting once, (i.e.
reducing the rotation window,) that number of slots which equates to the cumulative duration of these various anomalies.
= The use of lower scale factors will imply a more frequent demand for slots by non-CPU
blocks. The percentage of slots in the overall rotation which can therefore be designated as CPU pre-access ones should be calculated last, based on what can be accommodated in the light of the non-CPU slot need.
Read latency is summarised below in Table 119 Table 119. Read latency Non-CPU read access latency Duration non-CPU read requestor internally I cycle generates DIU request register the non- CPU read request 1 cycle complete the arbitration of the request 1 cycle ransfer the read address to the DRAM 1 cycle DRAM read latency 1 cycle register the DRAM read data in DIU 1 cycle register the 1 st 64-bits of read data in 1 cycle requester register the 2nd 64-bits of read data in 1 cycle requester register the 3rd 64-bits of read data in 1 cycle requester register the 4th 64-bits of read data in I cycle requester OTAL 10 cycles Write latency is summarised in Table 120.
Table 120. Write latency Non-CPU write access latency Duration non-CPU write requestor internally generates DIU request 1 cycle register the non-CPU write request 1 cycle complete the arbitration of the request I cycle ransfer the acknowledge to the write requester 1 cycle ransfer the 1 st 64 bits of write data to the DIU 1 cycle ransfer the 2nd 64 bits of write data to the DIU 1 cycle ransfer the 3rd 64 bits of write data to the DIU 1 cycle ransfer the 4th 64 bits of write data to the DIU 1 cycle rite to DRAM with locally registered write data 1 cycle OTAL 9 cycles Timeslots removed to allow for read latency will also cover write latency, since the former is the larger of the two.
20.11.2 Refresh latencies The number of allocated timesiots for each requester needs to take into account that a refresh must occur every 100 cycles. This can be achieved by deleting timeslots from the rotation since the number of timeslots is made programmable.
Refresh is preceded by a CPU access in the same way as any other access. This is controlled by the CPUPreAccessTimeslots and CPUTotalTimeslots configuration registers.
Refresh will therefore not affect CPU performance.
As an example, in CPU pre-access mode each timesiot will last 6 cycles. If the timeslot rotation has 50 timeslots then the rotation will last 300 cycles. The refresh controller will trigger a refresh every 100 cycles. Up to 47 timeslots can be allocated to the rotation ignoring refresh. Three timeslots deleted from the 50 timesiot rotation will allow for the latency of a refresh every 100 cycles.
20.11.3 Ensuring sufficient DNC and PCU access PCU command reads from DRAM are exceptional events and should complete in as short a time as possible. Similarly, we must ensure there is sufficient free bandwidth for DNC accesses e.g.
when clusters of dead nozzles occur. In Table DNC is allocated 3 times average bandwidth.
PCU and DNC can also be allocated to the level 1 round-robin allocation for unused timesiots so that unused timeslot bandwidth is preferentially available to them.
20.11.4 Basing timeslot allocation on peak bandwidths Since the embedded DRAM provides sufficient bandwidth to use 1:1 compression rates for the CDU and LBD, it is possible to simplify the main timesiot allocation by basing the allocation on peak bandwidths. As combined bi-level and tag bandwidth at 1:1 scaling is only 5 bits/cycle, we will usually only consider the contone scale factor as the variable in determining timeslot allocations.
If slot allocation is based on peak bandwidth requirements then DRAM access will be guaranteed to all SoPEC requesters. If we do not allocate slots for peak bandwidth requirements then we can also allow for the peaks deterministically by adding some cycles to the print line time.

20.11.5 Adjacent timeslot restrictions 20.11.5.1 Non-CPU write adjacent timeslot restrictions Non-CPU write requestors should not be assigned adjacent timeslots as described in Section 20.7.2.3. This is because adjacent timeslots assigned to non-CPU requestors would require two sets of 256-bit write buffers and multiplexors to connect two write requestors simultaneously to the DIU. Only one 256-bit write buffer and multiplexor is implemented. Recall from section 20.7.2.3 on page 267 that if adjacent non-CPU writes are attempted, that the second write of any such pair will be disregarded and re-allocated under the unused read scheme. .
20.11.5.2 Same DIU requestor adjacent timeslot restrictions All DIU requesters have state-machines which request and transfer the read or write data before requesting again. From Figure 90 read requests have a minimum separation of 9 cycles. From Figure 92 write requests have a minimum separation of 7 cycles. Therefore adjacent timeslots should not be assigned to a particular DIU requester because the requester will not be able to make use of all these slots.
In the case that a CPU access precedes a non-CPU access timeslots last 6 cycles so write and read requesters can only make use of every second timesiot. In the case that timeslots are not preceded by CPU accesses timeslots last 4 cycles so the same write requester can use every second timeslot but the same read requestor can use only every third timeslot.
Some DIU
requestors may introduce additional pipeline delays before they can request again. Therefore timeslots shouid be separated by more than the minimum to allow a margin.
20.11.6 Line margin The SFU must output 1 bit/cycle to the HCU. Since HCUNumDots may not be a multiple of 256 bits the last 256-bit DRAM word on the line can contain extra zeros. In this case, the SFU may not be able to provide 1 bit/cycle to the HCU. This could lead to a stall by the SFU. This stall could then propagate if the margins being used by the HCU are not sufficient to hide it. The maximum stall can be estimated by the calculation: DRAM service period - X scale factor * dots used from last DRAM read for HCU line.
Similarly, if the line length is not a multiple of 256-bits then e.g. the LLU
could read data from DRAM which contains padded zeros. This could lead to a stall. This stall could then propagate if the page margins cannot hide it.
A single addition of 256 cycles to the line time will suffice for all DIU
requesters to mask these stalls.
20.12 EXAMPLE OUTLINE DIU PROGRAMMING
Table 121. Timeslot allocation based on peak bandwidth Block Name Direction Peak Bandwidth MainTimeslots hich must be allocated supplied (bits/cycle) SCB R

0.734 1 CDU R 0.9 (SF = 6), 1 (SF = 6) 2 (SF = 4) 2 (SF = 4) 1.8 (SF = 6), 2 (SF = 6) (SF = 4) (SF = 4) CFU R 5.4 (SF = 6), 6 (SF = 6) 8 (SF = 4) 8 (SF = 4) E(TD) R 1.02 1 E(TFS) R 0.093 0 HCU R 0.074 0 DNC R 2.4 3 OTAL 33 (SF=6) 38 (SF=4) Table 121 shows an allocation of main timesiots based on the peak bandwidths of Table The bandwidth required for each unit is calculated allowing extra cycles for read and write circuit latency for each access requiring a bandwidth of more than 1 bit/cycle.
Fractional bandwidth is supplied via unused read slots.
The timeslot rotation is 256 cycles. Timeslots are deleted from the rotation to allow for circuit latencies for accesses of up to I bit per cycle i.e. I timeslot per rotation.
Example 1: Scale-factor = 6 Program the MainTimeslot configuration register (Table ) for peak required bandwidths of SoPEC Units according to the scale factor.
Program the read round-robin allocation to share unused read slots. Allocate PCU, DNC, HCU
and TFS to level 1 read round-robin.
= Assume scale-factor of 6 and peak bandwidths from Table = Assign all DIU requestors except TE(TFS) and HCU to multiples of I timeslot, as indicated in Table , where each timeslot is 1 bit/cycle. This requires 33 timeslots.
= No timeslots are explicitly allocated for the fractional bandwidth requirements of TE(TFS) and HCU accesses. Instead, these units are serviced via unused read slots.

' The SCB figure of 0.734 bits/cycle applies to multi-SoPEC systems. For sing/e-SoPEC systems, the figure is 0.050 bits/cycle.

8 Bandwidth for CDU(W) is peak value. Because of 1.5 buffering in DRAM, peak CDU(W) b/w equals 2 x average CDU(W) b/w. For CDU(R), peak b/w = average CDU(R) b/w.

= Allow 3 timeslots to allow for 3 refreshes in the rotation.
= Therefore, 36 scheduled slots are used in the rotation for main timeslots and refreshes, some or all of which may be able to have a CPU pre-access, provided they fit in the rotation window.
= Each of the 2 CDU(W) accesses requires 9 cycles. Per access, this implies an overhead of 1 slot (12 cycles instead of 6) in pre-access mode, or 1.25 slots (9 cycles instead of 4) for no pre-access. The cumulative overhead of the two accesses is either 2 slots (pre-access) or 3 slots (no pre-access).
= Assuming all blocks require a service guarantee of no more than a single stall across 256 bits, allow 10 cycles for read latency, which also takes care of 9-cycle write latency. This can be accounted for by reserving 2 six-cycle slots (CPU pre-access) or 3 four-cycle slots (no pre-access).
= Assume a 256 cycle timesiot rotation.
= CDU(W) and read latency reduce the number of availabie cycles in a rotation to: 256 - 2x6 -2x6 = 232 cycles (CPU pre-access) or 256 - 3x4 - 3x4 = 232 cycles (no pre-access).
= As a result, 232 cycles available for 36 accesses implies each access can take 232 / 36 =
6.44 cycles maximum. So, all accesses can have a pre-access.
= Therefore the CPU achieves a pre-access ratio of 36 / 36 = 100% of slots in the rotation.
Example 2: Scale-factor = 4 Program the MainTimeslot configuration register (Table ) for peak required bandwidths of SoPEC Units according to the scale factor. Program the read round-robin allocation to share unused read slots. Allocate PCU, DNC, HCU and TFS to level 1 read round-robin.
= Assume scale-factor of 4 and peak bandwidths from Table .
= Assign all DIU requestors except TE(TFS) and HCU multiples of 1 timesiot, as indicated in Table , where each timesiot is 1 bit/cycle. This requires 38 timeslots.
= No timeslots are explicitly allocated for the fractional bandwidth requirements of TE(TFS) and HCU accesses. Instead, these units are serviced via unused read slots.
= Allow 3 timeslots to allow for 3 refreshes in the rotation.
= Therefore, 41 scheduled slots are used in the rotation for main timesiots and refreshes, some or all of which can have a CPU pre-access, provided they fit in the rotation window.
= Each of the 4 CDU(W) accesses requires 9 cycles. Per access, this implies an overhead of I slot (12 cycles instead of 6) for pre-access mode, or 1.25 slots (9 cycles instead of 4) for no pre-access. The cumulative overhead of the four accesses is either 4 slots (pre-access) or 5 slots (no pre-access).
= Assuming all blocks require a service guarantee of no more than a single stall across 256 bits, allow 10 cycles for read latency, which also takes care of 9-cycle write latency. This can be accounted for by reserving 2 six-cycle slots (CPU pre-access) or 3 four-cycle slots (no pre-access).

DEMANDE OU BREVET VOLUMINEUX

LA PRESENTE PARTIE DE CETTE DEMANDE OU CE BREVET COMPREND
PLUS D'UN TOME.

NOTE : Pour les tomes additionels, veuillez contacter le Bureau canadien des brevets JUMBO APPLICATIONS/PATENTS

THIS SECTION OF THE APPLICATION/PATENT CONTAINS MORE THAN ONE
VOLUME

NOTE: For additional volumes, please contact the Canadian Patent Office NOM DU FICHIER / FILE NAME:

NOTE POUR LE TOME / VOLUME NOTE:

Claims (13)

1. A method of compensating for an inoperative nozzle in a bi-lithic printhead, the bi-lithic printhead including a plurality of sets of nozzles for printing a corresponding plurality of channels of dot data, the method comprising the steps of:

(a) rendering compressed pages to form a bi-level layer for a given print line intended for the bi-lithic printhead;

(b) expanding the compressed bi-level layer;
(c) compositing the bi-level layer to produce bi-level dots;

(d) determining which combination of one or more available operative nozzles near the inoperative nozzle will minimise perceived error in an image that the dot data forms part of, the determination being performed on the basis of a color model;

(e) mapping the dot data intended for the inoperative nozzle to that combination of one or more operative nozzles from the same set; and, (f) passing resultant bi-level channel dot data to the bi-lithic printhead.
2. The method according to claim 1, including mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.
3. The method according to claim 1, including mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media immediately adjacent a position at which the inoperative nozzle would have printed a dot had it been operative.
4. The method according to 1, including the substeps of: (i) determining one or more operative nozzles capable of printing a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative; and (ii) mapping the dot data from the inoperative nozzle to an operative nozzle determined in substep (i).
5. The method according to claim 4, wherein, in the event more than one operative nozzle is determined in substep (i), the dot data is remapped to one of the operative nozzles that will print a dot on print media closest to that which would have been printed by the inoperative nozzle.
6. The method according to claim 5, wherein, during successive firings of the printhead, the dot data is remapped alternately to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
7. The method according to claim 5, wherein, during successive firings of the printhead, the dot data is remapped randomly, pseudo-randomly, or arbitrarily to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
8. The method according to claim 1, including mapping the dot data into one or more operative nozzles that will print a dot on print media close to a position at which the inopera-tive nozzle would have printed a dot had it been operative.
9. The method according to claim 1, including mapping the dot data intended for the inoperative nozzle into one or more operative nozzles including at least one nozzle from a different one of the sets.
10. The method according to claim 1, wherein the inoperative nozzle is associated with a black print channel, and wherein the method includes remapping the dot data intended for the inoperative nozzle into a plurality of operative nozzles in other color channels to produce a process black output at or adjacent a location on print media where the inoperative nozzle would have deposited a droplet of a black printing substance in accordance with the dot data.
11. The method according to claim 1, wherein a plurality of dot data intended for a corresponding plurality of inoperative nozzles are mapped to operative nozzles.
12. A printer controller configured to implement the method of claim 1.
13. A printer controller configured to implement the method of claim 1 to a bi-lithic printhead comprising a plurality of the nozzles.
CA002508141A 2002-12-02 2003-12-02 Dead nozzle compensation Expired - Fee Related CA2508141C (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
AU2002953135 2002-12-02
AU2002953134 2002-12-02
AU2002953135A AU2002953135A0 (en) 2002-12-02 2002-12-02 Method and apparatus (pec10)
AU2002953134A AU2002953134A0 (en) 2002-12-02 2002-12-02 Method and apparatus (auth16)
PCT/AU2003/001616 WO2004050369A1 (en) 2002-12-02 2003-12-02 Dead nozzle compensation

Publications (2)

Publication Number Publication Date
CA2508141A1 CA2508141A1 (en) 2004-06-17
CA2508141C true CA2508141C (en) 2009-11-03

Family

ID=32471018

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002508141A Expired - Fee Related CA2508141C (en) 2002-12-02 2003-12-02 Dead nozzle compensation

Country Status (7)

Country Link
US (49) US7818519B2 (en)
EP (1) EP1572463B1 (en)
AT (1) ATE504446T1 (en)
CA (1) CA2508141C (en)
DE (1) DE60336677D1 (en)
DK (1) DK1572463T3 (en)
WO (1) WO2004050369A1 (en)

Families Citing this family (1124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19950249C1 (en) * 1999-10-18 2001-02-01 Siemens Ag Electronic device with software protection for runtime software for automated systems
US6700590B1 (en) * 1999-11-01 2004-03-02 Indx Software Corporation System and method for retrieving and presenting data using class-based component and view model
US7930531B2 (en) * 2000-01-06 2011-04-19 Super Talent Electronics, Inc. Multi-partition USB device that re-boots a PC to an alternate operating system for virus recovery
US7062749B2 (en) * 2000-12-15 2006-06-13 Promenix, Inc. Measuring, monitoring and tracking enterprise communications and processes
US8385476B2 (en) * 2001-04-25 2013-02-26 Texas Instruments Incorporated Digital phase locked loop
US7552191B1 (en) * 2001-06-12 2009-06-23 F5 Networks, Inc. Method and apparatus to facilitate automatic sharing in a client server environment
US7613699B2 (en) * 2001-08-03 2009-11-03 Itt Manufacturing Enterprises, Inc. Apparatus and method for resolving security association database update coherency in high-speed systems having multiple security channels
EP1359550A1 (en) 2001-11-30 2003-11-05 STMicroelectronics S.A. Regeneration of a secret number by using an identifier of an integrated circuit
EP1391853A1 (en) * 2001-11-30 2004-02-25 STMicroelectronics S.A. Diversification of the unique identifier of an integrated circuit
FR2833119A1 (en) 2001-11-30 2003-06-06 St Microelectronics Sa GENERATION OF SECRET QUANTITIES OF IDENTIFICATION OF AN INTEGRATED CIRCUIT
FR2838210B1 (en) * 2002-04-03 2005-11-04 Gemplus Card Int CRYPTOGRAPHIC METHOD PROTECTED FROM CACHE-CHANNEL TYPE ATTACKS
EP1353259B1 (en) * 2002-04-08 2006-06-14 Aladdin Knowledge Systems (Deutschland) GmbH Method of upgrading and licensing computer programs and computer system therefor
GB0211812D0 (en) * 2002-05-23 2002-07-03 Koninkl Philips Electronics Nv S-box encryption in block cipher implementations
US20030229643A1 (en) * 2002-05-29 2003-12-11 Digimarc Corporation Creating a footprint of a computer file
US20040044508A1 (en) * 2002-08-29 2004-03-04 Hoffman Robert R. Method for generating commands for testing hardware device models
RU2005115083A (en) * 2002-11-18 2006-01-20 Арм Лимитед (Gb) SWITCHING A PROCESSOR BETWEEN PROTECTED AND UNPROTECTED MODES
US7818519B2 (en) * 2002-12-02 2010-10-19 Silverbrook Research Pty Ltd Timeslot arbitration scheme
US20090319802A1 (en) * 2002-12-02 2009-12-24 Silverbrook Research Pty Ltd Key Genaration In An Integrated Circuit
US7801120B2 (en) * 2003-01-13 2010-09-21 Emulex Design & Manufacturing Corporation Method and system for efficient queue management
US7010416B2 (en) * 2003-01-17 2006-03-07 Ph2 Solutions, Inc. Systems and methods for resetting vehicle emission system error indicators
JP3823925B2 (en) * 2003-02-05 2006-09-20 ソニー株式会社 Information processing apparatus, license information recording medium, information processing method, and computer program
US7370212B2 (en) 2003-02-25 2008-05-06 Microsoft Corporation Issuing a publisher use license off-line in a digital rights management (DRM) system
SE0300670L (en) * 2003-03-10 2004-08-17 Smarttrust Ab Procedure for secure download of applications
RU2005129270A (en) * 2003-03-20 2006-05-27 Арм Лимитед (Gb) Detection and elimination of systematic and random errors in processor cascades of an integrated circuit
US8650470B2 (en) 2003-03-20 2014-02-11 Arm Limited Error recovery within integrated circuit
US7260001B2 (en) * 2003-03-20 2007-08-21 Arm Limited Memory system having fast and slow data reading mechanisms
US7278080B2 (en) * 2003-03-20 2007-10-02 Arm Limited Error detection and recovery within processing stages of an integrated circuit
US8185812B2 (en) * 2003-03-20 2012-05-22 Arm Limited Single event upset error detection within an integrated circuit
US8261062B2 (en) 2003-03-27 2012-09-04 Microsoft Corporation Non-cryptographic addressing
US7624264B2 (en) 2003-03-27 2009-11-24 Microsoft Corporation Using time to determine a hash extension
US7409544B2 (en) 2003-03-27 2008-08-05 Microsoft Corporation Methods and systems for authenticating messages
US7610487B2 (en) * 2003-03-27 2009-10-27 Microsoft Corporation Human input security codes
JP2004341768A (en) * 2003-05-15 2004-12-02 Fujitsu Ltd Magnetic disk device, cipher processing method and program
US20050021544A1 (en) * 2003-06-18 2005-01-27 Robert Wilkins System and method for managing information
US8595394B1 (en) 2003-06-26 2013-11-26 Nvidia Corporation Method and system for dynamic buffering of disk I/O command chains
US7496715B1 (en) * 2003-07-16 2009-02-24 Unisys Corporation Programmable cache management system and method
JP4624732B2 (en) * 2003-07-16 2011-02-02 パナソニック株式会社 how to access
US6999887B2 (en) * 2003-08-06 2006-02-14 Infineon Technologies Ag Memory cell signal window testing apparatus
US8229108B2 (en) * 2003-08-15 2012-07-24 Broadcom Corporation Pseudo-random number generation based on periodic sampling of one or more linear feedback shift registers
JP2005100270A (en) * 2003-09-26 2005-04-14 Minolta Co Ltd Printing control program and printer
US8683132B1 (en) 2003-09-29 2014-03-25 Nvidia Corporation Memory controller for sequentially prefetching data for a processor of a computer system
US7395527B2 (en) 2003-09-30 2008-07-01 International Business Machines Corporation Method and apparatus for counting instruction execution and data accesses
US8381037B2 (en) 2003-10-09 2013-02-19 International Business Machines Corporation Method and system for autonomic execution path selection in an application
US7779212B2 (en) 2003-10-17 2010-08-17 Micron Technology, Inc. Method and apparatus for sending data from multiple sources over a communications bus
US8356142B1 (en) 2003-11-12 2013-01-15 Nvidia Corporation Memory controller for non-sequentially prefetching data for a processor of a computer system
US8156343B2 (en) 2003-11-26 2012-04-10 Intel Corporation Accessing private data about the state of a data processing machine from storage that is publicly accessible
US8700808B2 (en) * 2003-12-01 2014-04-15 Nvidia Corporation Hardware support system for accelerated disk I/O
US7814327B2 (en) 2003-12-10 2010-10-12 Mcafee, Inc. Document registration
US7774604B2 (en) 2003-12-10 2010-08-10 Mcafee, Inc. Verifying captured objects before presentation
US7984175B2 (en) 2003-12-10 2011-07-19 Mcafee, Inc. Method and apparatus for data capture and analysis system
US8656039B2 (en) 2003-12-10 2014-02-18 Mcafee, Inc. Rule parser
US8548170B2 (en) 2003-12-10 2013-10-01 Mcafee, Inc. Document de-registration
US7899828B2 (en) 2003-12-10 2011-03-01 Mcafee, Inc. Tag data structure for maintaining relational data over captured objects
US20050132194A1 (en) * 2003-12-12 2005-06-16 Ward Jean R. Protection of identification documents using open cryptography
US7283944B2 (en) * 2003-12-15 2007-10-16 Springsoft, Inc. Circuit simulation bus transaction analysis
US7543142B2 (en) * 2003-12-19 2009-06-02 Intel Corporation Method and apparatus for performing an authentication after cipher operation in a network processor
US7512945B2 (en) * 2003-12-29 2009-03-31 Intel Corporation Method and apparatus for scheduling the processing of commands for execution by cryptographic algorithm cores in a programmable network processor
KR100631673B1 (en) * 2003-12-30 2006-10-09 엘지전자 주식회사 High Frequency Module Structure for Mobile Communication
US7831511B1 (en) 2004-01-07 2010-11-09 Intuit Inc. Automating setup of a user's financial management application account for electronic transfer of data with a financial institution
US7415705B2 (en) 2004-01-14 2008-08-19 International Business Machines Corporation Autonomic method and apparatus for hardware assist for patching code
US7895382B2 (en) 2004-01-14 2011-02-22 International Business Machines Corporation Method and apparatus for qualifying collection of performance monitoring events by types of interrupt when interrupt occurs
US7930540B2 (en) 2004-01-22 2011-04-19 Mcafee, Inc. Cryptographic policy enforcement
US7663915B2 (en) * 2004-02-10 2010-02-16 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory
JP2005228123A (en) * 2004-02-13 2005-08-25 Sharp Corp Communication method, communication system and information reception-side device used in the communication system
US7260025B2 (en) 2004-02-18 2007-08-21 Farinella & Associates, Llc Bookmark with integrated electronic timer and method therefor
US8578258B2 (en) * 2004-02-20 2013-11-05 Continental Teves Ag & Co., Ohg Method and integrated circuit for increasing the immunity to interference
US7607025B1 (en) * 2004-02-26 2009-10-20 Xilinx, Inc. Methods of intrusion detection and prevention in secure programmable logic devices
US7822428B1 (en) 2004-03-01 2010-10-26 Adobe Systems Incorporated Mobile rich media information system
US7478158B1 (en) * 2004-03-01 2009-01-13 Adobe Systems Incorporated Bandwidth management system
US7706782B1 (en) 2004-03-01 2010-04-27 Adobe Systems Incorporated System and method for developing information for a wireless information system
WO2005093759A1 (en) * 2004-03-15 2005-10-06 Thomson Licensing Technique for efficient video re-sampling
US7142478B2 (en) * 2004-03-19 2006-11-28 Infineon Technologies Ag Clock stop detector
US7738137B2 (en) * 2004-03-23 2010-06-15 Lexmark International, Inc. Inkjet print head synchronous serial output for data integrity
US20050216762A1 (en) * 2004-03-25 2005-09-29 Cyrus Peikari Protecting embedded devices with integrated reset detection
US7185301B2 (en) * 2004-04-06 2007-02-27 Lsi Logic Corporation Generic method and apparatus for implementing source synchronous interface in platform ASIC
US20050234986A1 (en) * 2004-04-09 2005-10-20 Microsoft Corporation Systems and methods for fragment-based serialization
JP4343867B2 (en) * 2004-04-13 2009-10-14 キヤノン株式会社 Inkjet recording device
US8400645B2 (en) 2004-04-16 2013-03-19 Marvell International Technology Ltd. Printer with selectable capabilities
US20050246762A1 (en) * 2004-04-29 2005-11-03 International Business Machines Corporation Changing access permission based on usage of a computer resource
US20060242406A1 (en) 2005-04-22 2006-10-26 Microsoft Corporation Protected computing environment
US20050254085A1 (en) * 2004-05-12 2005-11-17 Koji Oshikiri Image forming system
US20050257205A1 (en) * 2004-05-13 2005-11-17 Microsoft Corporation Method and system for dynamic software updates
US7549718B2 (en) * 2004-05-27 2009-06-23 Silverbrook Research Pty Ltd Printhead module having operation controllable on basis of thermal sensors
US7735944B2 (en) 2004-05-27 2010-06-15 Silverbrook Research Pty Ltd Printer comprising two printhead modules and at least two printer controllers
US7484831B2 (en) * 2004-05-27 2009-02-03 Silverbrook Research Pty Ltd Printhead module having horizontally grouped firing order
US7448707B2 (en) * 2004-05-27 2008-11-11 Silverbrook Research Pty Ltd Method of expelling ink from nozzels in groups, starting at outside nozzels of each group
US7427117B2 (en) * 2004-05-27 2008-09-23 Silverbrook Research Pty Ltd Method of expelling ink from nozzles in groups, alternately, starting at outside nozzles of each group
US7328956B2 (en) * 2004-05-27 2008-02-12 Silverbrook Research Pty Ltd Printer comprising a printhead and at least two printer controllers connected to a common input of the printhead
US7757086B2 (en) * 2004-05-27 2010-07-13 Silverbrook Research Pty Ltd Key transportation
US7252353B2 (en) * 2004-05-27 2007-08-07 Silverbrook Research Pty Ltd Printer controller for supplying data to a printhead module having one or more redundant nozzle rows
US7267417B2 (en) * 2004-05-27 2007-09-11 Silverbrook Research Pty Ltd Printer controller for supplying data to one or more printheads via serial links
US7551298B2 (en) * 2004-06-04 2009-06-23 Primax Electronics Ltd. Print control device with embedded engine simulation module and test method thereof
US7143221B2 (en) * 2004-06-08 2006-11-28 Arm Limited Method of arbitrating between a plurality of transfers to be routed over a corresponding plurality of paths provided by an interconnect circuit of a data processing apparatus
JP4612461B2 (en) * 2004-06-24 2011-01-12 株式会社東芝 Microprocessor
JP4275583B2 (en) * 2004-06-24 2009-06-10 ユーディナデバイス株式会社 Electronic module
US7409315B2 (en) 2004-06-28 2008-08-05 Broadcom Corporation On-board performance monitor and power control system
JP4874440B2 (en) * 2004-06-29 2012-02-15 株式会社デンソー PROGRAM GENERATION PROGRAM, PROGRAM GENERATION DEVICE, PROGRAM GENERATION METHOD, AND PROGRAM GENERATED BY THE SAME
US7929689B2 (en) 2004-06-30 2011-04-19 Microsoft Corporation Call signs
US7586904B2 (en) * 2004-07-15 2009-09-08 Broadcom Corp. Method and system for a gigabit Ethernet IP telephone chip with no DSP core, which uses a RISC core with instruction extensions to support voice processing
US7716494B2 (en) * 2004-07-15 2010-05-11 Sony Corporation Establishing a trusted platform in a digital processing system
US7568102B2 (en) * 2004-07-15 2009-07-28 Sony Corporation System and method for authorizing the use of stored information in an operating system
US7552326B2 (en) * 2004-07-15 2009-06-23 Sony Corporation Use of kernel authorization data to maintain security in a digital processing system
US20060015732A1 (en) * 2004-07-15 2006-01-19 Sony Corporation Processing system using internal digital signatures
US7840607B2 (en) * 2004-08-06 2010-11-23 Siemens Aktiengesellschaft Data mart generation and use in association with an operations intelligence platform
US7343496B1 (en) * 2004-08-13 2008-03-11 Zilog, Inc. Secure transaction microcontroller with secure boot loader
US20060037077A1 (en) * 2004-08-16 2006-02-16 Cisco Technology, Inc. Network intrusion detection system having application inspection and anomaly detection characteristics
US8700671B2 (en) * 2004-08-18 2014-04-15 Siemens Aktiengesellschaft System and methods for dynamic generation of point / tag configurations
US7182422B2 (en) * 2004-08-23 2007-02-27 Silverbrook Research Pty Ltd Printhead having first and second rows of print nozzles
US8560534B2 (en) 2004-08-23 2013-10-15 Mcafee, Inc. Database for a capture system
US7949849B2 (en) 2004-08-24 2011-05-24 Mcafee, Inc. File system for a capture system
EP1794944B1 (en) * 2004-08-27 2014-03-05 Board of Regents, The University of Texas System Method for memory assignment, computer program and system thereof
US8032787B2 (en) * 2004-09-02 2011-10-04 Intel Corporation Volatile storage based power loss recovery mechanism
US20110071949A1 (en) * 2004-09-20 2011-03-24 Andrew Petrov Secure pin entry device for mobile phones
US8347078B2 (en) 2004-10-18 2013-01-01 Microsoft Corporation Device certificate individualization
US8356143B1 (en) 2004-10-22 2013-01-15 NVIDIA Corporatin Prefetch mechanism for bus master memory access
US7644272B2 (en) 2004-10-22 2010-01-05 Broadcom Corporation Systems and methods for providing security to different functions
US20060088160A1 (en) * 2004-10-27 2006-04-27 Lexmark International, Inc. Method and apparatus for generating and printing a security stamp with custom logo on an electrophotographic printer
US9032192B2 (en) 2004-10-28 2015-05-12 Broadcom Corporation Method and system for policy based authentication
US7450723B2 (en) * 2004-11-12 2008-11-11 International Business Machines Corporation Method and system for providing for security in communication
US8336085B2 (en) 2004-11-15 2012-12-18 Microsoft Corporation Tuning product policy using observed evidence of customer behavior
US8176564B2 (en) 2004-11-15 2012-05-08 Microsoft Corporation Special PC mode entered upon detection of undesired state
US8464348B2 (en) * 2004-11-15 2013-06-11 Microsoft Corporation Isolated computing environment anchored into CPU and motherboard
DE102004055505A1 (en) * 2004-11-17 2006-05-24 Nec Europe Ltd. A method for authorizing service requests to service hosts in a network
US7814123B2 (en) * 2004-12-02 2010-10-12 Siemens Aktiengesellschaft Management of component members using tag attributes
JP4570952B2 (en) * 2004-12-28 2010-10-27 富士通株式会社 High speed information processing apparatus, high speed information processing method and program thereof
US20060146100A1 (en) * 2005-01-04 2006-07-06 Dull Daniel J Ink jet supply component including a secure memory serial device
US7778812B2 (en) * 2005-01-07 2010-08-17 Micron Technology, Inc. Selecting data to verify in hardware device model simulation test generation
US8442938B2 (en) 2005-01-14 2013-05-14 Siemens Aktiengesellschaft Child data structure update in data management system
US7536542B2 (en) * 2005-01-19 2009-05-19 Microsoft Corporation Method and system for intercepting, analyzing, and modifying interactions between a transport client and a transport provider
US7770205B2 (en) * 2005-01-19 2010-08-03 Microsoft Corporation Binding a device to a computer
US7966643B2 (en) * 2005-01-19 2011-06-21 Microsoft Corporation Method and system for securing a remote file system
US7315917B2 (en) * 2005-01-20 2008-01-01 Sandisk Corporation Scheduling of housekeeping operations in flash memory systems
US7132823B2 (en) * 2005-01-21 2006-11-07 Microsoft Corporation Design for test for a high speed serial interface
TW200627258A (en) * 2005-01-27 2006-08-01 Lite On Technology Corp Medium transfer-recording control method and the embedded system using the same
US7636911B2 (en) * 2005-01-28 2009-12-22 Microsoft Corporation System and methods for capturing structure of data models using entity patterns
EP1696321A1 (en) * 2005-02-23 2006-08-30 Deutsche Thomson-Brandt Gmbh Method and apparatus for executing software applications
JP2008530879A (en) * 2005-02-11 2008-08-07 ノキア コーポレイション Method and apparatus for providing a bootstrapping procedure in a communication network
US7221878B2 (en) * 2005-02-18 2007-05-22 Hewlett-Packard Development Company, L.P. Allowing image formation using consumable item where code of consumable item is identical to code of image-formation device
US7376809B2 (en) * 2005-03-09 2008-05-20 International Business Machines Corporation Systems and methods for multi-frame control blocks
US7620711B2 (en) * 2005-03-18 2009-11-17 Siemens Aktiengesellschaft Method of using configuration files for configuring technical devices
US20060218649A1 (en) * 2005-03-22 2006-09-28 Brickell Ernie F Method for conditional disclosure of identity information
JP4517907B2 (en) * 2005-03-23 2010-08-04 セイコーエプソン株式会社 Printing system, printing method, and printing control apparatus
JP4389829B2 (en) * 2005-03-28 2009-12-24 セイコーエプソン株式会社 Client computer, printer driver generation method, printer driver search method
US8438645B2 (en) 2005-04-27 2013-05-07 Microsoft Corporation Secure clock with grace periods
US20060215207A1 (en) * 2005-03-28 2006-09-28 Konica Minolta Systems Laboratory, Inc. Color and monochrome management printing system
KR100723777B1 (en) * 2005-04-11 2007-05-30 주식회사 하이닉스반도체 Autoread circuit
CA2604573A1 (en) * 2005-04-13 2006-10-19 Telefonaktiebolaget L M Ericsson (Publ) Data value coherence in computer systems
US8725646B2 (en) 2005-04-15 2014-05-13 Microsoft Corporation Output protection levels
US7509250B2 (en) * 2005-04-20 2009-03-24 Honeywell International Inc. Hardware key control of debug interface
US9363481B2 (en) 2005-04-22 2016-06-07 Microsoft Technology Licensing, Llc Protected media pipeline
US9436804B2 (en) 2005-04-22 2016-09-06 Microsoft Technology Licensing, Llc Establishing a unique session key using a hardware functionality scan
JP4855710B2 (en) * 2005-04-28 2012-01-18 株式会社東芝 Software plug-in method and application program
US8127147B2 (en) * 2005-05-10 2012-02-28 Seagate Technology Llc Method and apparatus for securing data storage while insuring control by logical roles
US20060259828A1 (en) 2005-05-16 2006-11-16 Texas Instruments Incorporated Systems and methods for controlling access to secure debugging and profiling features of a computer system
US20060265758A1 (en) 2005-05-20 2006-11-23 Microsoft Corporation Extensible media rights
DE102005024917A1 (en) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Register transfer level simulation device for simulating bit or bus synchronization of digital electronic circuit in e.g. silicon chip, has delay unit selectively delaying digital signal of flip-flop register around variable delay time
JP5130646B2 (en) * 2005-06-06 2013-01-30 ソニー株式会社 Storage device
US8353046B2 (en) 2005-06-08 2013-01-08 Microsoft Corporation System and method for delivery of a modular operating system
EP1894116A1 (en) * 2005-06-10 2008-03-05 Freescale Semiconductor, Inc. Method and device for frame synchronization
JP2008542932A (en) * 2005-06-10 2008-11-27 フリースケール セミコンダクター インコーポレイテッド Medium access control apparatus and method
TWI267061B (en) * 2005-06-21 2006-11-21 Asustek Comp Inc Method for processing multi-layered images
US20090210701A1 (en) * 2005-06-23 2009-08-20 Junbiao Zhang Multi-Media Access Device Registration System and Method
US8639946B2 (en) * 2005-06-24 2014-01-28 Sigmatel, Inc. System and method of using a protected non-volatile memory
US7337147B2 (en) * 2005-06-30 2008-02-26 Microsoft Corporation Dynamic digital content licensing
JP4410162B2 (en) * 2005-07-05 2010-02-03 富士通株式会社 Reconfigurable LSI
US7797291B1 (en) * 2005-07-11 2010-09-14 Sprint Communications Company L.P. Data retention auditing
JP4345721B2 (en) * 2005-07-14 2009-10-14 コニカミノルタビジネステクノロジーズ株式会社 Management system
KR100648658B1 (en) * 2005-07-19 2006-11-24 삼성전자주식회사 Printing system and printer capable of electronic signature and method using the same
US20070022250A1 (en) * 2005-07-19 2007-01-25 International Business Machines Corporation System and method of responding to a cache read error with a temporary cache directory column delete
EP1748343A1 (en) 2005-07-29 2007-01-31 STMicroelectronics Limited Circuit personalisation
JP4412733B2 (en) * 2005-08-02 2010-02-10 キヤノン株式会社 Image processing apparatus and method, and computer program and storage medium
US7907608B2 (en) 2005-08-12 2011-03-15 Mcafee, Inc. High speed packet capture
DE602006007237D1 (en) * 2005-08-23 2009-07-23 Koninkl Philips Electronics Nv AUTHENTICATION OF INFORMATION CARRIERS THROUGH A PHYSICAL DISPLAY FUNCTION
JP2007058969A (en) * 2005-08-24 2007-03-08 Sanyo Electric Co Ltd Memory
KR100833178B1 (en) * 2005-08-26 2008-05-28 삼성전자주식회사 System capable of controlling the number of block in a cache memory and method thereof
JP2007064762A (en) * 2005-08-30 2007-03-15 Matsushita Electric Ind Co Ltd Semiconductor device and test mode control circuit
US7818326B2 (en) 2005-08-31 2010-10-19 Mcafee, Inc. System and method for word indexing in a capture system and querying thereof
US8255108B2 (en) * 2005-08-31 2012-08-28 Spx Corporation Dynamic file system creation for scan tools
US8183980B2 (en) * 2005-08-31 2012-05-22 Assa Abloy Ab Device authentication using a unidirectional protocol
JP2007066109A (en) * 2005-08-31 2007-03-15 Fujitsu Ltd Apparatus and method for controlling data transmission/reception
JP4513725B2 (en) * 2005-11-09 2010-07-28 ソニー株式会社 Packet transmission apparatus, communication system, and program
JP4674513B2 (en) * 2005-09-14 2011-04-20 富士ゼロックス株式会社 Spatial layout reproduction method, reader, and program
US7979048B2 (en) * 2005-09-15 2011-07-12 Silicon Laboratories Inc. Quasi non-volatile memory for use in a receiver
US20070067445A1 (en) * 2005-09-16 2007-03-22 Smart Link Ltd. Remote computer wake-up for network applications
US8135741B2 (en) 2005-09-20 2012-03-13 Microsoft Corporation Modifying service provider context information to facilitate locating interceptor context information
EP1768028A1 (en) * 2005-09-22 2007-03-28 STMicroelectronics (Research & Development) Limited Addressing peripherals in an ic
US20070074046A1 (en) * 2005-09-23 2007-03-29 Czajkowski David R Secure microprocessor and method
US20100191959A1 (en) * 2005-09-23 2010-07-29 Space Micro Inc. Secure microprocessor and method
US9009265B2 (en) 2005-09-28 2015-04-14 Photobucket Corporation System and method for automatic transfer of data from one device to another
US8015253B1 (en) 2005-09-28 2011-09-06 Photobucket Corporation System and method for controlling inter-device media exchanges
US9049243B2 (en) * 2005-09-28 2015-06-02 Photobucket Corporation System and method for allowing a user to opt for automatic or selectively sending of media
US7385491B2 (en) * 2005-09-28 2008-06-10 Itt Manufacturing Enterprises, Inc. Tamper monitor circuit
US7698392B2 (en) * 2005-09-28 2010-04-13 Photobucket Corporation Method and system for establishing a user-friendly data transfer service application executing within a heterogeneous distributed service application execution environment
US7870103B1 (en) * 2005-10-13 2011-01-11 Emc Corporation Tolerating and reporting collisions in content-derived identifiers of data segments using secondary identifiers
US7730011B1 (en) 2005-10-19 2010-06-01 Mcafee, Inc. Attributes of captured objects in a capture system
US7954037B2 (en) * 2005-10-25 2011-05-31 Sandisk Il Ltd Method for recovering from errors in flash memory
US8645712B1 (en) * 2005-10-27 2014-02-04 Altera Corporation Electronic circuit design copy protection
US7657104B2 (en) 2005-11-21 2010-02-02 Mcafee, Inc. Identifying image type in a capture system
US9176713B2 (en) * 2005-11-30 2015-11-03 International Business Machines Corporation Method, apparatus and program storage device that provides a user mode device interface
US7571368B1 (en) 2006-01-26 2009-08-04 Promethean Storage Llc Digital content protection systems and methods
US8832466B1 (en) 2006-01-27 2014-09-09 Trustwave Holdings, Inc. Methods for augmentation and interpretation of data objects
US9718268B1 (en) 2006-01-30 2017-08-01 Shahar Turgeman Ink printing system comprising groups of inks, each group having a unique ink base composition
US9352573B1 (en) 2006-01-30 2016-05-31 Shahar Turgeman Ink printing system comprising groups of inks, each group having a unique inkbase composition
US10144222B1 (en) 2006-01-30 2018-12-04 Shahar Turgeman Ink printing system
US7421542B2 (en) * 2006-01-31 2008-09-02 Cisco Technology, Inc. Technique for data cache synchronization
US20070240126A1 (en) * 2006-02-01 2007-10-11 International Business Machines Corporation System and method for event based resource selection
US8386782B2 (en) * 2006-02-02 2013-02-26 Nokia Corporation Authenticated group key agreement in groups such as ad-hoc scenarios
US7421601B2 (en) * 2006-02-17 2008-09-02 International Business Machines Corporation Method and system for controlling power in a chip through a power-performance monitor and control unit
US8243922B1 (en) 2006-02-24 2012-08-14 Hitachi Global Storage Technologies Netherlands B.V. Digital content modification for content protection
US7996899B1 (en) 2006-02-24 2011-08-09 Hitachi Global Storage Technologies Netherlands B.V. Communication systems and methods for digital content modification and protection
US7441102B2 (en) * 2006-02-28 2008-10-21 Freescale Semiconductor, Inc. Integrated circuit with functional state configurable memory and method of configuring functional states of the integrated circuit memory
US8321691B2 (en) * 2006-03-06 2012-11-27 Stmicroelectronics S.A. EMA protection of a calculation by an electronic circuit
JP5060057B2 (en) * 2006-03-08 2012-10-31 富士通株式会社 Communication line monitoring system, relay device, and communication line monitoring method
KR20070094320A (en) * 2006-03-17 2007-09-20 엘지전자 주식회사 Apparatus for receiving broadcasting, method for transmitting and receiving application, method for transmitting information of status receiving broadcasting, and data structure in accordance with status receiving broadcasting
US8504537B2 (en) 2006-03-24 2013-08-06 Mcafee, Inc. Signature distribution in a document registration system
US8086842B2 (en) 2006-04-21 2011-12-27 Microsoft Corporation Peer-to-peer contact exchange
US20080016005A1 (en) * 2006-04-24 2008-01-17 Encryptakey, Inc. Systems and methods for performing secure online transactions
CN101433014A (en) * 2006-04-28 2009-05-13 松下电器产业株式会社 Communication device and communication system
US7818740B2 (en) * 2006-05-05 2010-10-19 Microsoft Corporation Techniques to perform gradual upgrades
US8560829B2 (en) * 2006-05-09 2013-10-15 Broadcom Corporation Method and system for command interface protection to achieve a secure interface
US8285988B2 (en) * 2006-05-09 2012-10-09 Broadcom Corporation Method and system for command authentication to achieve a secure interface
US20070282318A1 (en) * 2006-05-16 2007-12-06 Spooner Gregory J Subcutaneous thermolipolysis using radiofrequency energy
US8205262B2 (en) * 2006-05-16 2012-06-19 Bird Peter L Hardware support for computer speciation
US7689614B2 (en) 2006-05-22 2010-03-30 Mcafee, Inc. Query generation for a capture system
US7958227B2 (en) 2006-05-22 2011-06-07 Mcafee, Inc. Attributes of captured objects in a capture system
US8141058B2 (en) 2006-06-05 2012-03-20 Rogue Wave Software, Inc. System for and method of capturing application characteristics data from a computer system and modeling target system
TW200807425A (en) * 2006-06-05 2008-02-01 Renesas Tech Corp Semiconductor device, unique ID of semiconductor device and method for verifying unique ID
FR2902213B1 (en) * 2006-06-08 2008-10-17 Thomson Licensing Sas ELECTRONIC CARD WITH SECURITY FUNCTIONS
US7774616B2 (en) * 2006-06-09 2010-08-10 International Business Machines Corporation Masking a boot sequence by providing a dummy processor
US7594104B2 (en) * 2006-06-09 2009-09-22 International Business Machines Corporation System and method for masking a hardware boot sequence
US20070288738A1 (en) * 2006-06-09 2007-12-13 Dale Jason N System and method for selecting a random processor to boot on a multiprocessor system
US20070288761A1 (en) * 2006-06-09 2007-12-13 Dale Jason N System and method for booting a multiprocessor device based on selection of encryption keys to be provided to processors
US20070288740A1 (en) * 2006-06-09 2007-12-13 Dale Jason N System and method for secure boot across a plurality of processors
EP2033316A4 (en) * 2006-06-21 2010-08-11 Element Cxi Llc Fault tolerant integrated circuit architecture
KR100804698B1 (en) * 2006-06-26 2008-02-18 삼성에스디아이 주식회사 The method of assuming the state of charge of the battery, battery management system using the method and the driving method of the battery management system using the method
US7934092B2 (en) * 2006-07-10 2011-04-26 Silverbrook Research Pty Ltd Electronic device having improved security
US20080028226A1 (en) * 2006-07-31 2008-01-31 Brocker Matthew W System-on-a-chip and method for securely transferring data on a system-on-a-chip
GB0615392D0 (en) * 2006-08-03 2006-09-13 Wivenhoe Technology Ltd Pseudo random number circuitry
US7769842B2 (en) * 2006-08-08 2010-08-03 Endl Texas, Llc Storage management unit to configure zoning, LUN masking, access controls, or other storage area network parameters
GB0616135D0 (en) * 2006-08-14 2006-09-20 British Telecomm Application controller
US8422673B2 (en) * 2006-08-31 2013-04-16 Red Hat, Inc. Method and system for protecting against unity keys
US8010995B2 (en) * 2006-09-08 2011-08-30 International Business Machines Corporation Methods, systems, and computer program products for implementing inter-process integrity serialization
US8065530B2 (en) * 2006-09-11 2011-11-22 Research In Motion Limited Apparatus, and associated method, for paging an access terminal in a radio communication system
US9424270B1 (en) 2006-09-28 2016-08-23 Photobucket Corporation System and method for managing media files
US20080080511A1 (en) * 2006-09-28 2008-04-03 Jian-Guo Chen Buffer cluster structure and arbiter scheme for multi-port upper-layer network processor
DE102006045906A1 (en) * 2006-09-28 2008-04-17 Infineon Technologies Ag Module with a controller for a chip card
US8094685B2 (en) * 2006-10-04 2012-01-10 Siemens Medical Solutions Usa, Inc. Systems and methods for synchronizing multiple video streams
US8452987B2 (en) * 2006-10-06 2013-05-28 Broadcom Corporation Method and system for disaster recovery in a secure reprogrammable system
ATE491999T1 (en) * 2006-10-06 2011-01-15 Agere Systems Inc PROTECTION OF SECRET INFORMATION IN A PROGRAMMED ELECTRONIC DEVICE
US20080098380A1 (en) * 2006-10-18 2008-04-24 Toby Klusmeyer System, method, and device for updating programmable electronic equipment with a transport device from a deployment server via the internet or other communication medium
US7941845B2 (en) * 2006-10-27 2011-05-10 Storage Appliance Corporation Systems and methods for controlling production quantities
KR100831677B1 (en) * 2006-10-27 2008-05-22 주식회사 하이닉스반도체 Counter control signal generating circuit
US7656331B2 (en) * 2006-10-31 2010-02-02 Freescale Semiconductor, Inc. System on a chip with multiple independent outputs
DE102006052173B4 (en) * 2006-11-02 2023-06-01 Fast Lta Gmbh Write protection method and apparatus for at least one random access memory device
US8443341B2 (en) 2006-11-09 2013-05-14 Rogue Wave Software, Inc. System for and method of capturing application characteristics data from a computer system and modeling target system
US7607752B2 (en) * 2006-11-17 2009-10-27 Hewlett-Packard Development Company, L.P. Misfiring print nozzle compensation
CN101622596A (en) 2006-12-06 2010-01-06 弗森多系统公司(dba弗森-艾奥) Apparatus, system, and method for managing data in a storage device with an empty data token directive
US8935302B2 (en) * 2006-12-06 2015-01-13 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for data block usage information synchronization for a non-volatile storage volume
US8443134B2 (en) 2006-12-06 2013-05-14 Fusion-Io, Inc. Apparatus, system, and method for graceful cache device degradation
WO2008070814A2 (en) 2006-12-06 2008-06-12 Fusion Multisystems, Inc. (Dba Fusion-Io) Apparatus, system, and method for a scalable, composite, reconfigurable backplane
US9116823B2 (en) 2006-12-06 2015-08-25 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for adaptive error-correction coding
US9104599B2 (en) 2007-12-06 2015-08-11 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for destaging cached data
US8706968B2 (en) 2007-12-06 2014-04-22 Fusion-Io, Inc. Apparatus, system, and method for redundant write caching
US8074011B2 (en) * 2006-12-06 2011-12-06 Fusion-Io, Inc. Apparatus, system, and method for storage space recovery after reaching a read count limit
US8489817B2 (en) 2007-12-06 2013-07-16 Fusion-Io, Inc. Apparatus, system, and method for caching data
US9495241B2 (en) 2006-12-06 2016-11-15 Longitude Enterprise Flash S.A.R.L. Systems and methods for adaptive data storage
US20100318953A1 (en) * 2006-12-13 2010-12-16 Luminary Micro, Inc. Platform programming for mass customization
JP5043416B2 (en) * 2006-12-15 2012-10-10 キヤノン株式会社 Information processing apparatus, system and program, device, and storage medium
US8185771B2 (en) * 2006-12-20 2012-05-22 Nxp B.V. Clock generation for memory access without a local oscillator
US7794036B2 (en) * 2006-12-22 2010-09-14 Pitney Bowes Inc. Ensuring print quality for postage meter systems
US7711684B2 (en) 2006-12-28 2010-05-04 Ebay Inc. Collaborative content evaluation
US7877812B2 (en) * 2007-01-04 2011-01-25 International Business Machines Corporation Method, system and computer program product for enforcing privacy policies
US8472066B1 (en) * 2007-01-11 2013-06-25 Marvell International Ltd. Usage maps in image deposition devices
US8234624B2 (en) * 2007-01-25 2012-07-31 International Business Machines Corporation System and method for developing embedded software in-situ
WO2008094470A1 (en) * 2007-01-26 2008-08-07 Magtek, Inc. Card reader for use with web based transactions
JP2008183884A (en) * 2007-01-31 2008-08-14 Fujifilm Corp Image forming device and transfer method of printing data
EP2118866A1 (en) * 2007-02-09 2009-11-18 Agency for Science, Technology and Research A method and system for tamper proofing a system of interconnected electronic devices
WO2008100520A2 (en) 2007-02-12 2008-08-21 Mentor Graphics Corporation Low power scan testing techniques and apparatus
NZ553309A (en) * 2007-02-19 2009-07-31 Kevin I Plumpton System and method minimisation, management and recovery of interruption
US7703060B2 (en) * 2007-02-23 2010-04-20 International Business Machines Corporation Stitched IC layout methods, systems and program product
US7532993B2 (en) * 2007-02-26 2009-05-12 Infineon Technologies Ag Device providing trim values
US8260783B2 (en) 2007-02-27 2012-09-04 Siemens Aktiengesellschaft Storage of multiple, related time-series data streams
DE102007009909B4 (en) * 2007-02-28 2016-09-08 Globalfoundries Inc. A method of validating an atomic transaction in a multi-core microprocessor environment
US8484220B2 (en) * 2007-03-06 2013-07-09 Mcafee, Inc. Clustered index with differentiated subfields
US7636875B2 (en) * 2007-03-08 2009-12-22 Texas Instruments Incorporated Low noise coding for digital data interface
US8316158B1 (en) 2007-03-12 2012-11-20 Cypress Semiconductor Corporation Configuration of programmable device using a DMA controller
DE102007029133A1 (en) * 2007-03-20 2008-09-25 Ludwig-Maximilians-Universität Method for computer-aided determination of the dependencies of a plurality of modules of a technical system, in particular of a software system
WO2009022239A2 (en) * 2007-03-26 2009-02-19 Acumem Ab System for and method of capturing performance characteristics data from a computer system and modeling target system performance
US8060661B1 (en) 2007-03-27 2011-11-15 Cypress Semiconductor Corporation Interface circuit and method for programming or communicating with an integrated circuit via a power supply pin
JP5029101B2 (en) * 2007-04-04 2012-09-19 富士ゼロックス株式会社 Image processing apparatus, image recording apparatus, image processing method, and image processing program
EP1978468A1 (en) * 2007-04-04 2008-10-08 Sap Ag A method and a system for secure execution of workflow tasks in a distributed workflow management system within a decentralized network system
US7958432B2 (en) * 2007-04-11 2011-06-07 International Business Machines Corporation Verification of non volatile storage storing preserved unneeded data
KR101351026B1 (en) 2007-04-13 2014-01-13 엘지전자 주식회사 apparatus for transmitting and receiving a broadcast signal and method of transmitting and receiving a broadcast signal
KR101351019B1 (en) * 2007-04-13 2014-01-13 엘지전자 주식회사 apparatus for transmitting and receiving a broadcast signal and method of transmitting and receiving a broadcast signal
DE102008020054A1 (en) * 2007-04-18 2008-10-23 Weinmann Geräte für Medizin GmbH + Co. KG Method and device for updating medical devices
US20080263233A1 (en) * 2007-04-19 2008-10-23 Thomas Hein Integrated circuit and memory device
US20080266563A1 (en) * 2007-04-26 2008-10-30 Redman David J Measuring color using color filter arrays
US7761632B2 (en) 2007-04-27 2010-07-20 Atmel Corporation Serialization of data for communication with slave in multi-chip bus implementation
US7814250B2 (en) 2007-04-27 2010-10-12 Atmel Corporation Serialization of data for multi-chip bus implementation
US7769933B2 (en) * 2007-04-27 2010-08-03 Atmel Corporation Serialization of data for communication with master in multi-chip bus implementation
US7743186B2 (en) * 2007-04-27 2010-06-22 Atmel Corporation Serialization of data for communication with different-protocol slave in multi-chip bus implementation
US20080273584A1 (en) * 2007-05-01 2008-11-06 Vladimir Dmitriev-Zdorov Generating test sequences for circuit channels exhibiting duty-cycle distortion
WO2008137458A2 (en) * 2007-05-01 2008-11-13 Mentor Graphics Corporation Generating test sequences for testing circuit channels
US20080275662A1 (en) 2007-05-01 2008-11-06 Vladimir Dmitriev-Zdorov Generating transmission-code compliant test sequences
US7827455B1 (en) * 2007-05-01 2010-11-02 Unisys Corporation System and method for detecting glitches on a high-speed interface
KR100970003B1 (en) * 2007-05-02 2010-07-16 한국전자통신연구원 Method and apparatus for transmitting signal
US9406388B2 (en) 2007-05-10 2016-08-02 Micron Technology, Inc. Memory area protection system and methods
TW200847087A (en) * 2007-05-18 2008-12-01 Beyond Innovation Tech Co Ltd Method and system for protecting information between a master terminal and a slave terminal
US8040556B2 (en) * 2007-05-24 2011-10-18 Dainippon Screen Mfg. Co., Ltd. Image data generating method, printing method, image data generating apparatus, and printer
US7823006B2 (en) * 2007-05-29 2010-10-26 Microsoft Corporation Analyzing problem signatures
US20080301433A1 (en) * 2007-05-30 2008-12-04 Atmel Corporation Secure Communications
ATE524006T1 (en) * 2007-06-11 2011-09-15 Fts Computertechnik Gmbh METHOD AND ARCHITECTURE FOR SECURING REAL-TIME DATA
US9037750B2 (en) * 2007-07-10 2015-05-19 Qualcomm Incorporated Methods and apparatus for data exchange in peer to peer communications
JP2009027472A (en) * 2007-07-19 2009-02-05 Toshiba Corp Cipher calculation device
US20090022319A1 (en) * 2007-07-19 2009-01-22 Mark Shahaf Method and apparatus for securing data and communication
US8122322B2 (en) 2007-07-31 2012-02-21 Seagate Technology Llc System and method of storing reliability data
KR20090014034A (en) * 2007-08-03 2009-02-06 삼성전자주식회사 Inkjet image forming apparatus
CN101364210B (en) * 2007-08-06 2012-05-30 鸿富锦精密工业(深圳)有限公司 Portable computer with components expandable
JP2009053901A (en) * 2007-08-27 2009-03-12 Seiko Epson Corp Printer
US7505340B1 (en) * 2007-08-28 2009-03-17 International Business Machines Corporation Method for implementing SRAM cell write performance evaluation
US7917716B2 (en) * 2007-08-31 2011-03-29 Standard Microsystems Corporation Memory protection for embedded controllers
US8006095B2 (en) * 2007-08-31 2011-08-23 Standard Microsystems Corporation Configurable signature for authenticating data or program code
KR101429674B1 (en) * 2007-09-11 2014-08-13 삼성전자주식회사 Apparatus and method for reducing power consumption in system on chip
FR2921171B1 (en) * 2007-09-14 2015-10-23 Airbus France METHOD OF MINIMIZING THE VOLUME OF INFORMATION REQUIRED FOR DEBUGGING OPERATING SOFTWARE OF AN ON-BOARD AIRCRAFT SYSTEM, AND DEVICE FOR IMPLEMENTING THE SAME
US8127233B2 (en) * 2007-09-24 2012-02-28 Microsoft Corporation Remote user interface updates using difference and motion encoding
US9201790B2 (en) * 2007-10-09 2015-12-01 Seagate Technology Llc System and method of matching data rates
JP5082737B2 (en) * 2007-10-09 2012-11-28 パナソニック株式会社 Information processing apparatus and information theft prevention method
US8619877B2 (en) * 2007-10-11 2013-12-31 Microsoft Corporation Optimized key frame caching for remote interface rendering
US8121423B2 (en) * 2007-10-12 2012-02-21 Microsoft Corporation Remote user interface raster segment motion detection and encoding
US8106909B2 (en) * 2007-10-13 2012-01-31 Microsoft Corporation Common key frame caching for a remote user interface
US8327191B2 (en) * 2007-10-19 2012-12-04 International Business Machines Corporation Automatically populating symptom databases for software applications
US20090113256A1 (en) * 2007-10-24 2009-04-30 Nokia Corporation Method, computer program product, apparatus and device providing scalable structured high throughput LDPC decoding
US7741659B2 (en) * 2007-10-25 2010-06-22 United Microelectronics Corp. Semiconductor device
US8260891B2 (en) * 2007-10-30 2012-09-04 Dell Products L.P. System and method for the provision of secure network boot services
US8135960B2 (en) * 2007-10-30 2012-03-13 International Business Machines Corporation Multiprocessor electronic circuit including a plurality of processors and electronic data processing system
CN102333100B (en) * 2007-11-08 2013-11-06 华为技术有限公司 Authentication method and terminal
JP4992678B2 (en) * 2007-11-13 2012-08-08 富士通株式会社 Image processing method, control program, and image processing apparatus
US7866779B2 (en) * 2007-11-16 2011-01-11 Hewlett-Packard Development Company, L.P. Defective nozzle replacement in a printer
CN101441587B (en) * 2007-11-19 2011-05-18 辉达公司 Method and system for automatically analyzing GPU test result
JP5007663B2 (en) * 2007-11-30 2012-08-22 セイコーエプソン株式会社 Business management system and program
US9519540B2 (en) 2007-12-06 2016-12-13 Sandisk Technologies Llc Apparatus, system, and method for destaging cached data
US8316277B2 (en) 2007-12-06 2012-11-20 Fusion-Io, Inc. Apparatus, system, and method for ensuring data validity in a data storage process
US7836226B2 (en) 2007-12-06 2010-11-16 Fusion-Io, Inc. Apparatus, system, and method for coordinating storage requests in a multi-processor/multi-thread environment
US8195912B2 (en) 2007-12-06 2012-06-05 Fusion-io, Inc Apparatus, system, and method for efficient mapping of virtual and physical addresses
US7809980B2 (en) * 2007-12-06 2010-10-05 Jehoda Refaeli Error detector in a cache memory using configurable way redundancy
US8411665B2 (en) 2007-12-11 2013-04-02 At&T Intellectual Property I, L.P. System and method of routing voice communications via peering networks
US8786359B2 (en) * 2007-12-12 2014-07-22 Sandisk Technologies Inc. Current mirror device and method
EP2562956B1 (en) 2007-12-13 2017-09-27 Certicom Corp. System and method for controlling features on a device
US8028195B2 (en) * 2007-12-18 2011-09-27 International Business Machines Corporation Structure for indicating status of an on-chip power supply system
US7917806B2 (en) * 2007-12-18 2011-03-29 International Business Machines Corporation System and method for indicating status of an on-chip power supply system
KR100909067B1 (en) * 2007-12-18 2009-07-23 한국전자통신연구원 Wake-up Receiver and Wake-up Method Using Constant Cyclic Power Shutdown
US8341751B2 (en) * 2007-12-26 2012-12-25 Wilson Kelce S Software license management
US7723153B2 (en) * 2007-12-26 2010-05-25 Organicid, Inc. Printed organic logic circuits using an organic semiconductor as a resistive load device
US8775717B2 (en) 2007-12-27 2014-07-08 Sandisk Enterprise Ip Llc Storage controller for flash memory including a crossbar switch connecting a plurality of processors with a plurality of internal memories
US7756659B2 (en) * 2008-01-11 2010-07-13 Fairchild Semiconductor Corporation Delay stabilization for skew tolerance
US8503679B2 (en) * 2008-01-23 2013-08-06 The Boeing Company Short message encryption
CN101364444B (en) * 2008-02-05 2011-05-11 威盛电子股份有限公司 Control method and memory and process system using the control method
US8108831B2 (en) * 2008-02-07 2012-01-31 Microsoft Corporation Iterative component binding
US9069706B2 (en) * 2008-02-11 2015-06-30 Nvidia Corporation Confidential information protection system and method
US7886089B2 (en) * 2008-02-13 2011-02-08 International Business Machines Corporation Method, system and computer program product for enhanced shared store buffer management scheme for differing buffer sizes with limited resources for optimized performance
JP4557021B2 (en) * 2008-02-29 2010-10-06 ブラザー工業株式会社 Droplet ejector
US8423993B2 (en) * 2008-02-29 2013-04-16 Red Hat, Inc. Systems and methods for managing software patches
US8312534B2 (en) * 2008-03-03 2012-11-13 Lenovo (Singapore) Pte. Ltd. System and method for securely clearing secret data that remain in a computer system memory
KR100997238B1 (en) * 2008-03-03 2010-11-29 삼성전자주식회사 Crum unit, replaceable unit, image forming device comprising them, and method for performing an authentication and cryptographic data communication thereof
US20090228875A1 (en) * 2008-03-04 2009-09-10 Devries Alex Method and System for Reducing Disk Allocation by Profiling Symbol Usage
US20100198830A1 (en) * 2008-03-06 2010-08-05 Nitrosecurity, Inc. Dynamic data distribution aggregation
DK2263146T6 (en) * 2008-03-14 2018-12-17 Hewlett Packard Development Co Secure access to memory in a fluid cartridge
US8752038B1 (en) * 2008-03-17 2014-06-10 Symantec Corporation Reducing boot time by providing quantitative performance cost data within a boot management user interface
US8314942B1 (en) * 2009-02-27 2012-11-20 Marvell International Ltd. Positioning and printing of a handheld device
JP4990315B2 (en) * 2008-03-20 2012-08-01 アナパス・インコーポレーテッド Display device and method for transmitting clock signal during blank period
US8171386B2 (en) * 2008-03-27 2012-05-01 Arm Limited Single event upset error detection within sequential storage circuitry of an integrated circuit
US8434064B2 (en) * 2008-03-28 2013-04-30 Microsoft Corporation Detecting memory errors using write integrity testing
US8504980B1 (en) * 2008-04-14 2013-08-06 Sap Ag Constraining data changes during transaction processing by a computer system
US8031952B2 (en) * 2008-04-21 2011-10-04 Broadcom Corporation Method and apparatus for optimizing memory usage in image processing
US8200986B2 (en) * 2008-04-24 2012-06-12 Apple Inc. Computer enabled secure status return
JP5050985B2 (en) * 2008-04-30 2012-10-17 富士通株式会社 Verification support program, verification support apparatus, and verification support method
WO2009136402A2 (en) * 2008-05-07 2009-11-12 Cosmologic Ltd. Register file system and method thereof for enabling a substantially direct memory access
US9058483B2 (en) 2008-05-08 2015-06-16 Google Inc. Method for validating an untrusted native code module
JP5056573B2 (en) * 2008-05-09 2012-10-24 富士通株式会社 Design support program, design support apparatus, and design support method
US7882406B2 (en) * 2008-05-09 2011-02-01 Lsi Corporation Built in test controller with a downloadable testing program
CN102124527A (en) 2008-05-16 2011-07-13 弗森-艾奥公司 Apparatus, system, and method for detecting and replacing failed data storage
US7788433B2 (en) * 2008-05-24 2010-08-31 Via Technologies, Inc. Microprocessor apparatus providing for secure interrupts and exceptions
US8819839B2 (en) * 2008-05-24 2014-08-26 Via Technologies, Inc. Microprocessor having a secure execution mode with provisions for monitoring, indicating, and managing security levels
US8156391B2 (en) * 2008-05-27 2012-04-10 Lsi Corporation Data controlling in the MBIST chain architecture
CN102112958A (en) 2008-05-29 2011-06-29 惠普开发有限公司 Replaceable printer component including a memory storing a tag encryption mask
ES2765485T3 (en) * 2008-05-29 2020-06-09 Hewlett Packard Development Co Authentication of a replaceable printer component
JP5217647B2 (en) * 2008-06-04 2013-06-19 富士通株式会社 Information processing apparatus and information processing method
US8175403B1 (en) * 2008-06-05 2012-05-08 Google Inc. Iterative backward reference selection with reduced entropy for image compression
US8046643B2 (en) * 2008-06-09 2011-10-25 Lsi Corporation Transport subsystem for an MBIST chain architecture
US20090319736A1 (en) * 2008-06-24 2009-12-24 Hitachi, Ltd. Method and apparatus for integrated nas and cas data backup
US8584114B2 (en) * 2008-06-30 2013-11-12 Mo'minis Ltd Method of generating and distributing a computer application
US8181230B2 (en) * 2008-06-30 2012-05-15 International Business Machines Corporation System and method for adaptive approximating of a user for role authorization in a hierarchical inter-organizational model
US8151008B2 (en) 2008-07-02 2012-04-03 Cradle Ip, Llc Method and system for performing DMA in a multi-core system-on-chip using deadline-based scheduling
US8325554B2 (en) * 2008-07-10 2012-12-04 Sanmina-Sci Corporation Battery-less cache memory module with integrated backup
US8205242B2 (en) 2008-07-10 2012-06-19 Mcafee, Inc. System and method for data mining and security policy management
US8954804B2 (en) * 2008-07-15 2015-02-10 Ati Technologies Ulc Secure boot circuit and method
US9176754B2 (en) 2008-07-16 2015-11-03 Google Inc. Method and system for executing applications using native code modules
US8706951B2 (en) * 2008-07-18 2014-04-22 Marvell World Trade Ltd. Selectively accessing faster or slower multi-level cell memory
US20100014670A1 (en) * 2008-07-18 2010-01-21 Texas Instruments Incorporated One-Way Hash Extension for Encrypted Communication
US8151349B1 (en) 2008-07-21 2012-04-03 Google Inc. Masking mechanism that facilitates safely executing untrusted native code
CH699208B1 (en) * 2008-07-25 2019-03-29 Em Microelectronic Marin Sa Shared memory processor circuit and buffer system.
US8112668B2 (en) 2008-07-29 2012-02-07 Texas Instruments Incorporated Dynamic broadcast of configuration loads supporting multiple transfer formats
CN101329719B (en) * 2008-08-01 2010-11-10 西安西电捷通无线网络通信股份有限公司 Anonymous authentication method suitable for homogeneous electronic label
US20100030627A1 (en) * 2008-08-01 2010-02-04 Christopher Lee system and method of managing project templates
EP2316180A4 (en) 2008-08-11 2011-12-28 Assa Abloy Ab Secure wiegand communications
US9253154B2 (en) 2008-08-12 2016-02-02 Mcafee, Inc. Configuration management for a capture/registration system
FR2935078B1 (en) * 2008-08-12 2012-11-16 Groupe Des Ecoles De Telecommunications Get Ecole Nationale Superieure Des Telecommunications Enst METHOD OF PROTECTING THE DECRYPTION OF CONFIGURATION FILES OF PROGRAMMABLE LOGIC CIRCUITS AND CIRCUIT USING THE METHOD
US9324072B1 (en) * 2008-08-22 2016-04-26 Ixys Intl Limited Bit-flipping memory controller to prevent SRAM data remanence
US8582052B2 (en) * 2008-08-22 2013-11-12 Gentex Corporation Discrete LED backlight control for a reduced power LCD display system
US20100049658A1 (en) * 2008-08-22 2010-02-25 Javier Sanchez Secure electronic transaction system
US8051467B2 (en) * 2008-08-26 2011-11-01 Atmel Corporation Secure information processing
US8239567B1 (en) * 2008-09-09 2012-08-07 Marvell International Ltd. Filtering superfluous data fragments on a computer network
US8356128B2 (en) * 2008-09-16 2013-01-15 Nvidia Corporation Method and system of reducing latencies associated with resource allocation by using multiple arbiters
US20100083365A1 (en) * 2008-09-30 2010-04-01 Naga Gurumoorthy Apparatus and method to harden computer system
US8132267B2 (en) 2008-09-30 2012-03-06 Intel Corporation Apparatus and method to harden computer system
US20100082846A1 (en) * 2008-10-01 2010-04-01 Kyung Hwan Kim Usb device and method for connecting the usb device with usb host
US20100085239A1 (en) * 2008-10-03 2010-04-08 Rosemount Aerospace Inc. Device and method for detecting a target using a high speed sampling device
US8161367B2 (en) * 2008-10-07 2012-04-17 Arm Limited Correction of single event upset error within sequential storage circuitry of an integrated circuit
US8370552B2 (en) * 2008-10-14 2013-02-05 Nvidia Corporation Priority based bus arbiters avoiding deadlock and starvation on buses that support retrying of transactions
US7825721B2 (en) * 2008-10-17 2010-11-02 United Technologies Corp. Systems and methods for filtering signals corresponding to sensed parameters
US8056044B2 (en) * 2008-10-21 2011-11-08 Atmel Corporation Signal processing
US8020053B2 (en) * 2008-10-29 2011-09-13 Hewlett-Packard Development Company, L.P. On-line memory testing
US8510713B1 (en) 2008-10-31 2013-08-13 Google Inc. Method and system for validating a disassembler
US20100132047A1 (en) * 2008-11-24 2010-05-27 Honeywell International Inc. Systems and methods for tamper resistant memory devices
US8180730B2 (en) * 2008-11-25 2012-05-15 International Business Machines Corporation Arbitration token for managing data integrity and data accuracy of information services that utilize distributed data replicas
US10452844B2 (en) * 2008-11-26 2019-10-22 International Business Machines Corporation Protecting isolated secret data of integrated circuit devices
JP5458556B2 (en) * 2008-11-27 2014-04-02 ソニー株式会社 Timing adjustment circuit, solid-state imaging device, and camera system
US8266593B2 (en) * 2008-12-01 2012-09-11 Wipro Limited System and method for analyzing performance of a software testing system
JP5199392B2 (en) * 2008-12-08 2013-05-15 パナソニック株式会社 System clock monitoring device and motor control system
US8417761B2 (en) * 2008-12-08 2013-04-09 International Business Machines Corporation Direct decimal number tripling in binary coded adders
US7895385B2 (en) * 2008-12-09 2011-02-22 Nvidia Corporation Establishing communication over serial buses in a slave device
US8194481B2 (en) 2008-12-18 2012-06-05 Mosaid Technologies Incorporated Semiconductor device with main memory unit and auxiliary memory unit requiring preset operation
US8037235B2 (en) * 2008-12-18 2011-10-11 Mosaid Technologies Incorporated Device and method for transferring data to a non-volatile memory device
JP2010149537A (en) * 2008-12-23 2010-07-08 Autonetworks Technologies Ltd Control apparatus, control method, and computer program
TWI387023B (en) * 2008-12-25 2013-02-21 Silicon Motion Inc Method of preventing data loss during a solder reflow process and memory device using the same
US8055936B2 (en) * 2008-12-31 2011-11-08 Pitney Bowes Inc. System and method for data recovery in a disabled integrated circuit
CN101772020B (en) * 2009-01-05 2011-12-28 华为技术有限公司 Method and system for authentication processing, 3GPP authentication authorization accounting server and user device
US8850591B2 (en) 2009-01-13 2014-09-30 Mcafee, Inc. System and method for concept building
US8706709B2 (en) 2009-01-15 2014-04-22 Mcafee, Inc. System and method for intelligent term grouping
US8125672B2 (en) * 2009-01-21 2012-02-28 Infoprint Solutions Company Llc Dual ink systems in a printer
US8788850B1 (en) * 2009-01-22 2014-07-22 Marvell International Ltd. Systems and methods for using a security circuit to monitor a voltage of an integrated circuit to counter security threats to the integrated circuit
KR20110128858A (en) 2009-02-12 2011-11-30 모사이드 테크놀로지스 인코퍼레이티드 Termination circuit for on-die termination
US8371669B1 (en) * 2009-02-18 2013-02-12 Marvell International Ltd. Fire timing control in printing devices
US8242790B2 (en) * 2009-02-23 2012-08-14 Lewis James M Method and system for detection of tampering related to reverse engineering
US8598890B2 (en) * 2009-02-23 2013-12-03 Lewis Innovative Technologies Method and system for protecting products and technology from integrated circuits which have been subject to tampering, stressing and replacement as well as detecting integrated circuits that have been subject to tampering
US8473442B1 (en) 2009-02-25 2013-06-25 Mcafee, Inc. System and method for intelligent state management
JP2010200090A (en) * 2009-02-26 2010-09-09 Toshiba Corp Phase compensation clock synchronizing circuit
AU2010224157A1 (en) * 2009-03-10 2011-10-20 Ims Software Services, Ltd. Systems and methods for address intelligence
US8266503B2 (en) 2009-03-13 2012-09-11 Fusion-Io Apparatus, system, and method for using multi-level cell storage in a single-level cell mode
WO2010106537A2 (en) * 2009-03-15 2010-09-23 Authix Tecnologies Srl. Remote product authentication
US8938717B1 (en) * 2009-03-16 2015-01-20 Xilinx, Inc. Updating an installed computer program
JP5366600B2 (en) * 2009-03-16 2013-12-11 キヤノン株式会社 Image forming apparatus
US8433880B2 (en) 2009-03-17 2013-04-30 Memoir Systems, Inc. System and method for storing data in a virtualized high speed memory system
US9442846B2 (en) * 2009-03-17 2016-09-13 Cisco Technology, Inc. High speed memory systems and methods for designing hierarchical memory systems
US8447722B1 (en) 2009-03-25 2013-05-21 Mcafee, Inc. System and method for data mining and security policy management
US8667121B2 (en) 2009-03-25 2014-03-04 Mcafee, Inc. System and method for managing data and policies
US8162433B2 (en) * 2009-03-30 2012-04-24 Xerox Corporation System and method for scheduling ink jet recovery in an ink jet printer
US8698823B2 (en) 2009-04-08 2014-04-15 Nvidia Corporation System and method for deadlock-free pipelining
US9569282B2 (en) 2009-04-24 2017-02-14 Microsoft Technology Licensing, Llc Concurrent mutation of isolated object graphs
US8726043B2 (en) 2009-04-29 2014-05-13 Empire Technology Development Llc Securing backing storage data passed through a network
US8352679B2 (en) * 2009-04-29 2013-01-08 Empire Technology Development Llc Selectively securing data and/or erasing secure data caches responsive to security compromising conditions
US8799671B2 (en) * 2009-05-06 2014-08-05 Empire Technology Development Llc Techniques for detecting encrypted data
US8924743B2 (en) 2009-05-06 2014-12-30 Empire Technology Development Llc Securing data caches through encryption
US8417754B2 (en) * 2009-05-11 2013-04-09 Empire Technology Development, Llc Identification of integrated circuits
US8180981B2 (en) * 2009-05-15 2012-05-15 Oracle America, Inc. Cache coherent support for flash in a memory hierarchy
US8281227B2 (en) 2009-05-18 2012-10-02 Fusion-10, Inc. Apparatus, system, and method to increase data integrity in a redundant storage system
US8307258B2 (en) 2009-05-18 2012-11-06 Fusion-10, Inc Apparatus, system, and method for reconfiguring an array to operate with less storage elements
US8755942B2 (en) * 2009-05-21 2014-06-17 Lennox Industries, Inc. Heating, ventilation and air conditioning system controller having a multifunctional indoor air quality sensor and method of controlling the system based on input from the sensor
US20100303239A1 (en) * 2009-05-27 2010-12-02 Fujitsu Limited Method and apparatus for protecting root key in control system
IL199272A0 (en) 2009-06-10 2012-07-16 Nds Ltd Protection of secret value using hardware instability
US9298894B2 (en) 2009-06-26 2016-03-29 International Business Machines Corporation Cache structure for a computer system providing support for secure objects
US9954875B2 (en) 2009-06-26 2018-04-24 International Business Machines Corporation Protecting from unintentional malware download
US8819446B2 (en) * 2009-06-26 2014-08-26 International Business Machines Corporation Support for secure objects in a computer system
US9846789B2 (en) 2011-09-06 2017-12-19 International Business Machines Corporation Protecting application programs from malicious software or malware
US8578175B2 (en) 2011-02-23 2013-11-05 International Business Machines Corporation Secure object having protected region, integrity tree, and unprotected region
US8954752B2 (en) 2011-02-23 2015-02-10 International Business Machines Corporation Building and distributing secure object software
JP4772891B2 (en) * 2009-06-30 2011-09-14 株式会社東芝 Host controller, computer terminal and card access method
US8797337B1 (en) 2009-07-02 2014-08-05 Google Inc. Graphics scenegraph rendering for web applications using native code modules
KR101196410B1 (en) * 2009-07-07 2012-11-01 삼성전자주식회사 Method for auto setting configuration of television according to installation type of television and television using the same
US8631411B1 (en) 2009-07-21 2014-01-14 The Research Foundation For The State University Of New York Energy aware processing load distribution system and method
US8984198B2 (en) * 2009-07-21 2015-03-17 Microchip Technology Incorporated Data space arbiter
WO2011013350A1 (en) * 2009-07-29 2011-02-03 パナソニック株式会社 Memory device, host device, and memory system
US8176150B2 (en) * 2009-08-12 2012-05-08 Dell Products L.P. Automated services procurement through multi-stage process
US8370935B1 (en) 2009-08-17 2013-02-05 Fatskunk, Inc. Auditing a device
US8375442B2 (en) * 2009-08-17 2013-02-12 Fatskunk, Inc. Auditing a device
US8949989B2 (en) 2009-08-17 2015-02-03 Qualcomm Incorporated Auditing a device
US8544089B2 (en) * 2009-08-17 2013-09-24 Fatskunk, Inc. Auditing a device
CN102696010B (en) 2009-09-08 2016-03-23 才智知识产权控股公司(2) For by the device of data cache on solid storage device, system and method
WO2011031903A2 (en) * 2009-09-09 2011-03-17 Fusion-Io, Inc. Apparatus, system, and method for allocating storage
US9122579B2 (en) 2010-01-06 2015-09-01 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for a storage layer
US8601222B2 (en) 2010-05-13 2013-12-03 Fusion-Io, Inc. Apparatus, system, and method for conditional and atomic storage operations
US9223514B2 (en) 2009-09-09 2015-12-29 SanDisk Technologies, Inc. Erase suspend/resume for memory
US8289801B2 (en) 2009-09-09 2012-10-16 Fusion-Io, Inc. Apparatus, system, and method for power reduction management in a storage device
US9084071B2 (en) * 2009-09-10 2015-07-14 Michael-Anthony Lisboa Simple mobile registration mechanism enabling automatic registration via mobile devices
US8689434B2 (en) * 2009-10-14 2014-04-08 Nanya Technology Corporation Integrated circuit manufacturing system
JP5556405B2 (en) * 2009-10-19 2014-07-23 株式会社リコー Power supply control apparatus, image forming apparatus, and power supply control method
CN102055887A (en) * 2009-10-29 2011-05-11 鸿富锦精密工业(深圳)有限公司 Network camera and data management and control method thereof
WO2011056868A2 (en) 2009-11-04 2011-05-12 New Jersey Institute Of Technology Differential frame based scheduling for input queued switches
US8131889B2 (en) 2009-11-10 2012-03-06 Apple Inc. Command queue for peripheral component
US8458233B2 (en) * 2009-11-25 2013-06-04 Cleversafe, Inc. Data de-duplication in a dispersed storage network utilizing data characterization
US9672109B2 (en) * 2009-11-25 2017-06-06 International Business Machines Corporation Adaptive dispersed storage network (DSN) and system
CN102725737B (en) * 2009-12-04 2016-04-20 密码研究公司 The encryption and decryption of anti-leak can be verified
DE102009047538B4 (en) * 2009-12-04 2018-02-22 Endress + Hauser Process Solutions Ag Method for optimizing the parameter setting of power supply parameters of a field device power module
US8452989B1 (en) * 2009-12-09 2013-05-28 Emc Corporation Providing security to an electronic device
CN102095956B (en) * 2009-12-11 2013-02-13 名硕电脑(苏州)有限公司 Detecting device and method
WO2011075167A1 (en) * 2009-12-15 2011-06-23 Memoir Systems,Inc. System and method for reduced latency caching
DE102009055271A1 (en) * 2009-12-23 2011-06-30 Carl Zeiss NTS GmbH, 73447 Method for generating a representation of an object by means of a particle beam and particle beam apparatus for carrying out the method
US20110161560A1 (en) * 2009-12-31 2011-06-30 Hutchison Neil D Erase command caching to improve erase performance on flash memory
US9134918B2 (en) * 2009-12-31 2015-09-15 Sandisk Technologies Inc. Physical compression of data with flat or systematic pattern
US9514055B2 (en) * 2009-12-31 2016-12-06 Seagate Technology Llc Distributed media cache for data storage systems
US8645930B2 (en) * 2010-01-04 2014-02-04 Apple Inc. System and method for obfuscation by common function and common function prototype
EP2524334B1 (en) * 2010-01-12 2020-07-08 Stc.Unm System and methods for generating unclonable security keys in integrated circuits
AU2011209673B2 (en) * 2010-01-26 2015-11-19 Emc Corporation System and method for network security including detection of man-in-the-browser attacks
US8380915B2 (en) 2010-01-27 2013-02-19 Fusion-Io, Inc. Apparatus, system, and method for managing solid-state storage media
US8315092B2 (en) * 2010-01-27 2012-11-20 Fusion-Io, Inc. Apparatus, system, and method for determining a read voltage threshold for solid-state storage media
US8661184B2 (en) 2010-01-27 2014-02-25 Fusion-Io, Inc. Managing non-volatile media
US8854882B2 (en) 2010-01-27 2014-10-07 Intelligent Intellectual Property Holdings 2 Llc Configuring storage cells
JP5446943B2 (en) * 2010-01-29 2014-03-19 ソニー株式会社 Printing system and printer apparatus control method
WO2011097482A1 (en) 2010-02-05 2011-08-11 Maxlinear, Inc. Conditional access integration in a soc for mobile tv applications
US8432981B1 (en) * 2010-03-10 2013-04-30 Smsc Holdings S.A.R.L. High frequency and idle communication signal state detection
US8370648B1 (en) * 2010-03-15 2013-02-05 Emc International Company Writing and reading encrypted data using time-based encryption keys
US9245653B2 (en) 2010-03-15 2016-01-26 Intelligent Intellectual Property Holdings 2 Llc Reduced level cell mode for non-volatile memory
KR20110105153A (en) * 2010-03-18 2011-09-26 삼성전자주식회사 Flipflop circuit and scan flipflop circuit
JP5479177B2 (en) * 2010-03-19 2014-04-23 株式会社Pfu Information processing apparatus, consumable management method, and program
US9141580B2 (en) * 2010-03-23 2015-09-22 Citrix Systems, Inc. Systems and methods for monitoring and maintaining consistency of a configuration
WO2011119985A2 (en) 2010-03-26 2011-09-29 Maxlinear, Inc. Firmware authentication and deciphering for secure tv receiver
US20120079279A1 (en) * 2010-03-29 2012-03-29 Maxlinear, Inc. Generation of SW Encryption Key During Silicon Manufacturing Process
WO2011123561A1 (en) 2010-03-30 2011-10-06 Maxlinear, Inc. Control word obfuscation in secure tv receiver
US20130132720A1 (en) * 2010-05-17 2013-05-23 Jon Parsons System and method for multi-dimensional secretion of digital data
US10353774B2 (en) * 2015-10-30 2019-07-16 International Business Machines Corporation Utilizing storage unit latency data in a dispersed storage network
JP5528209B2 (en) * 2010-05-20 2014-06-25 キヤノン株式会社 Image processing apparatus and image processing method
US9311664B2 (en) * 2010-05-25 2016-04-12 Salesforce.Com, Inc. Systems and methods for automatically collection of performance data in a multi-tenant database system environment
US20110302551A1 (en) * 2010-06-02 2011-12-08 Hummel Jr David Martin System and method for analytic process design
US8977936B2 (en) * 2010-06-10 2015-03-10 The Regents Of The University Of California Strong single and multiple error correcting WOM codes, coding methods and devices
US8433727B2 (en) * 2010-06-22 2013-04-30 Red Hat Israel, Ltd. Method and apparatus for restricting access to writable properties at runtime
US8514630B2 (en) 2010-07-09 2013-08-20 Sandisk Technologies Inc. Detection of word-line leakage in memory arrays: current based approach
US8432732B2 (en) 2010-07-09 2013-04-30 Sandisk Technologies Inc. Detection of word-line leakage in memory arrays
US8305807B2 (en) 2010-07-09 2012-11-06 Sandisk Technologies Inc. Detection of broken word-lines in memory arrays
US8782434B1 (en) 2010-07-15 2014-07-15 The Research Foundation For The State University Of New York System and method for validating program execution at run-time
US8782435B1 (en) 2010-07-15 2014-07-15 The Research Foundation For The State University Of New York System and method for validating program execution at run-time using control flow signatures
WO2012016089A2 (en) 2010-07-28 2012-02-02 Fusion-Io, Inc. Apparatus, system, and method for conditional and atomic storage operations
US8725934B2 (en) 2011-12-22 2014-05-13 Fusion-Io, Inc. Methods and appratuses for atomic storage operations
US9213522B2 (en) 2010-07-29 2015-12-15 Ford Global Technologies, Llc Systems and methods for scheduling driver interface tasks based on driver workload
US8972106B2 (en) 2010-07-29 2015-03-03 Ford Global Technologies, Llc Systems and methods for scheduling driver interface tasks based on driver workload
CN103003854B (en) 2010-07-29 2015-05-27 福特全球技术公司 Systems and methods for scheduling driver interface tasks based on driver workload
US8892855B2 (en) 2010-08-10 2014-11-18 Maxlinear, Inc. Encryption keys distribution for conditional access software in TV receiver SOC
JP2012043071A (en) * 2010-08-16 2012-03-01 Canon Inc Adjusting system, adjusting device, adjusting method and program for the same
US8867682B2 (en) * 2010-08-30 2014-10-21 Exar Corporation Dejitter (desynchronize) technique to smooth gapped clock with jitter/wander attenuation using all digital logic
US8984216B2 (en) 2010-09-09 2015-03-17 Fusion-Io, Llc Apparatus, system, and method for managing lifetime of a storage device
US8819672B2 (en) * 2010-09-20 2014-08-26 International Business Machines Corporation Multi-image migration system and method
JP5159849B2 (en) * 2010-09-24 2013-03-13 株式会社東芝 Memory management device and memory management method
US9454504B2 (en) * 2010-09-30 2016-09-27 Hewlett-Packard Development Company, L.P. Slave device bit sequence zero driver
WO2012048098A1 (en) 2010-10-06 2012-04-12 Blackbird Technology Holdings, Inc. Method and apparatus for low-power, long-range networking
WO2012048118A2 (en) 2010-10-06 2012-04-12 Blackbird Technology Holdings, Inc. Method and apparatus for adaptive searching of distributed datasets
US8718551B2 (en) 2010-10-12 2014-05-06 Blackbird Technology Holdings, Inc. Method and apparatus for a multi-band, multi-mode smartcard
US8532100B2 (en) * 2010-10-19 2013-09-10 Cisco Technology, Inc. System and method for data exchange in a heterogeneous multiprocessor system
US8904356B2 (en) 2010-10-20 2014-12-02 International Business Machines Corporation Collaborative software debugging in a distributed system with multi-member variable expansion
US8671393B2 (en) 2010-10-21 2014-03-11 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific dynamic breakpoints
US9009673B2 (en) 2010-10-21 2015-04-14 International Business Machines Corporation Collaborative software debugging in a distributed system with collaborative step over operation
US8972945B2 (en) 2010-10-21 2015-03-03 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific access control
US8687004B2 (en) * 2010-11-01 2014-04-01 Apple Inc. Font file with graphic images
US8806615B2 (en) 2010-11-04 2014-08-12 Mcafee, Inc. System and method for protecting specified data combinations
US8850397B2 (en) 2010-11-10 2014-09-30 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific display of local variables
US9411709B2 (en) 2010-11-10 2016-08-09 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific event alerts
US8990775B2 (en) 2010-11-10 2015-03-24 International Business Machines Corporation Collaborative software debugging in a distributed system with dynamically displayed chat sessions
US8622312B2 (en) 2010-11-16 2014-01-07 Blackbird Technology Holdings, Inc. Method and apparatus for interfacing with a smartcard
US9047178B2 (en) 2010-12-13 2015-06-02 SanDisk Technologies, Inc. Auto-commit memory synchronization
EP2652623B1 (en) 2010-12-13 2018-08-01 SanDisk Technologies LLC Apparatus, system, and method for auto-commit memory
US9208071B2 (en) 2010-12-13 2015-12-08 SanDisk Technologies, Inc. Apparatus, system, and method for accessing memory
US10817421B2 (en) 2010-12-13 2020-10-27 Sandisk Technologies Llc Persistent data structures
US10817502B2 (en) 2010-12-13 2020-10-27 Sandisk Technologies Llc Persistent memory management
US9218278B2 (en) 2010-12-13 2015-12-22 SanDisk Technologies, Inc. Auto-commit memory
US20120239860A1 (en) 2010-12-17 2012-09-20 Fusion-Io, Inc. Apparatus, system, and method for persistent data management on a non-volatile storage media
CN103210320B (en) 2010-12-21 2016-01-13 英派尔科技开发有限公司 For the virtual information of the location privacy in location Based service
FR2970133B1 (en) * 2010-12-30 2013-01-18 Thales Sa METHOD AND SYSTEM FOR TESTING CRYPTOGRAPHIC INTEGRITY OF ERROR TOLERANT DATA
US8589509B2 (en) 2011-01-05 2013-11-19 Cloudium Systems Limited Controlling and optimizing system latency
US20120179943A1 (en) * 2011-01-06 2012-07-12 International Business Machines Corporation Method for information transfer in a voltage-driven intelligent characterization bench for semiconductor
JP5598337B2 (en) * 2011-01-12 2014-10-01 ソニー株式会社 Memory access control circuit, prefetch circuit, memory device, and information processing system
US9213594B2 (en) 2011-01-19 2015-12-15 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for managing out-of-service conditions
WO2012100145A1 (en) * 2011-01-21 2012-07-26 Blackbird Technology Holdings, Inc. Method and apparatus for memory management
EP2668593A4 (en) 2011-01-28 2016-06-29 Hewlett Packard Development Co Document management system and method
US8484477B2 (en) 2011-01-30 2013-07-09 Hewlett-Packard Development Company, L.P. Document management system and method
US9092337B2 (en) 2011-01-31 2015-07-28 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for managing eviction of data
WO2012109139A1 (en) * 2011-02-08 2012-08-16 Telcordia Technologies, Inc. Method and apparatus for secure data representation allowing efficient collection, search and retrieval
JP5842335B2 (en) * 2011-02-08 2016-01-13 セイコーエプソン株式会社 Image recording apparatus, image recording apparatus control method, and program
US9201677B2 (en) 2011-05-23 2015-12-01 Intelligent Intellectual Property Holdings 2 Llc Managing data input/output operations
US9003104B2 (en) 2011-02-15 2015-04-07 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for a file-level cache
US8874823B2 (en) 2011-02-15 2014-10-28 Intellectual Property Holdings 2 Llc Systems and methods for managing data input/output operations
WO2012112650A1 (en) 2011-02-15 2012-08-23 Blackbird Technology Holdings, Inc. Method and apparatus for plug and play, networkable iso 18000-7 connectivity
JP2012174184A (en) * 2011-02-24 2012-09-10 Canon Inc Information processing device and control method of information processing device
US9141527B2 (en) 2011-02-25 2015-09-22 Intelligent Intellectual Property Holdings 2 Llc Managing cache pools
US20120221767A1 (en) * 2011-02-28 2012-08-30 Apple Inc. Efficient buffering for a system having non-volatile memory
US9154392B2 (en) 2011-03-02 2015-10-06 Blackbird Technology Holdings, Inc. Method and apparatus for power autoscaling in a resource-constrained network
US8763075B2 (en) * 2011-03-07 2014-06-24 Adtran, Inc. Method and apparatus for network access control
US8493120B2 (en) 2011-03-10 2013-07-23 Arm Limited Storage circuitry and method with increased resilience to single event upsets
CN102180022B (en) * 2011-03-11 2013-08-14 珠海艾派克微电子有限公司 Imaging box, imaging device and imaging control method
US8364729B2 (en) 2011-03-17 2013-01-29 Hewlett-Packard Development Company, L.P. Document management system and method
US8966191B2 (en) 2011-03-18 2015-02-24 Fusion-Io, Inc. Logical interface for contextual storage
US9563555B2 (en) 2011-03-18 2017-02-07 Sandisk Technologies Llc Systems and methods for storage allocation
US8649609B1 (en) 2011-03-24 2014-02-11 The United States Of America As Represented By The Adminstrator Of The National Aeronautics And Space Administration Field programmable gate array apparatus, method, and computer program
TW201242333A (en) * 2011-04-06 2012-10-16 Hon Hai Prec Ind Co Ltd Image processing apparatus and method for controlling image processing apparatus
TWI438632B (en) * 2011-04-14 2014-05-21 Mstar Semiconductor Inc Controlling method and controller for memory
US8806438B2 (en) 2011-04-20 2014-08-12 International Business Machines Corporation Collaborative software debugging in a distributed system with variable-specific messages
US8656360B2 (en) 2011-04-20 2014-02-18 International Business Machines Corporation Collaborative software debugging in a distributed system with execution resumption on consensus
US8739127B2 (en) * 2011-04-20 2014-05-27 International Business Machines Corporation Collaborative software debugging in a distributed system with symbol locking
WO2011113377A2 (en) * 2011-04-26 2011-09-22 华为技术有限公司 Method and apparatus for calibrating low frequency clock
US8719957B2 (en) 2011-04-29 2014-05-06 Altera Corporation Systems and methods for detecting and mitigating programmable logic device tampering
US8379454B2 (en) 2011-05-05 2013-02-19 Sandisk Technologies Inc. Detection of broken word-lines in memory arrays
US9063862B2 (en) 2011-05-17 2015-06-23 Sandisk Technologies Inc. Expandable data cache
US20120302212A1 (en) * 2011-05-25 2012-11-29 Critical Medical Solutions, Inc. Secure mobile radiology communication system
US8977930B1 (en) * 2011-06-02 2015-03-10 Drc Computer Corporation Memory architecture optimized for random access
US9077499B2 (en) * 2011-06-15 2015-07-07 Metanoia Communications Inc. Automatic power saving for communication systems
US8817976B2 (en) * 2011-06-24 2014-08-26 Gregory Scott Callen Reversible cipher
US8756577B2 (en) 2011-06-28 2014-06-17 International Business Machines Corporation Collaborative software debugging in a distributed system with private debug sessions
US20130002315A1 (en) * 2011-07-01 2013-01-03 Philippe Boucard Asynchronous clock adapter
US8929961B2 (en) 2011-07-15 2015-01-06 Blackbird Technology Holdings, Inc. Protective case for adding wireless functionality to a handheld electronic device
TWI446160B (en) * 2011-07-21 2014-07-21 Silicon Motion Inc Flash memory controller and data read method
US8601276B2 (en) 2011-07-27 2013-12-03 Hewlett-Packard Development Company, L.P. Managing access to a secure content-part of a PPCD following introduction of the PPCD into a workflow
US8984298B2 (en) 2011-07-27 2015-03-17 Hewlett-Packard Development Company, L.P. Managing access to a secure content-part of a PPCD using a key reset point
US8726104B2 (en) 2011-07-28 2014-05-13 Sandisk Technologies Inc. Non-volatile memory and method with accelerated post-write read using combined verification of multiple pages
US8750042B2 (en) 2011-07-28 2014-06-10 Sandisk Technologies Inc. Combined simultaneous sensing of multiple wordlines in a post-write read (PWR) and detection of NAND failures
US8775901B2 (en) 2011-07-28 2014-07-08 SanDisk Technologies, Inc. Data recovery for defective word lines during programming of non-volatile memory arrays
US8880713B2 (en) * 2011-07-29 2014-11-04 General Electric Company System and methods for use in communicating with an energy management device in an energy device network
JP2013031961A (en) * 2011-08-02 2013-02-14 Ricoh Co Ltd Power supply control device and image forming apparatus
US9021146B2 (en) 2011-08-30 2015-04-28 Apple Inc. High priority command queue for peripheral component
US8821012B2 (en) 2011-08-31 2014-09-02 Semiconductor Components Industries, Llc Combined device identification and temperature measurement
US8845189B2 (en) * 2011-08-31 2014-09-30 Semiconductor Components Industries, Llc Device identification and temperature sensor circuit
US9231926B2 (en) 2011-09-08 2016-01-05 Lexmark International, Inc. System and method for secured host-slave communication
US8872635B2 (en) * 2011-10-25 2014-10-28 Static Control Components, Inc. Systems and methods for verifying a chip
US8334705B1 (en) 2011-10-27 2012-12-18 Certicom Corp. Analog circuitry to conceal activity of logic circuitry
US8635467B2 (en) 2011-10-27 2014-01-21 Certicom Corp. Integrated circuit with logic circuitry and multiple concealing circuits
US9069494B2 (en) * 2011-10-31 2015-06-30 Xerox Corporation Evaluating and managing image quality performance and improving service effectiveness of groups of production printers
US8938658B2 (en) 2011-11-07 2015-01-20 Sandisk Enterprise Ip Llc Statistical read comparison signal generation for memory systems
US9288161B2 (en) * 2011-12-05 2016-03-15 International Business Machines Corporation Verifying the functionality of an integrated circuit
US9330031B2 (en) 2011-12-09 2016-05-03 Nvidia Corporation System and method for calibration of serial links using a serial-to-parallel loopback
US9262250B2 (en) 2011-12-12 2016-02-16 Crashlytics, Inc. System and method for data collection and analysis of information relating to mobile applications
US9087154B1 (en) * 2011-12-12 2015-07-21 Crashlytics, Inc. System and method for providing additional functionality to developer side application in an integrated development environment
US9703680B1 (en) 2011-12-12 2017-07-11 Google Inc. System and method for automatic software development kit configuration and distribution
WO2013088506A1 (en) * 2011-12-13 2013-06-20 キヤノン株式会社 Method for manufacturing nozzle tips
US9274937B2 (en) 2011-12-22 2016-03-01 Longitude Enterprise Flash S.A.R.L. Systems, methods, and interfaces for vector input/output operations
US20130246431A1 (en) 2011-12-27 2013-09-19 Mcafee, Inc. System and method for providing data protection workflows in a network environment
US20130163034A1 (en) * 2011-12-27 2013-06-27 Xerox Corporation Vendor selection method and system for wide format printing
US8458804B1 (en) 2011-12-29 2013-06-04 Elwha Llc Systems and methods for preventing data remanence in memory
US9213645B2 (en) 2011-12-29 2015-12-15 Sandisk Technologies Inc. Command aware partial page programming
US9437967B2 (en) 2011-12-30 2016-09-06 Bedrock Automation Platforms, Inc. Electromagnetic connector for an industrial control system
US10834820B2 (en) 2013-08-06 2020-11-10 Bedrock Automation Platforms Inc. Industrial control system cable
US9467297B2 (en) 2013-08-06 2016-10-11 Bedrock Automation Platforms Inc. Industrial control system redundant communications/control modules authentication
US8868813B2 (en) 2011-12-30 2014-10-21 Bedrock Automation Platforms Inc. Communications control system with a serial communications interface and a parallel communications interface
US9600434B1 (en) 2011-12-30 2017-03-21 Bedrock Automation Platforms, Inc. Switch fabric having a serial communications interface and a parallel communications interface
US9727511B2 (en) 2011-12-30 2017-08-08 Bedrock Automation Platforms Inc. Input/output module with multi-channel switching capability
US8971072B2 (en) 2011-12-30 2015-03-03 Bedrock Automation Platforms Inc. Electromagnetic connector for an industrial control system
US10834094B2 (en) 2013-08-06 2020-11-10 Bedrock Automation Platforms Inc. Operator action authentication in an industrial control system
US9191203B2 (en) 2013-08-06 2015-11-17 Bedrock Automation Platforms Inc. Secure industrial control system
US11314854B2 (en) 2011-12-30 2022-04-26 Bedrock Automation Platforms Inc. Image capture devices for a secure industrial control system
US11144630B2 (en) 2011-12-30 2021-10-12 Bedrock Automation Platforms Inc. Image capture devices for a secure industrial control system
EP2800664B1 (en) 2012-01-05 2016-08-31 ZIH Corp. Method and apparatus for printhead control
US9370939B2 (en) 2012-01-05 2016-06-21 Zih Corp. Method and apparatus for printer control
US20130179614A1 (en) * 2012-01-10 2013-07-11 Diarmuid P. Ross Command Abort to Reduce Latency in Flash Memory Access
US9570124B2 (en) * 2012-01-11 2017-02-14 Viavi Solutions Inc. High speed logging system
US9767032B2 (en) 2012-01-12 2017-09-19 Sandisk Technologies Llc Systems and methods for cache endurance
US9251052B2 (en) 2012-01-12 2016-02-02 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for profiling a non-volatile cache having a logical-to-physical translation layer
US10102117B2 (en) 2012-01-12 2018-10-16 Sandisk Technologies Llc Systems and methods for cache and storage device coordination
US9299451B2 (en) 2012-01-20 2016-03-29 International Business Machines Corporation Tamper resistant electronic system utilizing acceptable tamper threshold count
US8918680B2 (en) 2012-01-23 2014-12-23 Apple Inc. Trace queue for peripheral component
US9251086B2 (en) 2012-01-24 2016-02-02 SanDisk Technologies, Inc. Apparatus, system, and method for managing a cache
US9116812B2 (en) 2012-01-27 2015-08-25 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for a de-duplication cache
US10359972B2 (en) 2012-08-31 2019-07-23 Sandisk Technologies Llc Systems, methods, and interfaces for adaptive persistence
US9059168B2 (en) 2012-02-02 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable meander line resistor
US8890222B2 (en) 2012-02-03 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Meander line resistor structure
US10078112B2 (en) 2012-02-07 2018-09-18 Mcube, Inc. Security system and methods for integrated devices
US9418247B2 (en) * 2012-02-07 2016-08-16 MCube Inc. Security system and methods for integrated devices
US8918885B2 (en) * 2012-02-09 2014-12-23 International Business Machines Corporation Automatic discovery of system integrity exposures in system code
US8812466B2 (en) * 2012-02-10 2014-08-19 International Business Machines Corporation Detecting and combating attack in protection system of an industrial control system
EP2820564B1 (en) 2012-02-29 2019-04-10 Global File Systems Holdings, LLC Stream recognition and filtering
TWI475866B (en) * 2012-03-02 2015-03-01 Univ Nat Cheng Kung An authentication method of a chain structure
US10019353B2 (en) 2012-03-02 2018-07-10 Longitude Enterprise Flash S.A.R.L. Systems and methods for referencing data on a storage medium
US8914767B2 (en) * 2012-03-12 2014-12-16 Symantec Corporation Systems and methods for using quick response codes to activate software applications
US8699715B1 (en) * 2012-03-27 2014-04-15 Emc Corporation On-demand proactive epoch control for cryptographic devices
US8776195B1 (en) * 2012-03-30 2014-07-08 Emc Corporation Common data format in knowledge-based authentication
US9764561B2 (en) 2012-04-04 2017-09-19 Xerox Corporation System and method for clearing weak and missing inkjets in an inkjet printer
US9171144B2 (en) 2012-04-13 2015-10-27 Lewis Innovative Technologies Electronic physical unclonable functions
US8985723B2 (en) 2012-04-20 2015-03-24 Xerox Corporation System and method of compensating for defective inkjets
US9131376B2 (en) 2012-04-20 2015-09-08 Bank Of America Corporation Proximity-based dynamic vehicle navigation
DE102012103466B4 (en) * 2012-04-20 2015-08-27 Océ Printing Systems GmbH & Co. KG Printing process and printing device
JP5918004B2 (en) * 2012-04-27 2016-05-18 株式会社東海理化電機製作所 Electronic key registration system
WO2013175224A1 (en) * 2012-05-23 2013-11-28 University Of Leeds Secure communication
US8854413B2 (en) 2012-06-01 2014-10-07 Cisco Technology, Inc. Communicating with an endpoint using matrix barcodes
WO2013186889A1 (en) * 2012-06-14 2013-12-19 三菱電機株式会社 I/o device, programmable logic controller, and arithmetic processing method
US8804415B2 (en) 2012-06-19 2014-08-12 Fusion-Io, Inc. Adaptive voltage range management in non-volatile memory
FR2992083B1 (en) * 2012-06-19 2014-07-04 Alstom Transport Sa COMPUTER, COMMUNICATION ASSEMBLY COMPRISING SUCH A COMPUTER, RAIL MANAGEMENT SYSTEM COMPRISING SUCH A SET, AND METHOD FOR RELIABILITY OF DATA IN A COMPUTER
US9618635B2 (en) 2012-06-21 2017-04-11 Honeywell International Inc. Integrated radiation sensitive circuit
US8575560B1 (en) 2012-06-21 2013-11-05 Honeywell International Inc. Integrated circuit cumulative dose radiation sensor
US8933412B2 (en) 2012-06-21 2015-01-13 Honeywell International Inc. Integrated comparative radiation sensitive circuit
US8667141B2 (en) * 2012-07-03 2014-03-04 Xerox Corporation Method and system for handling load on a service component in a network
US9612966B2 (en) 2012-07-03 2017-04-04 Sandisk Technologies Llc Systems, methods and apparatus for a virtual machine cache
US10339056B2 (en) 2012-07-03 2019-07-02 Sandisk Technologies Llc Systems, methods and apparatus for cache transfers
US20140012750A1 (en) * 2012-07-09 2014-01-09 Jvl Ventures, Llc Systems, methods, and computer program products for integrating third party services with a mobile wallet
US8955937B2 (en) 2012-07-23 2015-02-17 Xerox Corporation System and method for inoperable inkjet compensation
US9258907B2 (en) 2012-08-09 2016-02-09 Lockheed Martin Corporation Conformal 3D non-planar multi-layer circuitry
US9699263B1 (en) 2012-08-17 2017-07-04 Sandisk Technologies Llc. Automatic read and write acceleration of data accessed by virtual machines
JP5750414B2 (en) 2012-08-27 2015-07-22 東芝テック株式会社 Inkjet head drive device
US8786889B2 (en) * 2012-08-29 2014-07-22 Eastman Kodak Company Method for computing scale for tag insertion
US8928929B2 (en) * 2012-08-29 2015-01-06 Eastman Kodak Company System for generating tag layouts
US10158927B1 (en) * 2012-09-05 2018-12-18 Google Llc Systems and methods for detecting audio-video synchronization using timestamps
US9122873B2 (en) 2012-09-14 2015-09-01 The Research Foundation For The State University Of New York Continuous run-time validation of program execution: a practical approach
US9319878B2 (en) 2012-09-14 2016-04-19 Qualcomm Incorporated Streaming alignment of key stream to unaligned data stream
US8938796B2 (en) 2012-09-20 2015-01-20 Paul Case, SR. Case secure computer architecture
US10318495B2 (en) 2012-09-24 2019-06-11 Sandisk Technologies Llc Snapshots for a non-volatile device
US10509776B2 (en) 2012-09-24 2019-12-17 Sandisk Technologies Llc Time sequence data management
US9979960B2 (en) 2012-10-01 2018-05-22 Microsoft Technology Licensing, Llc Frame packing and unpacking between frames of chroma sampling formats with different chroma resolutions
US20200322364A1 (en) * 2012-10-02 2020-10-08 Mordecai Barkan Program verification and malware detection
KR102017828B1 (en) * 2012-10-19 2019-09-03 삼성전자 주식회사 Security management unit, host controller interface including the same, method for operating the host controller interface, and devices including the host controller interface
KR102031661B1 (en) * 2012-10-23 2019-10-14 삼성전자주식회사 Data storage device and controller, and operation method of data storage device
CN102929674B (en) * 2012-11-02 2016-02-10 威盛电子股份有限公司 Electronic installation and starting-up method
US9595350B2 (en) * 2012-11-05 2017-03-14 Nxp Usa, Inc. Hardware-based memory initialization
US9098709B2 (en) * 2012-11-13 2015-08-04 International Business Machines Corporation Protection of user data in hosted application environments
US8714692B1 (en) 2012-12-04 2014-05-06 Xerox Corporation System and method of compensating for defective inkjets with context dependent image data
GB2508631A (en) * 2012-12-06 2014-06-11 Ibm Propagating a query in a network by applying a delay at a node
KR20140076840A (en) * 2012-12-13 2014-06-23 에스케이하이닉스 주식회사 Integrated circuit and semiconductor device using the same
US9501398B2 (en) 2012-12-26 2016-11-22 Sandisk Technologies Llc Persistent storage device with NVRAM for staging writes
US9239751B1 (en) 2012-12-27 2016-01-19 Sandisk Enterprise Ip Llc Compressing data from multiple reads for error control management in memory systems
US9612948B2 (en) 2012-12-27 2017-04-04 Sandisk Technologies Llc Reads and writes between a contiguous data block and noncontiguous sets of logical address blocks in a persistent storage device
US10032659B2 (en) 2012-12-28 2018-07-24 Sunedison Semiconductor Limited (Uen201334164H) Methods and systems for preventing unsafe operations
US9454420B1 (en) 2012-12-31 2016-09-27 Sandisk Technologies Llc Method and system of reading threshold voltage equalization
US20140197865A1 (en) 2013-01-11 2014-07-17 International Business Machines Corporation On-chip randomness generation
JP6071565B2 (en) * 2013-01-11 2017-02-01 キヤノン株式会社 Method for manufacturing liquid discharge head
EP2759405B1 (en) * 2013-01-25 2018-09-19 Müller Martini Holding AG Method for the capture and transmission of process control data prior to and/or within a print process for the production of printed products in a printing machine
US9218509B2 (en) 2013-02-08 2015-12-22 Everspin Technologies, Inc. Response to tamper detection in a memory device
US9135970B2 (en) 2013-02-08 2015-09-15 Everspin Technologies, Inc. Tamper detection and response in a memory device
US8824014B1 (en) 2013-02-11 2014-09-02 Xerox Corporation System and method for adjustment of coverage parameters for different colors in image data
US9065632B2 (en) * 2013-02-20 2015-06-23 Qualcomm Incorporated Message authentication using a universal hash function computed with carryless multiplication
US9088459B1 (en) * 2013-02-22 2015-07-21 Jpmorgan Chase Bank, N.A. Breadth-first resource allocation system and methods
US8772745B1 (en) 2013-03-14 2014-07-08 Lockheed Martin Corporation X-ray obscuration film and related techniques
US9870830B1 (en) 2013-03-14 2018-01-16 Sandisk Technologies Llc Optimal multilevel sensing for reading data from a storage medium
US9753487B2 (en) 2013-03-14 2017-09-05 Micron Technology, Inc. Serial peripheral interface and methods of operating same
US9215075B1 (en) 2013-03-15 2015-12-15 Poltorak Technologies Llc System and method for secure relayed communications from an implantable medical device
US9244763B1 (en) 2013-03-15 2016-01-26 Sandisk Enterprise Ip Llc System and method for updating a reading threshold voltage based on symbol transition information
US9842053B2 (en) 2013-03-15 2017-12-12 Sandisk Technologies Llc Systems and methods for persistent cache logging
US9136877B1 (en) 2013-03-15 2015-09-15 Sandisk Enterprise Ip Llc Syndrome layered decoding for LDPC codes
US9059742B1 (en) 2013-03-15 2015-06-16 Western Digital Technologies, Inc. System and method for dynamic scaling of LDPC decoder in a solid state drive
US9236886B1 (en) 2013-03-15 2016-01-12 Sandisk Enterprise Ip Llc Universal and reconfigurable QC-LDPC encoder
US9367246B2 (en) 2013-03-15 2016-06-14 Sandisk Technologies Inc. Performance optimization of data transfer for soft information generation
WO2014155363A1 (en) 2013-03-29 2014-10-02 Ologn Technologies Ag Systems, methods and apparatuses for secure storage of data using a security-enhancing chip
US9235468B2 (en) * 2013-04-12 2016-01-12 Qualcomm Incorporated Systems and methods to improve the reliability and lifespan of flash memory
US10558561B2 (en) 2013-04-16 2020-02-11 Sandisk Technologies Llc Systems and methods for storage metadata management
US10102144B2 (en) 2013-04-16 2018-10-16 Sandisk Technologies Llc Systems, methods and interfaces for data virtualization
RU2518950C9 (en) * 2013-05-06 2014-09-10 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина)" Method of encrypting n-bit unit m
CN109922462B (en) * 2013-05-06 2022-03-25 康维达无线有限责任公司 Apparatus and method for managing bootstrap
US8896086B1 (en) * 2013-05-30 2014-11-25 Freescale Semiconductor, Inc. System for preventing tampering with integrated circuit
US10181124B2 (en) * 2013-05-30 2019-01-15 Dell Products, L.P. Verifying OEM components within an information handling system using original equipment manufacturer (OEM) identifier
US9230137B2 (en) * 2013-05-30 2016-01-05 Dell Products, L.P. Secure original equipment manufacturer (OEM) identifier for OEM devices
US9159437B2 (en) 2013-06-11 2015-10-13 Sandisk Enterprise IP LLC. Device and method for resolving an LM flag issue
DE102013212525A1 (en) * 2013-06-27 2014-12-31 Siemens Aktiengesellschaft Data storage device for protected data exchange between different security zones
US10068228B1 (en) 2013-06-28 2018-09-04 Winklevoss Ip, Llc Systems and methods for storing digital math-based assets using a secure portal
US9892460B1 (en) 2013-06-28 2018-02-13 Winklevoss Ip, Llc Systems, methods, and program products for operating exchange traded products holding digital math-based assets
US10354325B1 (en) 2013-06-28 2019-07-16 Winklevoss Ip, Llc Computer-generated graphical user interface
US10269009B1 (en) 2013-06-28 2019-04-23 Winklevoss Ip, Llc Systems, methods, and program products for a digital math-based asset exchange
US9397500B2 (en) * 2013-06-28 2016-07-19 Solantro Semiconductor Corp. Inverter with extended endurance memory
US11282139B1 (en) 2013-06-28 2022-03-22 Gemini Ip, Llc Systems, methods, and program products for verifying digital assets held in a custodial digital asset wallet
CN105379304B (en) * 2013-07-04 2018-11-13 富士通株式会社 Data network management system, data network management device, data processing equipment and data network management method
CN103915119B (en) 2013-07-11 2017-02-15 威盛电子股份有限公司 Data storage device and flash memory control method
US9194914B2 (en) * 2013-07-16 2015-11-24 Advanced Micro Devices, Inc. Power supply monitor for detecting faults during scan testing
US9179527B2 (en) * 2013-07-16 2015-11-03 General Electric Company Programmable light emitting diode (LED) driver technique based upon a prefix signal
US9131578B2 (en) 2013-07-16 2015-09-08 General Electric Company Programmable light emitting diode (LED) driver technique based upon an input voltage signal
US9384126B1 (en) 2013-07-25 2016-07-05 Sandisk Technologies Inc. Methods and systems to avoid false negative results in bloom filters implemented in non-volatile data storage systems
US9524235B1 (en) 2013-07-25 2016-12-20 Sandisk Technologies Llc Local hash value generation in non-volatile data storage systems
US9842128B2 (en) 2013-08-01 2017-12-12 Sandisk Technologies Llc Systems and methods for atomic storage operations
US10613567B2 (en) 2013-08-06 2020-04-07 Bedrock Automation Platforms Inc. Secure power supply for an industrial control system
US9639463B1 (en) 2013-08-26 2017-05-02 Sandisk Technologies Llc Heuristic aware garbage collection scheme in storage systems
US9361221B1 (en) 2013-08-26 2016-06-07 Sandisk Technologies Inc. Write amplification reduction through reliable writes during garbage collection
US9466236B2 (en) * 2013-09-03 2016-10-11 Synaptics Incorporated Dithering to avoid pixel value conversion errors
WO2015035338A1 (en) 2013-09-06 2015-03-12 Futurewei Technologies, Inc. Method and apparatus for asynchronous processor with a token ring based parallel processor scheduler
US9569385B2 (en) 2013-09-09 2017-02-14 Nvidia Corporation Memory transaction ordering
EP2849024A1 (en) 2013-09-16 2015-03-18 ST-Ericsson SA Power consumption management system and method
JP6146570B2 (en) * 2013-09-20 2017-06-14 東芝ライテック株式会社 Dimming control system
US9189617B2 (en) * 2013-09-27 2015-11-17 Intel Corporation Apparatus and method for implementing zero-knowledge proof security techniques on a computing platform
US9195857B2 (en) * 2013-09-30 2015-11-24 Infineon Technologies Ag Computational system
US20150095222A1 (en) * 2013-10-02 2015-04-02 Tyfone, Inc. Dynamic identity representation in mobile devices
US20150097839A1 (en) * 2013-10-07 2015-04-09 Tektronix, Inc. Stochastic rasterization of waveform trace displays
WO2015057116A1 (en) * 2013-10-15 2015-04-23 Telefonaktiebolaget L M Ericsson (Publ) Establishing a secure connection between a master device and a slave device
US9298608B2 (en) 2013-10-18 2016-03-29 Sandisk Enterprise Ip Llc Biasing for wear leveling in storage systems
US10019352B2 (en) 2013-10-18 2018-07-10 Sandisk Technologies Llc Systems and methods for adaptive reserve storage
US10019320B2 (en) 2013-10-18 2018-07-10 Sandisk Technologies Llc Systems and methods for distributed atomic storage operations
US9442662B2 (en) 2013-10-18 2016-09-13 Sandisk Technologies Llc Device and method for managing die groups
KR102197505B1 (en) * 2013-10-25 2020-12-31 마이크로소프트 테크놀로지 라이센싱, 엘엘씨 Representing blocks with hash values in video and image coding and decoding
KR20160075705A (en) * 2013-10-25 2016-06-29 마이크로소프트 테크놀로지 라이센싱, 엘엘씨 Hash-based block matching in video and image coding
US9436831B2 (en) * 2013-10-30 2016-09-06 Sandisk Technologies Llc Secure erase in a memory device
US9263156B2 (en) 2013-11-07 2016-02-16 Sandisk Enterprise Ip Llc System and method for adjusting trip points within a storage device
US10073630B2 (en) 2013-11-08 2018-09-11 Sandisk Technologies Llc Systems and methods for log coordination
KR20160085325A (en) 2013-11-12 2016-07-15 프린트릴리프 인크. Automated computer controlled system for measuring the consumption of printer resources and transacting environmental offsets
US9244785B2 (en) 2013-11-13 2016-01-26 Sandisk Enterprise Ip Llc Simulated power failure and data hardening
US9703816B2 (en) 2013-11-19 2017-07-11 Sandisk Technologies Llc Method and system for forward reference logging in a persistent datastore
US9520197B2 (en) 2013-11-22 2016-12-13 Sandisk Technologies Llc Adaptive erase of a storage device
US20150149024A1 (en) * 2013-11-22 2015-05-28 Sikorsky Aircraft Corporation Latency tolerant fault isolation
US9520162B2 (en) 2013-11-27 2016-12-13 Sandisk Technologies Llc DIMM device controller supervisor
US9582058B2 (en) 2013-11-29 2017-02-28 Sandisk Technologies Llc Power inrush management of storage devices
US9235245B2 (en) 2013-12-04 2016-01-12 Sandisk Enterprise Ip Llc Startup performance and power isolation
US9223965B2 (en) 2013-12-10 2015-12-29 International Business Machines Corporation Secure generation and management of a virtual card on a mobile device
US9235692B2 (en) 2013-12-13 2016-01-12 International Business Machines Corporation Secure application debugging
US9497178B2 (en) 2013-12-31 2016-11-15 International Business Machines Corporation Generating challenge response sets utilizing semantic web technology
US9659137B2 (en) * 2014-02-18 2017-05-23 Samsung Electronics Co., Ltd. Method of verifying layout of mask ROM
US9703314B2 (en) * 2014-02-26 2017-07-11 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for a variable frequency and phase clock generation circuit
RU2564243C1 (en) * 2014-02-28 2015-09-27 Открытое Акционерное Общество "Информационные Технологии И Коммуникационные Системы" Cryptographic transformation method
US9703636B2 (en) 2014-03-01 2017-07-11 Sandisk Technologies Llc Firmware reversion trigger and control
US10368092B2 (en) * 2014-03-04 2019-07-30 Microsoft Technology Licensing, Llc Encoder-side decisions for block flipping and skip mode in intra block copy prediction
EP3114838B1 (en) * 2014-03-04 2018-02-07 Microsoft Technology Licensing, LLC Hash table construction and availability checking for hash-based block matching
US9542558B2 (en) 2014-03-12 2017-01-10 Apple Inc. Secure factory data generation and restoration
US9448876B2 (en) 2014-03-19 2016-09-20 Sandisk Technologies Llc Fault detection and prediction in storage devices
US9390814B2 (en) 2014-03-19 2016-07-12 Sandisk Technologies Llc Fault detection and prediction for data storage elements
US9454448B2 (en) 2014-03-19 2016-09-27 Sandisk Technologies Llc Fault testing in storage devices
US9324448B2 (en) 2014-03-25 2016-04-26 Semiconductor Components Industries, Llc Fuse element programming circuit and method
US9641809B2 (en) * 2014-03-25 2017-05-02 Nxp Usa, Inc. Circuit arrangement and method for processing a digital video stream and for detecting a fault in a digital video stream, digital video system and computer readable program product
RU2542880C1 (en) * 2014-03-31 2015-02-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет"ЛЭТИ" им. В.И. Ульянова (Ленина)" Method of encrypting binary data unit
US9626399B2 (en) 2014-03-31 2017-04-18 Sandisk Technologies Llc Conditional updates for reducing frequency of data modification operations
US9626400B2 (en) 2014-03-31 2017-04-18 Sandisk Technologies Llc Compaction of information in tiered data structure
US9390021B2 (en) 2014-03-31 2016-07-12 Sandisk Technologies Llc Efficient cache utilization in a tiered data structure
TWI553484B (en) * 2014-04-01 2016-10-11 Nat Univ Chung Cheng Prospective measurement processing device and processing method thereof
US9697267B2 (en) 2014-04-03 2017-07-04 Sandisk Technologies Llc Methods and systems for performing efficient snapshots in tiered data structures
RU2542929C1 (en) * 2014-04-14 2015-02-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина)" Method to code data unit represented as bit string
RU2542926C1 (en) * 2014-04-14 2015-02-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина)" Method to code message represented as multidigit binary number
DE102014207479A1 (en) * 2014-04-17 2015-10-22 Robert Bosch Gmbh Method for classifying a data segment with regard to its further processing
US9768957B2 (en) * 2014-04-23 2017-09-19 Cryptography Research, Inc. Generation and management of multiple base keys based on a device generated key
US9246501B2 (en) 2014-04-29 2016-01-26 Honeywell International Inc. Converter for analog inputs
EP2940869B1 (en) * 2014-04-30 2017-09-06 Nxp B.V. Synchronised logic circuit
WO2015174979A1 (en) * 2014-05-15 2015-11-19 Ge Intelligent Platforms, Inc. Intrinsically safe universal i/o device using programmable asic
US9343116B2 (en) 2014-05-28 2016-05-17 Micron Technology, Inc. Providing power availability information to memory
US10060973B1 (en) 2014-05-29 2018-08-28 National Technology & Engineering Solutions Of Sandia, Llc Test circuits for integrated circuit counterfeit detection
US10372613B2 (en) 2014-05-30 2019-08-06 Sandisk Technologies Llc Using sub-region I/O history to cache repeatedly accessed sub-regions in a non-volatile storage device
US10162748B2 (en) 2014-05-30 2018-12-25 Sandisk Technologies Llc Prioritizing garbage collection and block allocation based on I/O history for logical address regions
US9703491B2 (en) 2014-05-30 2017-07-11 Sandisk Technologies Llc Using history of unaligned writes to cache data and avoid read-modify-writes in a non-volatile storage device
US10656840B2 (en) 2014-05-30 2020-05-19 Sandisk Technologies Llc Real-time I/O pattern recognition to enhance performance and endurance of a storage device
US10656842B2 (en) 2014-05-30 2020-05-19 Sandisk Technologies Llc Using history of I/O sizes and I/O sequences to trigger coalesced writes in a non-volatile storage device
US10114557B2 (en) 2014-05-30 2018-10-30 Sandisk Technologies Llc Identification of hot regions to enhance performance and endurance of a non-volatile storage device
US10146448B2 (en) 2014-05-30 2018-12-04 Sandisk Technologies Llc Using history of I/O sequences to trigger cached read ahead in a non-volatile storage device
US9652381B2 (en) 2014-06-19 2017-05-16 Sandisk Technologies Llc Sub-block garbage collection
CN105706450B (en) * 2014-06-23 2019-07-16 微软技术许可有限责任公司 It is determined according to the encoder of the result of the Block- matching based on hash
US9258117B1 (en) 2014-06-26 2016-02-09 Amazon Technologies, Inc. Mutual authentication with symmetric secrets and signatures
US9819488B2 (en) * 2014-07-10 2017-11-14 Ohio State Innovation Foundation Generation of encryption keys based on location
US9826252B2 (en) 2014-07-29 2017-11-21 Nxp Usa, Inc. Method and video system for freeze-frame detection
US9434165B2 (en) 2014-08-28 2016-09-06 Funai Electric Co., Ltd. Chip layout to enable multiple heater chip vertical resolutions
US9443601B2 (en) 2014-09-08 2016-09-13 Sandisk Technologies Llc Holdup capacitor energy harvesting
KR20160030701A (en) * 2014-09-11 2016-03-21 삼성전자주식회사 Host divice transmitting print data to printer and method for rendering print data by host device
JP6388155B2 (en) * 2014-09-18 2018-09-12 富士ゼロックス株式会社 Image forming apparatus and image data processing apparatus
WO2016049834A1 (en) 2014-09-30 2016-04-07 Microsoft Technology Licensing, Llc Hash-based encoder decisions for video coding
US20160098162A1 (en) * 2014-10-06 2016-04-07 Lenovo (Singapore) Pte. Ltd. Pen based locking mechanism
US10180340B2 (en) * 2014-10-09 2019-01-15 Invensense, Inc. System and method for MEMS sensor system synchronization
US10123410B2 (en) 2014-10-10 2018-11-06 Lockheed Martin Corporation Fine line 3D non-planar conforming circuit
EP3212411B1 (en) 2014-10-28 2019-11-27 Hewlett-Packard Development Company, L.P. Printhead with microelectromechanical die and application specific integrated circuit
US9552192B2 (en) * 2014-11-05 2017-01-24 Oracle International Corporation Context-based generation of memory layouts in software programs
US10275154B2 (en) 2014-11-05 2019-04-30 Oracle International Corporation Building memory layouts in software programs
US10353793B2 (en) 2014-11-05 2019-07-16 Oracle International Corporation Identifying improvements to memory usage of software programs
TWI556249B (en) * 2014-11-07 2016-11-01 群聯電子股份有限公司 Data reading method, memory storage device and memory controlling circuit unit
US10779147B2 (en) 2014-11-18 2020-09-15 Micron Technology, Inc. Wireless memory interface
KR101582168B1 (en) * 2014-11-19 2016-01-05 서울대학교산학협력단 Clock Recovery Scheme at DisplayPort Receiver
US10298404B1 (en) 2014-12-12 2019-05-21 Amazon Technologies, Inc. Certificate echoing for session security
US9780952B1 (en) 2014-12-12 2017-10-03 Amazon Technologies, Inc. Binding digitally signed requests to sessions
CA2970195A1 (en) * 2014-12-16 2016-06-23 Kyndi, Inc. Method and apparatus for randomizing computer instruction sets, memory registers and pointers
US10303891B2 (en) 2014-12-30 2019-05-28 Data I/O Corporation Automated manufacturing system with job packaging mechanism and method of operation thereof
US9639425B1 (en) * 2015-01-13 2017-05-02 Marvell International Ltd. Signature-based sleep recovery operation flow
CN105891651B (en) 2015-01-16 2019-12-10 恩智浦美国有限公司 Low power open circuit detection system
US9853977B1 (en) 2015-01-26 2017-12-26 Winklevoss Ip, Llc System, method, and program product for processing secure transactions within a cloud computing system
KR102242065B1 (en) * 2015-01-26 2021-04-19 가부시키가이샤 니콘 Mask case, storage device and storage method, transfer device and transfer method, and exposure device
EP3872666A1 (en) 2015-01-30 2021-09-01 Enrico Maim Systems and methods for managing networked commitments of secure entities
US9940457B2 (en) * 2015-02-13 2018-04-10 International Business Machines Corporation Detecting a cryogenic attack on a memory device with embedded error correction
US9606851B2 (en) 2015-02-02 2017-03-28 International Business Machines Corporation Error monitoring of a memory device containing embedded error correction
JP6418971B2 (en) * 2015-02-05 2018-11-07 キヤノン株式会社 Information processing apparatus and control method thereof
US9946677B2 (en) * 2015-02-12 2018-04-17 Atmel Corporation Managing single-wire communications
CN105988774A (en) * 2015-02-20 2016-10-05 上海芯豪微电子有限公司 Multi-issue processor system and method
US9946607B2 (en) 2015-03-04 2018-04-17 Sandisk Technologies Llc Systems and methods for storage error management
US10915891B1 (en) 2015-03-16 2021-02-09 Winklevoss Ip, Llc Autonomous devices
US10158480B1 (en) 2015-03-16 2018-12-18 Winklevoss Ip, Llc Autonomous devices
US9361972B1 (en) * 2015-03-20 2016-06-07 Intel Corporation Charge level maintenance in a memory
US9979782B2 (en) 2015-03-24 2018-05-22 Qualcomm Incorporated Low-power and low-latency device enumeration with cartesian addressing
JP6498019B2 (en) * 2015-04-10 2019-04-10 キヤノン株式会社 Image recording apparatus and control method thereof
RU2580060C1 (en) * 2015-05-20 2016-04-10 Федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульнова (Ленина)" Method to encrypt messages, represented as a multi-bit binary number
US10122692B2 (en) 2015-06-16 2018-11-06 Amazon Technologies, Inc. Handshake offload
GB2539460A (en) * 2015-06-16 2016-12-21 Nordic Semiconductor Asa Integrated circuit inputs and outputs
US10122689B2 (en) 2015-06-16 2018-11-06 Amazon Technologies, Inc. Load balancing with handshake offload
CN106257879B (en) * 2015-06-16 2020-02-14 阿里巴巴集团控股有限公司 Method and device for downloading application
US10108557B2 (en) * 2015-06-25 2018-10-23 Intel Corporation Technologies for memory confidentiality, integrity, and replay protection
US9434176B1 (en) * 2015-06-29 2016-09-06 Xerox Corporation Vector compensation for inoperative ink-jets in composite colors
US10432196B2 (en) * 2015-07-22 2019-10-01 Nuvoton Technology Corporation Communication device, communication system and operation method thereof
JP6473674B2 (en) * 2015-07-28 2019-02-20 ルネサスエレクトロニクス株式会社 Communication terminal and program
US9921962B2 (en) 2015-09-24 2018-03-20 Qualcomm Incorporated Maintaining cache coherency using conditional intervention among multiple master devices
EP3314391A4 (en) * 2015-09-30 2019-03-27 Hewlett-Packard Development Company, L.P. Printer power management
US20170109526A1 (en) * 2015-10-20 2017-04-20 Intel Corporation Systems and methods for providing anti-malware protection and malware forensics on storage devices
ES2821438T3 (en) * 2015-10-22 2021-04-26 Idemia Germany Gmbh Method to process an encrypted print job
US10084758B2 (en) 2015-10-28 2018-09-25 International Business Machines Corporation System, method, and recording medium for communication and message comparison with encrypted light signals
US9684506B2 (en) * 2015-11-06 2017-06-20 International Business Machines Corporation Work-item expiration in software configuration management environment
DE102015222622A1 (en) * 2015-11-17 2017-05-18 Koenig & Bauer Ag Printing unit and a method for operating a printing unit
US9455233B1 (en) 2015-12-02 2016-09-27 Freescale Semiconductor, Inc. System for preventing tampering with integrated circuit
TWI597666B (en) * 2015-12-28 2017-09-01 緯創資通股份有限公司 Method for using shared device and resource sharing system
US20170192688A1 (en) * 2015-12-30 2017-07-06 International Business Machines Corporation Lazy deletion of vaults in packed slice storage (pss) and zone slice storage (zss)
TWI595248B (en) * 2016-01-20 2017-08-11 新特系統股份有限公司 Test Device Using Switch Switching Connections between Single Signal Channel and Multiple Pads
US10554519B2 (en) 2016-02-08 2020-02-04 Cray Inc. System and method for dampening power swings in distributed computer environments
KR20170094815A (en) 2016-02-11 2017-08-22 삼성전자주식회사 Nonvolatile memory capabling of outputting data using wrap around scheme, computing system having the same, and read method thereof
US10176096B2 (en) 2016-02-22 2019-01-08 Qualcomm Incorporated Providing scalable dynamic random access memory (DRAM) cache management using DRAM cache indicator caches
US9573382B1 (en) 2016-03-02 2017-02-21 Xerox Corporation System and method for missing inkjet compensation in a multi-level inkjet printer
US20170251835A1 (en) * 2016-03-02 2017-09-07 Dci Marketing, Inc. Multi-facing merchandiser and methods relating to same
US20170263141A1 (en) * 2016-03-09 2017-09-14 Arnold Possick Cheating and fraud prevention method and system
JP6542148B2 (en) * 2016-03-18 2019-07-10 株式会社東芝 INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM
US20170288866A1 (en) * 2016-03-30 2017-10-05 AVAST Software s.r.o. Systems and methods of creating a distributed ring of trust
US10118696B1 (en) 2016-03-31 2018-11-06 Steven M. Hoffberg Steerable rotating projectile
US11249970B2 (en) * 2016-05-05 2022-02-15 Mastercard International Incorporated Method and system for distributed data storage with eternal integrity guarantees
US10126960B2 (en) * 2016-05-10 2018-11-13 Qualcomm Incorporated Fuse-based anti-replay mechanism
JP6755706B2 (en) * 2016-05-11 2020-09-16 キヤノン株式会社 Information processing device, its control method, and program
US10432685B2 (en) * 2016-05-31 2019-10-01 Brightcove, Inc. Limiting key request rates for streaming media
US10271209B2 (en) * 2016-06-12 2019-04-23 Apple Inc. Session protocol for backward security between paired devices
TWI609378B (en) * 2016-06-15 2017-12-21 慧榮科技股份有限公司 Data storage device and operating method
US10943250B2 (en) 2016-06-17 2021-03-09 International Business Machines Corporation Technology for user engagement
CA2961947C (en) * 2016-06-17 2020-01-14 Erik D. Ness Replaceable item authentication
US10268601B2 (en) 2016-06-17 2019-04-23 Massachusetts Institute Of Technology Timely randomized memory protection
US10056890B2 (en) * 2016-06-24 2018-08-21 Exar Corporation Digital controlled oscillator based clock generator for multi-channel design
US10204011B1 (en) * 2016-06-30 2019-02-12 EMC IP Holding Company LLC Techniques for partially restarting a computing device in response to a configuration change
CN105988404B (en) * 2016-06-30 2018-12-04 深圳市优必选科技有限公司 A kind of servomechanism control system
FR3054763B1 (en) * 2016-07-29 2019-08-30 Dover Europe Sarl SYSTEM FOR ADVANCED PROTECTION OF CONSUMABLE OR DETACHABLE ELEMENTS OF INDUSTRIAL PRINTER
US10411833B2 (en) * 2016-07-29 2019-09-10 Qualcomm Incorporated Early termination techniques for successive decoding processes
US10310991B2 (en) * 2016-08-11 2019-06-04 Massachusetts Institute Of Technology Timely address space randomization
US10569542B2 (en) * 2016-08-16 2020-02-25 Zebra Technologies Corporation Printhead pin configurations
US10122392B2 (en) * 2016-08-18 2018-11-06 Advanced Micro Devices, Inc. Active equalizing negative resistance amplifier for bi-directional bandwidth extension
US10390039B2 (en) 2016-08-31 2019-08-20 Microsoft Technology Licensing, Llc Motion estimation for screen remoting scenarios
US10723146B2 (en) 2016-09-12 2020-07-28 Hewlett-Packard Development Company, L.P. Printing system reduced throughput mode
US10243990B1 (en) * 2016-09-23 2019-03-26 Apple Inc. Systems and methods for detecting replay attacks on security space
US10498532B2 (en) * 2016-10-01 2019-12-03 Intel Corporation Parallel computation techniques for accelerated cryptographic capabilities
US10169140B2 (en) * 2016-10-18 2019-01-01 International Business Machines Corporation Loading a phase-locked loop (PLL) configuration using flash memory
US10595422B2 (en) 2016-10-20 2020-03-17 International Business Machines Corporation Tamper resistant electronic devices
US9858780B1 (en) 2016-10-20 2018-01-02 International Business Machines Corporation Tamper resistant electronic devices
KR20180043626A (en) * 2016-10-20 2018-04-30 삼성전자주식회사 System and method for routing a bus including buffer
US10368080B2 (en) 2016-10-21 2019-07-30 Microsoft Technology Licensing, Llc Selective upsampling or refresh of chroma sample values
US10685710B2 (en) 2016-11-17 2020-06-16 Toshiba Memory Corporation Memory controller
US10693725B1 (en) 2016-11-28 2020-06-23 Barefoot Networks, Inc. Dynamically reconfiguring data plane of forwarding element to account for operating temperature
US11095877B2 (en) 2016-11-30 2021-08-17 Microsoft Technology Licensing, Llc Local hash-based motion estimation for screen remoting scenarios
JP6829063B2 (en) * 2016-12-08 2021-02-10 パナソニック デバイスSunx株式会社 Laser processing equipment
JP6789789B2 (en) * 2016-12-12 2020-11-25 キヤノン株式会社 Recording element substrate, recording head, and image forming apparatus
US10452877B2 (en) 2016-12-16 2019-10-22 Assa Abloy Ab Methods to combine and auto-configure wiegand and RS485
US10394784B2 (en) * 2016-12-22 2019-08-27 Intel Corporation Technologies for management of lookup tables
TWI609185B (en) * 2016-12-23 2017-12-21 英業達股份有限公司 Expansion circuit board for expanding jtag interface
CN106626794B (en) * 2016-12-30 2020-07-28 珠海艾派克微电子有限公司 Ink box indicator lamp control method and device, ink box chip and ink box
US10671378B2 (en) * 2016-12-30 2020-06-02 Paypal, Inc. Updating particular features in an application
US10432730B1 (en) 2017-01-25 2019-10-01 United States Of America As Represented By The Secretary Of The Air Force Apparatus and method for bus protection
KR102615775B1 (en) * 2017-01-31 2023-12-20 에스케이하이닉스 주식회사 Semiconductor device
CN108418776B (en) * 2017-02-09 2021-08-20 上海诺基亚贝尔股份有限公司 Method and apparatus for providing secure services
JP2018136866A (en) * 2017-02-23 2018-08-30 富士ゼロックス株式会社 Information processing apparatus and information processing program
JP6249119B1 (en) * 2017-03-15 2017-12-20 日本電気株式会社 Control device, control method, program, information processing device
CN106991340B (en) * 2017-03-17 2018-05-15 广州小微电子技术有限公司 Chip encryption method
US10296477B2 (en) 2017-03-30 2019-05-21 United States of America as represented by the Secretary of the AirForce Data bus logger
JP6885151B2 (en) * 2017-03-30 2021-06-09 ブラザー工業株式会社 Image processing device
US10990707B1 (en) * 2017-03-30 2021-04-27 Comodo Security Solutions, Inc. Device for safe data signing
US10579499B2 (en) * 2017-04-04 2020-03-03 International Business Machines Corporation Task latency debugging in symmetric multiprocessing computer systems
DE102017108216A1 (en) 2017-04-18 2018-10-18 Infineon Technologies Ag Control system and method of memory access
DE102017108219A1 (en) * 2017-04-18 2018-10-18 Infineon Technologies Ag Control system and method of memory access
CN107038125B (en) * 2017-04-25 2020-11-24 上海兆芯集成电路有限公司 Processor cache with independent pipeline to speed prefetch requests
US10511615B2 (en) * 2017-05-05 2019-12-17 Microsoft Technology Licensing, Llc Non-protocol specific system and method for classifying suspect IP addresses as sources of non-targeted attacks on cloud based machines
US10696899B2 (en) 2017-05-09 2020-06-30 International Business Machines Corporation Light emitting shell in multi-compartment microcapsules
US20180329837A1 (en) * 2017-05-10 2018-11-15 Qualcomm Incorporated Input/output direction decoding in mixed vgpio state exchange
CN108881120B (en) * 2017-05-12 2020-12-04 创新先进技术有限公司 Data processing method and device based on block chain
JP6834771B2 (en) * 2017-05-19 2021-02-24 富士通株式会社 Communication device and communication method
WO2018216994A2 (en) * 2017-05-23 2018-11-29 이명신 Multifunction device control apparatus, security paper multifunction device and security system using same, and control method thereof
US10900908B2 (en) 2017-05-24 2021-01-26 International Business Machines Corporation Chemiluminescence for tamper event detection
US10357921B2 (en) 2017-05-24 2019-07-23 International Business Machines Corporation Light generating microcapsules for photo-curing
TWI647551B (en) * 2017-05-26 2019-01-11 新唐科技股份有限公司 Communication device, communication system and operation method thereof
US10534546B2 (en) * 2017-06-13 2020-01-14 Western Digital Technologies, Inc. Storage system having an adaptive workload-based command processing clock
US10392452B2 (en) 2017-06-23 2019-08-27 International Business Machines Corporation Light generating microcapsules for self-healing polymer applications
US11124002B2 (en) 2017-06-23 2021-09-21 Hewlett-Packard Development Company, L.P. Partial printing fluid short detection
US10445500B2 (en) * 2017-06-28 2019-10-15 Arm Limited Reset attack detection
WO2019013760A1 (en) 2017-07-11 2019-01-17 Hewlett-Packard Development Company, L.P. Fluidic die with primitive size greater than or equal to evaluator subset
CN107484207B (en) * 2017-07-13 2020-06-30 燕山大学 Combined topology control and channel distribution load balancing method in wireless sensor network
US10268572B2 (en) * 2017-08-03 2019-04-23 Fujitsu Limited Interactive software program repair
US10362055B2 (en) * 2017-08-10 2019-07-23 Blue Jeans Network, Inc. System and methods for active brute force attack protection
RU2652450C1 (en) * 2017-08-18 2018-04-26 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Device for calculation montgomery modular product
JP6992323B2 (en) * 2017-08-24 2022-01-13 コニカミノルタ株式会社 Image forming device and correction control program
CN107704730B (en) * 2017-09-15 2021-08-10 成都驰通数码系统有限公司 Self-encryption method for embedded software of electronic equipment
CN107491659B (en) * 2017-09-20 2022-03-15 上海联影医疗科技股份有限公司 Medical equipment system upgrading method and device
US10315419B2 (en) * 2017-09-22 2019-06-11 Eastman Kodak Company Method for assigning communication addresses
US10545844B2 (en) 2017-09-29 2020-01-28 Ricoh Company, Ltd. Print verification system that reports defective printheads
KR102366972B1 (en) * 2017-12-05 2022-02-24 삼성전자주식회사 Clock and data recovery device and method using current-controlled oscillator
JP6584487B2 (en) * 2017-12-20 2019-10-02 キヤノン株式会社 Information processing apparatus, control method thereof, and program
US10649656B2 (en) 2017-12-28 2020-05-12 Micron Technology, Inc. Techniques to update a trim parameter in non-volatile memory
CN108556483B (en) * 2018-01-17 2019-08-09 森大(深圳)技术有限公司 Modify method, apparatus, equipment and the medium of pre-press data compensation abnormal nozzle
CN108537344B (en) * 2018-02-01 2021-09-14 贵州电网有限责任公司 Secondary equipment intelligent operation and maintenance method based on closed-loop knowledge management
US11909860B1 (en) 2018-02-12 2024-02-20 Gemini Ip, Llc Systems, methods, and program products for loaning digital assets and for depositing, holding and/or distributing collateral as a token in the form of digital assets on an underlying blockchain
US11308487B1 (en) 2018-02-12 2022-04-19 Gemini Ip, Llc System, method and program product for obtaining digital assets
US11139955B1 (en) 2018-02-12 2021-10-05 Winklevoss Ip, Llc Systems, methods, and program products for loaning digital assets and for depositing, holding and/or distributing collateral as a token in the form of digital assets on an underlying blockchain
US10929842B1 (en) 2018-03-05 2021-02-23 Winklevoss Ip, Llc System, method and program product for depositing and withdrawing stable value digital assets in exchange for fiat
US11475442B1 (en) 2018-02-12 2022-10-18 Gemini Ip, Llc System, method and program product for modifying a supply of stable value digital asset tokens
US10438290B1 (en) 2018-03-05 2019-10-08 Winklevoss Ip, Llc System, method and program product for generating and utilizing stable value digital assets
US10373158B1 (en) 2018-02-12 2019-08-06 Winklevoss Ip, Llc System, method and program product for modifying a supply of stable value digital asset tokens
US11522700B1 (en) 2018-02-12 2022-12-06 Gemini Ip, Llc Systems, methods, and program products for depositing, holding and/or distributing collateral as a token in the form of digital assets on an underlying blockchain
US11200569B1 (en) 2018-02-12 2021-12-14 Winklevoss Ip, Llc System, method and program product for making payments using fiat-backed digital assets
US10540654B1 (en) 2018-02-12 2020-01-21 Winklevoss Ip, Llc System, method and program product for generating and utilizing stable value digital assets
US10373129B1 (en) 2018-03-05 2019-08-06 Winklevoss Ip, Llc System, method and program product for generating and utilizing stable value digital assets
CN108399075A (en) * 2018-02-28 2018-08-14 郑州云海信息技术有限公司 A kind of method and system of update management engine
US11334883B1 (en) 2018-03-05 2022-05-17 Gemini Ip, Llc Systems, methods, and program products for modifying the supply, depositing, holding and/or distributing collateral as a stable value token in the form of digital assets
WO2019172872A1 (en) * 2018-03-05 2019-09-12 Hewlett-Packard Development Company, L.P. Zonal actuator fault detection
WO2019172873A1 (en) * 2018-03-05 2019-09-12 Hewlett-Packard Development Company, L.P. Actuator fault indication via wires along busses
US10642951B1 (en) * 2018-03-07 2020-05-05 Xilinx, Inc. Register pull-out for sequential circuit blocks in circuit designs
US11712637B1 (en) 2018-03-23 2023-08-01 Steven M. Hoffberg Steerable disk or ball
CN108681458A (en) * 2018-03-23 2018-10-19 天津清智科技有限公司 A kind of hand-held programmer and its control method
JP7183559B2 (en) * 2018-03-30 2022-12-06 ブラザー工業株式会社 Printers and computer programs for printers
US10969467B1 (en) 2018-04-13 2021-04-06 Kwesst Inc. Programmable multi-waveform RF generator for use as battlefield decoy
US11096243B2 (en) 2018-04-13 2021-08-17 Kwesst Inc. Programmable multi-waveform RF generator for use as battlefield decoy
US11017078B2 (en) * 2018-04-24 2021-05-25 Microsoft Technology Licensing, Llc Environmentally-trained time dilation
US10965444B2 (en) 2018-04-24 2021-03-30 Microsoft Technology Licensing, Llc Mitigating timing attacks via dynamically triggered time dilation
US10785017B2 (en) 2018-04-24 2020-09-22 Microsoft Technology Licensing, Llc Mitigating timing attacks via dynamically scaled time dilation
WO2019207697A1 (en) 2018-04-25 2019-10-31 三菱電機株式会社 Information processing device, information processing method, and information processing program
US10700709B1 (en) * 2018-04-27 2020-06-30 Xilinx, Inc. Linear block code decoding
CN108804102B (en) * 2018-05-24 2022-02-22 武汉斗鱼网络科技有限公司 Method and system for expanding interface style of live broadcast room, server and storage medium
CN108874371B (en) * 2018-05-24 2022-02-22 武汉斗鱼网络科技有限公司 Method and system for extending style of live broadcast room, server and storage medium
US10892903B2 (en) * 2018-05-29 2021-01-12 Ememory Technology Inc. Communication system capable of preserving a chip-to-chip integrity
WO2019236079A1 (en) * 2018-06-06 2019-12-12 Hewlett-Packard Development Company, L.P. Extendable width adjustors
JP7137379B2 (en) * 2018-07-05 2022-09-14 Juki株式会社 Production system, management device, program
CN108985016A (en) * 2018-07-12 2018-12-11 江苏慧学堂系统工程有限公司 A kind of computer data information protective device
CN110719250B (en) * 2018-07-13 2021-07-06 中国科学院沈阳自动化研究所 Powerlink industrial control protocol anomaly detection method based on PSO-SVDD
TW202010325A (en) * 2018-08-10 2020-03-01 華創車電技術中心股份有限公司 System and method for data processing of on-board-unit
US10838621B2 (en) * 2018-08-14 2020-11-17 Silicon Motion, Inc. Method and flash memory controller capable of avoiding inefficient memory block swap or inefficient garbage collection
US11165578B1 (en) 2018-08-16 2021-11-02 Pqsecure Technologies, Llc Efficient architecture and method for arithmetic computations in post-quantum cryptography
CN109191340A (en) * 2018-08-27 2019-01-11 芜湖新使命教育科技有限公司 A kind of Examinee identity verification system and verification method based on mobile terminal
US10942909B2 (en) * 2018-09-25 2021-03-09 Salesforce.Com, Inc. Efficient production and consumption for data changes in a database under high concurrency
EP3824382A4 (en) 2018-09-26 2022-03-16 Hewlett-Packard Development Company, L.P. Color pipeline
TWI668704B (en) * 2018-10-01 2019-08-11 大陸商深圳大心電子科技有限公司 Data management method and storage controller using the same
US11288405B2 (en) * 2018-10-25 2022-03-29 Hewlett-Packard Development Company, L.P. Integrated circuit(s) with anti-glitch canary circuit(s)
US11068598B2 (en) * 2018-11-01 2021-07-20 Dell Products L.P. Chassis internal device security
CN110764797A (en) * 2018-11-19 2020-02-07 哈尔滨安天科技集团股份有限公司 Method, device and system for upgrading file in chip and server
CN109542059B (en) * 2018-11-19 2022-04-01 国核自仪系统工程有限公司 Historical data compression device and method
CN109615423B (en) 2018-11-29 2020-06-16 阿里巴巴集团控股有限公司 Service processing method and device
WO2020117195A1 (en) 2018-12-03 2020-06-11 Hewlett-Packard Development Company, L.P. Logic circuitry
US10894423B2 (en) 2018-12-03 2021-01-19 Hewlett-Packard Development Company, L.P. Logic circuitry
MX2021005993A (en) 2018-12-03 2021-07-06 Hewlett Packard Development Co Logic circuitry.
EP3718039B1 (en) 2018-12-03 2021-08-18 Hewlett-Packard Development Company, L.P. Logic circuitry
MX2021005988A (en) 2018-12-03 2021-07-06 Hewlett Packard Development Co Logic circuitry.
AU2018452257B2 (en) 2018-12-03 2022-12-01 Hewlett-Packard Development Company, L.P. Logic circuitry
AU2018452256B2 (en) 2018-12-03 2022-09-08 Hewlett-Packard Development Company, L.P. Logic circuitry
EP3688639B1 (en) 2018-12-03 2021-10-13 Hewlett-Packard Development Company, L.P. Logic circuitry package
US11338586B2 (en) 2018-12-03 2022-05-24 Hewlett-Packard Development Company, L.P. Logic circuitry
WO2020117776A1 (en) 2018-12-03 2020-06-11 Hewlett-Packard Development Company, L.P. Logic circuitry package
CN109379195B (en) * 2018-12-18 2021-04-30 深圳前海微众银行股份有限公司 Zero-knowledge proof circuit optimization method, device, equipment and readable storage medium
CN109697033B (en) * 2018-12-19 2022-01-07 中国人民解放军国防科技大学 Tile record disk sensing storage caching method and system
US11456891B2 (en) 2018-12-20 2022-09-27 Rolls-Royce North American Technologies Inc. Apparatus and methods for authenticating cyber secure control system configurations using distributed ledgers
US10585650B1 (en) * 2018-12-21 2020-03-10 Dspace Digital Signal Processing And Control Engineering Gmbh Method and system for generating program code
CN109710198B (en) * 2018-12-29 2020-12-25 森大(深圳)技术有限公司 Printing method, device and equipment for local dynamic variable image
WO2020141524A1 (en) 2018-12-31 2020-07-09 Stratasys Ltd. Method and system for improving color uniformity in inkjet printing
CN109885351B (en) * 2019-01-22 2021-09-28 飞天诚信科技股份有限公司 Multi-application smart card and method for establishing master-slave application relationship thereof
US11366689B2 (en) * 2019-02-26 2022-06-21 Nxp Usa, Inc. Hardware for supporting OS driven observation and anticipation based on more granular, variable sized observation units
US11144705B2 (en) 2019-03-21 2021-10-12 International Business Machines Corporation Cognitive multiple-level highlight contrasting for entities
CN110202940A (en) * 2019-05-06 2019-09-06 珠海艾派克微电子有限公司 Printing consumables, print cartridge and control method
US11356283B2 (en) * 2019-05-08 2022-06-07 Seagate Technology Llc Data storage using an encryption key with a time expiration associated therewith
US11036406B2 (en) * 2019-05-21 2021-06-15 International Business Machines Corporation Thermally aware memory management
EP3742295A1 (en) * 2019-05-23 2020-11-25 NXP USA, Inc. Automatic firmware rollback
CN110162052A (en) * 2019-05-27 2019-08-23 北京新能源汽车股份有限公司 Code generating method, system and the vehicle of automatic Pilot decision
US11210280B2 (en) * 2019-06-04 2021-12-28 Alibaba Group Holding Limited Systems and methods for fast bloom filter operations
WO2020251521A1 (en) * 2019-06-10 2020-12-17 Hewlett-Packard Development Company, L.P. Replacement-triggered software updates
CN110224789B (en) * 2019-06-10 2021-09-07 哈尔滨工业大学 Multi-mode HDLC controller based on FPGA
US11182486B2 (en) * 2019-06-11 2021-11-23 Sophos Limited Early boot driver for start-up detection of malicious code
US11501370B1 (en) 2019-06-17 2022-11-15 Gemini Ip, Llc Systems, methods, and program products for non-custodial trading of digital assets on a digital asset exchange
US11456877B2 (en) * 2019-06-28 2022-09-27 Intel Corporation Unified accelerator for classical and post-quantum digital signature schemes in computing environments
US11269999B2 (en) * 2019-07-01 2022-03-08 At&T Intellectual Property I, L.P. Protecting computing devices from malicious tampering
CN110362501B (en) * 2019-07-05 2021-09-24 北京大学 Apparatus and method for performing saturated addressing load and store operations
CN110347555B (en) * 2019-07-09 2021-10-01 英业达科技有限公司 Hard disk operation state determination method
TWI689736B (en) * 2019-07-11 2020-04-01 瑞昱半導體股份有限公司 Method of detecting correlation of pins of circuit and computer program product thereof
US11037613B2 (en) * 2019-07-17 2021-06-15 Micron Technology, Inc. Implementations to store fuse data in memory devices
CN112311718B (en) * 2019-07-24 2023-08-22 华为技术有限公司 Method, device, equipment and storage medium for detecting hardware
CN110413272B (en) * 2019-07-30 2023-10-13 广州市百果园信息技术有限公司 Front-end project construction method, device, storage medium and equipment
CN110610077B (en) * 2019-08-12 2021-05-11 深圳市国科亿道科技有限公司 Encryption and decryption method based on chip
US10957381B1 (en) * 2019-08-28 2021-03-23 Micron Technology, Inc. Metadata grouping for un-map techniques
CN110492964B (en) * 2019-08-29 2020-10-02 广东博智林机器人有限公司 CLOCK source synchronization device and method based on CLOCK BUFF
US11416435B2 (en) * 2019-09-03 2022-08-16 Pensando Systems Inc. Flexible datapath offload chaining
US10998075B2 (en) * 2019-09-11 2021-05-04 International Business Machines Corporation Built-in self-test for bit-write enabled memory arrays
US10971242B2 (en) 2019-09-11 2021-04-06 International Business Machines Corporation Sequential error capture during memory test
CN110531307B (en) * 2019-09-12 2021-09-21 宁波三星医疗电气股份有限公司 Debugging method and device of power acquisition terminal and power acquisition terminal
US11321457B2 (en) * 2019-09-16 2022-05-03 Nuvoton Technology Corporation Data-sampling integrity check by sampling using flip-flops with relative delay
US10642979B1 (en) * 2019-09-19 2020-05-05 Capital One Services, Llc System and method for application tamper discovery
CN110693486B (en) * 2019-09-27 2022-06-14 武汉中旗生物医疗电子有限公司 Electrocardiogram abnormity labeling method and device
CN110752977B (en) * 2019-10-11 2021-07-27 中国海洋大学 Abnormal intrusion detection method and device for CAN bus of Internet of vehicles
CN112671690B (en) * 2019-10-16 2022-08-30 中国电信股份有限公司 Streaming media communication method, device, system and storage medium
EP3844000B1 (en) 2019-10-25 2023-04-12 Hewlett-Packard Development Company, L.P. Logic circuitry package
US10831954B1 (en) * 2019-10-29 2020-11-10 International Business Machines Corporation Technology lookup table-based default assertion generation and consumption for timing closure of VLSI designs
WO2021086384A1 (en) * 2019-10-31 2021-05-06 Hewlett-Packard Development Company, L.P. Fluid delivery fault detection
US11288406B1 (en) * 2019-11-15 2022-03-29 The Charles Stark Draper Laboratory, Inc. Fast XOR interface with processor and memory
CN110753221B (en) * 2019-11-18 2021-04-27 中国科学院长春光学精密机械与物理研究所 Real-time correction system for serial image data training of CMOS image sensor
WO2021101539A1 (en) * 2019-11-20 2021-05-27 Hewlett-Packard Development Company, L.P. Electronic component having extra functionality mode
WO2021101567A1 (en) * 2019-11-22 2021-05-27 Hewlett-Packard Development Company, L.P. Determining printing fluid amounts
CN111147158A (en) * 2019-12-04 2020-05-12 杭州恒生数字设备科技有限公司 Method for shielding multi-frequency WIFI signal
US11775378B2 (en) * 2019-12-16 2023-10-03 Micron Technology, Inc. Memory health status reporting
CN111049604B (en) * 2019-12-16 2021-10-15 深圳市烽云技术有限公司 Wireless ad hoc network method and device based on auxiliary receiving channel
CN111221755B (en) * 2019-12-28 2020-11-10 重庆秦嵩科技有限公司 Io interrupt control method for FPGA2 submodule
TWI734326B (en) 2019-12-30 2021-07-21 新唐科技股份有限公司 Audio synchronization processing circuit and method thereof
CN111338984B (en) * 2020-02-25 2022-05-17 大唐半导体科技有限公司 Cache RAM and Retention RAM data high-speed exchange architecture and method thereof
CN111339001B (en) * 2020-03-09 2021-07-30 厦门润积集成电路技术有限公司 Low-power-consumption single bus communication method and system
US11080059B1 (en) * 2020-03-30 2021-08-03 Sandisk Technologies Llc Reducing firmware size and increasing firmware performance
KR20210133799A (en) * 2020-04-29 2021-11-08 삼성전자주식회사 Data transceiving system including clock and data recovery device and operating method thereof
US11282558B2 (en) * 2020-05-21 2022-03-22 Wuxi Petabyte Technologies Co., Ltd. Ferroelectric random-access memory with ROMFUSE area having redundant configuration wordlines
CN111722581B (en) * 2020-05-28 2021-10-22 国电南瑞科技股份有限公司 Method for improving communication transmission and data processing efficiency of PLC and upper computer
US11202085B1 (en) 2020-06-12 2021-12-14 Microsoft Technology Licensing, Llc Low-cost hash table construction and hash-based block matching for variable-size blocks
CN111693757A (en) * 2020-06-22 2020-09-22 索尔思光电(成都)有限公司 LD bias current detection method and circuit, and optical module
CN111787320B (en) * 2020-07-03 2022-02-08 北京博雅慧视智能技术研究院有限公司 Transform coding system and method
US20220021544A1 (en) * 2020-07-15 2022-01-20 Micron Technology, Inc. Secure Serial Peripheral Interface (SPI) Flash
WO2022019886A1 (en) * 2020-07-20 2022-01-27 Pqsecure Technologies, Llc An architecture and method for hybrid isogeny-based cryptosystems
CN111857307B (en) * 2020-07-30 2022-04-01 南京英锐创电子科技有限公司 Control device, control system and control method of power reset circuit
CN112002495B (en) * 2020-08-14 2022-08-19 李洪恩 Cable core shaping device
US11843667B2 (en) 2020-08-17 2023-12-12 Toyota Motor North America, Inc. Real time boot for secure distributed systems
EP4182794A1 (en) * 2020-09-11 2023-05-24 Google LLC Hardware-based save-and-restore controller
JP7362583B2 (en) * 2020-09-23 2023-10-17 株式会社東芝 information processing equipment
US20220100428A1 (en) * 2020-09-25 2022-03-31 Micron Technology, Inc. Frequency monitoring for memory devices
US11600362B2 (en) 2020-09-30 2023-03-07 International Business Machines Corporation Visually representing concepts and relationships on an electronic interface for delivered content
CN112291066B (en) * 2020-10-29 2022-02-01 中国科学院信息工程研究所 Data sending method, data receiving method, terminal equipment and electronic equipment
CN112463672A (en) * 2020-11-04 2021-03-09 贵州电网有限责任公司 Data transmission method, device and medium
US11783026B2 (en) * 2021-01-05 2023-10-10 Nuvoton Technology Corporation Processor with in-band fault-injection detection
CN112748791B (en) * 2021-01-19 2022-07-01 中国科学院微小卫星创新研究院 Satellite comprehensive electronic computer autonomous switching method
CN112995330B (en) * 2021-03-19 2021-10-01 北京北航天宇长鹰无人机科技有限公司 Transparent information extraction method and device for data
JP2024514178A (en) * 2021-04-16 2024-03-28 ブルックヘヴン サイエンス アソシエイツ リミテッド ライアビリティ カンパニー Event-driven readout system with non-priority arbitration for multichannel data sources
TWI805069B (en) * 2021-04-26 2023-06-11 財團法人工業技術研究院 High-frequency component test device and method thereof
EP4341094A1 (en) * 2021-05-21 2024-03-27 Hewlett-Packard Development Company, L.P. Page wide array print job interruptions
CN113296705B (en) * 2021-05-27 2022-09-27 浙江萤火虫区块链科技有限公司 Framework system for parallel computing Poseido Hash in Filecin
CN113553103B (en) * 2021-06-03 2022-09-23 中国人民解放军战略支援部队信息工程大学 Multi-core parallel scheduling method based on CPU + GPU heterogeneous processing platform
CN113255296A (en) * 2021-06-08 2021-08-13 北京翔东智能科技有限公司 Electronic contract classified storage safety management system
JP2023043534A (en) * 2021-09-16 2023-03-29 キオクシア株式会社 Measuring method, measuring apparatus, and mark
US20220004398A1 (en) * 2021-09-22 2022-01-06 Intel Corporation Integrated circuit package reconfiguration mechanism
CN113946313B (en) * 2021-10-12 2023-05-05 哲库科技(北京)有限公司 Processing circuit, chip and terminal of LOOKUP3 hash algorithm
US20230229336A1 (en) * 2022-01-20 2023-07-20 Dell Products L.P. Read-disturb-based read temperature time-based attenuation system
US11928354B2 (en) 2022-01-21 2024-03-12 Dell Products L.P. Read-disturb-based read temperature determination system
US11922035B2 (en) 2022-01-21 2024-03-05 Dell Products L.P. Read-disturb-based read temperature adjustment system
CN114565069A (en) * 2022-01-25 2022-05-31 国创移动能源创新中心(江苏)有限公司 Data transmission system of contact card and control method thereof
CN115080473B (en) * 2022-06-29 2023-11-21 海光信息技术股份有限公司 Multi-chip interconnection system and safe starting method based on same
CN116684221B (en) * 2023-08-02 2023-10-17 佛山冠湾智能科技有限公司 Time-sharing IO bus of integrative hardware modularization is driven in accuse

Family Cites Families (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US554828A (en) * 1896-02-18 maldura
US583542A (en) * 1897-06-01 Corn-sheller
US635689A (en) * 1899-01-06 1899-10-24 Joseph D King Adjustable handle-bar.
US3654689A (en) * 1970-06-03 1972-04-11 Eis Automotive Corp Method and apparatus of assembling and disassembling hydraulic disc brake calipers
US4247913A (en) * 1979-05-10 1981-01-27 Hiniker Company Protection circuit for storage of volatile data
US4309602A (en) * 1979-11-01 1982-01-05 Eikonix Corportation Wavefront sensing by phase retrieval
JPS573164A (en) * 1980-06-04 1982-01-08 Nippon Denso Co Ltd Microcomputer control device
JPS58500820A (en) * 1981-05-27 1983-05-19 マステク、コ−パレイシヤン Integrated circuit operating method and integrated circuit operating power control circuit
FR2542540B1 (en) * 1983-03-08 1989-02-10 Canon Kk IMAGE PROCESSING SYSTEM
DE3401610A1 (en) * 1984-01-18 1985-07-18 Siemens AG, 1000 Berlin und 8000 München INTEGRATED SEMICONDUCTOR CIRCUIT WITH A RINGOSCILLATOR
US4644494A (en) * 1984-02-06 1987-02-17 Sundstrand Data Control, Inc. Solid state memory for aircraft flight data recorder systems
EP0165386B1 (en) * 1984-04-26 1989-11-29 Heidelberger Druckmaschinen Aktiengesellschaft Method and storage system for the storage of control data for press actuators
US4593384A (en) * 1984-12-21 1986-06-03 Ncr Corporation Security device for the secure storage of sensitive data
US4685056A (en) * 1985-06-11 1987-08-04 Pueblo Technologies, Inc. Computer security device
US4692903A (en) * 1985-07-15 1987-09-08 Zenith Electronics Corporation Memory loss protection circuit
US4683496A (en) * 1985-08-23 1987-07-28 The Analytic Sciences Corporation System for and method of enhancing images using multiband information
US4690555A (en) * 1985-11-01 1987-09-01 Hughes Aircraft Company Solid-state wavefront slope determination
WO1988001120A1 (en) * 1986-07-31 1988-02-11 Kabushiki Kaisya Advance System for generating a shared cryptographic key and a communication system using the shared cryptographic key
JPH0612616B2 (en) * 1986-08-13 1994-02-16 日本テキサス・インスツルメンツ株式会社 Semiconductor memory device
US4882686A (en) * 1987-06-22 1989-11-21 Eastman Kodak Company Printing apparatus with improved data formatting circuitry
US5138438A (en) * 1987-06-24 1992-08-11 Akita Electronics Co. Ltd. Lead connections means for stacked tab packaged IC chips
EP0304289A3 (en) * 1987-08-18 1991-03-13 Kabushiki Kaisha Toshiba Half-tone image reproduction method and apparatus
US4992827A (en) * 1987-12-28 1991-02-12 Canon Kabushiki Kaisha Image forming apparatus
JP2710943B2 (en) * 1988-02-26 1998-02-10 キヤノン株式会社 Inkjet printer
US4932232A (en) * 1988-05-20 1990-06-12 Alcan Aluminum Corporation Methods of detecting and correcting spray header malfunctions
CH678663A5 (en) * 1988-06-09 1991-10-15 Zeiss Carl Fa
US5031034A (en) * 1988-06-20 1991-07-09 Canon Kabushiki Kaisha Image forming and processing apparatus with identification of character portions of images
US5185717A (en) * 1988-08-05 1993-02-09 Ryoichi Mori Tamper resistant module having logical elements arranged in multiple layers on the outer surface of a substrate to protect stored information
US4924301A (en) * 1988-11-08 1990-05-08 Seecolor Corporation Apparatus and methods for digital halftoning
JP2563134B2 (en) * 1989-01-25 1996-12-11 日本電子株式会社 Scanning transmission type phase contrast electron microscope
US5172134A (en) * 1989-03-31 1992-12-15 Canon Kabushiki Kaisha Ink jet recording head, driving method for same and ink jet recording apparatus
US5212664A (en) * 1989-04-05 1993-05-18 Mitsubishi Denki Kabushiki Kaisha Information card with dual power detection signals to memory decoder
JPH02296410A (en) * 1989-05-11 1990-12-07 Mitsubishi Electric Corp Delay circuit
US4999575A (en) * 1989-09-25 1991-03-12 General Electric Company Power supply and monitor for controlling an electrical load following a power outage
US5305436A (en) * 1990-04-02 1994-04-19 Hewlett-Packard Company Hose bus video interface in personal computers
JPH0461096A (en) * 1990-06-29 1992-02-27 Matsushita Electric Ind Co Ltd Memory control device
US5091938B1 (en) * 1990-08-06 1997-02-04 Nippon Denki Home Electronics Digital data cryptographic system
US5327404A (en) * 1990-11-27 1994-07-05 Vlsi Technology, Inc. On-chip frequency trimming method for real-time clock
JP3039563B2 (en) * 1990-11-29 2000-05-08 株式会社日立製作所 Scanning electron microscope and scanning electron microscope method
US5309516A (en) * 1990-12-07 1994-05-03 Hitachi, Ltd. Group cipher communication method and group cipher communication system
US5193012A (en) * 1991-04-29 1993-03-09 Snap-Fax Corporation Real-time conversion of still-video to half-tone for hard copy output (such as on a facsimile machine)
JPH0548446A (en) 1991-08-09 1993-02-26 Sony Corp Semiconductor integrated circuit
US5198054A (en) * 1991-08-12 1993-03-30 Xerox Corporation Method of making compensated collinear reading or writing bar arrays assembled from subunits
JPH05217834A (en) * 1992-01-31 1993-08-27 Sharp Corp Layout method of lsi chip on mask
US5367375A (en) * 1992-02-07 1994-11-22 Hughes Aircraft Company Spatial wavefront evaluation by intensity relationship
EP0907142B1 (en) * 1992-04-02 2007-06-13 Kabushiki Kaisha Toshiba Memory card apparatus
JP3180494B2 (en) * 1992-04-17 2001-06-25 セイコーエプソン株式会社 Logic device
JPH06291994A (en) * 1992-08-10 1994-10-18 Ricoh Co Ltd Method and unit for processing picture
JP3221085B2 (en) * 1992-09-14 2001-10-22 富士ゼロックス株式会社 Parallel processing unit
US5315635A (en) * 1992-09-30 1994-05-24 Motorola, Inc. Reliable message communication system
KR950011655B1 (en) * 1992-10-31 1995-10-07 삼성전자주식회사 Channel & broadcasting station marked apparatus
US5457748A (en) * 1992-11-30 1995-10-10 Motorola, Inc. Method and apparatus for improved security within encrypted communication devices
US5440632A (en) * 1992-12-02 1995-08-08 Scientific-Atlanta, Inc. Reprogrammable subscriber terminal
US5363447A (en) * 1993-03-26 1994-11-08 Motorola, Inc. Method for loading encryption keys into secure transmission devices
US5784642A (en) * 1993-04-05 1998-07-21 Packard Bell Nec System for establishing a transfer mode between system controller and peripheral device
DE69328551T2 (en) 1993-05-24 2001-01-04 Hewlett Packard Co Processor reacting to environmental conditions to enable or block a delay in a process step
JP3254913B2 (en) * 1993-07-21 2002-02-12 セイコーエプソン株式会社 Control method of print head
US5511202A (en) * 1993-07-26 1996-04-23 International Business Machines Corporation Desktop computer system having zero-volt system suspend and control unit for ascertaining interrupt controller base address
US5420798A (en) * 1993-09-30 1995-05-30 Macronix International Co., Ltd. Supply voltage detection circuit
US5375089A (en) * 1993-10-05 1994-12-20 Advanced Micro Devices, Inc. Plural port memory system utilizing a memory having a read port and a write port
US5467327A (en) * 1993-12-22 1995-11-14 Jamail; Randall Method of masking data on a storage medium
US20020013898A1 (en) * 1997-06-04 2002-01-31 Sudia Frank W. Method and apparatus for roaming use of cryptographic values
JPH07210472A (en) * 1994-01-25 1995-08-11 Fujitsu Ltd I/o interface control method and computer system
EP0665673A3 (en) * 1994-02-01 1996-06-12 Dainippon Screen Mfg Method and apparatus for producing a halftone image using a threshold matrix.
US5603063A (en) * 1994-06-27 1997-02-11 Quantum Corporation Disk drive command queuing method using two memory devices for storing two types of commands separately first before queuing commands in the second memory device
JP2742220B2 (en) * 1994-09-09 1998-04-22 松下電器産業株式会社 Semiconductor storage device
US5587730A (en) * 1994-09-30 1996-12-24 Xerox Corporation Redundant full width array thermal ink jet printing for improved reliability
US5594839A (en) * 1994-10-17 1997-01-14 Seiko Epson Corporation Apparatus and method for improving black and color separation in halftoned images by printing black dots in a different screen phase
DE69533937T2 (en) * 1994-11-17 2005-06-30 Canon K.K. Transfer of shifted data to a color printer
KR960019415A (en) * 1994-11-23 1996-06-17 윤종용 Plasma display panel
US5581284A (en) * 1994-11-25 1996-12-03 Xerox Corporation Method of extending the life of a printbar of a color ink jet printer
JP3302847B2 (en) * 1994-12-02 2002-07-15 富士通株式会社 Storage device
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
KR0140481B1 (en) * 1994-12-31 1998-07-01 김주용 Data Signal Distribution Circuit of Synchronous Memory Device
US5719602A (en) * 1995-01-20 1998-02-17 Hewlett-Packard Company Controlling PWA inkjet nozzle timing as a function of media speed
US5581198A (en) * 1995-02-24 1996-12-03 Xilinx, Inc. Shadow DRAM for programmable logic devices
US5796416A (en) * 1995-04-12 1998-08-18 Eastman Kodak Company Nozzle placement in monolithic drop-on-demand print heads
JP2887836B2 (en) * 1995-04-27 1999-05-10 富士ゼロックス株式会社 Ink jet print head and image recording device
US5689565A (en) * 1995-06-29 1997-11-18 Microsoft Corporation Cryptography system and method for providing cryptographic services for a computer application
JPH09123437A (en) * 1995-08-28 1997-05-13 Seiko Epson Corp Ink jet printer and ink jet recording ink
US5675365A (en) * 1995-09-13 1997-10-07 Xerox Corporation Ejector activation scheduling system for an ink-jet printhead
US5606703A (en) * 1995-12-06 1997-02-25 International Business Machines Corporation Interrupt protocol system and method using priority-arranged queues of interrupt status block control data structures
US5619456A (en) * 1996-01-19 1997-04-08 Sgs-Thomson Microelectronics, Inc. Synchronous output circuit
JPH09212254A (en) * 1996-02-06 1997-08-15 Toshiba Corp Clock margin control device
US5805403A (en) * 1996-03-28 1998-09-08 3Com Ltd. Integrated circuit temperature monitoring and protection system
US5673316A (en) * 1996-03-29 1997-09-30 International Business Machines Corporation Creation and distribution of cryptographic envelope
US6371590B1 (en) * 1996-04-09 2002-04-16 Samsung Electronics Co., Ltd. Method for testing nozzles of an inkjet printer
US5661428A (en) * 1996-04-15 1997-08-26 Micron Technology, Inc. Frequency adjustable, zero temperature coefficient referencing ring oscillator circuit
US5915226A (en) * 1996-04-19 1999-06-22 Gemplus Card International Prepaid smart card in a GSM based wireless telephone network and method for operating prepaid cards
US5796313A (en) * 1996-04-25 1998-08-18 Waferscale Integration Inc. Low power programmable ring oscillator
EP0805050B1 (en) * 1996-05-02 2003-04-16 Ricoh Company, Ltd Image forming method and apparatus for rapidly fixing ink on a recording medium
US6113208A (en) * 1996-05-22 2000-09-05 Hewlett-Packard Company Replaceable cartridge for a printer including resident memory with stored message triggering data
US5796312A (en) * 1996-05-24 1998-08-18 Microchip Technology Incorporated Microcontroller with firmware selectable oscillator trimming
US6320782B1 (en) * 1996-06-10 2001-11-20 Kabushiki Kaisha Toshiba Semiconductor memory device and various systems mounting them
US5870267A (en) * 1996-07-25 1999-02-09 Konami Co., Ltd. Semiconductor integrated circuit device with overheating protector and method of protecting semiconductor integrated circuit against overheating
JP3411159B2 (en) * 1996-08-02 2003-05-26 株式会社日立製作所 Mobile computer support system
US5822758A (en) 1996-09-09 1998-10-13 International Business Machines Corporation Method and system for high performance dynamic and user programmable cache arbitration
US5935259A (en) * 1996-09-24 1999-08-10 Apple Computer, Inc. System and method for preventing damage to media files within a digital camera device
US5754567A (en) * 1996-10-15 1998-05-19 Micron Quantum Devices, Inc. Write reduction in flash memory systems through ECC usage
US6027195A (en) * 1996-11-12 2000-02-22 Varis Corporation System and method for synchronizing the piezoelectric clock sources of a plurality of ink jet printheads
JPH10163867A (en) * 1996-11-29 1998-06-19 Ricoh Co Ltd Clock generator and its production
US6168251B1 (en) * 1996-12-18 2001-01-02 Canon Kabushiki Kaisha Recording apparatus and method for correcting offset of recorded pixels
DE69731361T2 (en) * 1996-12-19 2005-10-27 Koninklijke Philips Electronics N.V. Portable electronic device with detector device of changes of a supply voltage
FR2757653B1 (en) * 1996-12-20 1999-03-12 Sextant Avionique SELF-CONTAINED INPUT AND OUTPUT CONTROLLER
US5754762A (en) * 1997-01-13 1998-05-19 Kuo; Chih-Cheng Secure multiple application IC card using interrupt instruction issued by operating system or application program to control operation flag that determines the operational mode of bi-modal CPU
US5920630A (en) * 1997-02-25 1999-07-06 United States Of America Method of public key cryptography that includes key escrow
US5987576A (en) * 1997-02-27 1999-11-16 Hewlett-Packard Company Method and apparatus for generating and distributing clock signals with minimal skew
US6283572B1 (en) * 1997-03-04 2001-09-04 Hewlett-Packard Company Dynamic multi-pass print mode corrections to compensate for malfunctioning inkjet nozzles
US6065113A (en) * 1997-03-07 2000-05-16 Texas Instruments Incorporated Circuits, systems, and methods for uniquely identifying a microprocessor at the instruction set level employing one-time programmable register
US6010205A (en) 1997-03-12 2000-01-04 Raster Graphics Inc. Method and apparatus for improved printing
AUPO799197A0 (en) * 1997-07-15 1997-08-07 Silverbrook Research Pty Ltd Image processing method and apparatus (ART01)
US6112265A (en) * 1997-04-07 2000-08-29 Intel Corportion System for issuing a command to a memory having a reorder module for priority commands and an arbiter tracking address of recently issued command
JPH10326493A (en) * 1997-05-23 1998-12-08 Ricoh Co Ltd Compounded flash memory device
US6064989A (en) * 1997-05-29 2000-05-16 Pitney Bowes Inc. Synchronization of cryptographic keys between two modules of a distributed system
US5841125A (en) * 1997-06-06 1998-11-24 Trw Inc. High energy laser focal sensor (HELFS)
US5896263A (en) * 1997-06-27 1999-04-20 Allen-Bradley Company, Llc Output circuit having electronic overload protection activated by voltage drop across output transistor
US6803989B2 (en) * 1997-07-15 2004-10-12 Silverbrook Research Pty Ltd Image printing apparatus including a microcontroller
US6702417B2 (en) * 1997-07-12 2004-03-09 Silverbrook Research Pty Ltd Printing cartridge with capacitive sensor identification
US6217165B1 (en) * 1997-07-15 2001-04-17 Silverbrook Research Pty. Ltd. Ink and media cartridge with axial ink chambers
AUPO794797A0 (en) * 1997-07-15 1997-08-07 Silverbrook Research Pty Ltd A device (MEMS07)
US7743262B2 (en) * 1997-07-15 2010-06-22 Silverbrook Research Pty Ltd Integrated circuit incorporating protection from power supply attacks
US6857724B2 (en) * 1997-07-15 2005-02-22 Silverbrook Research Pty Ltd Print assembly for a wide format pagewidth printer
AU8765098A (en) 1997-08-01 1999-03-08 Encad, Inc. Ink-jet printer, method and system compensating for nonfunctional print elements
US5942949A (en) * 1997-10-14 1999-08-24 Lucent Technologies Inc. Self-calibrating phase-lock loop with auto-trim operations for selecting an appropriate oscillator operating curve
US6219142B1 (en) * 1997-10-17 2001-04-17 Southwest Sciences Incorporated Method and apparatus for determining wave characteristics from wave phenomena
US6026492A (en) * 1997-11-06 2000-02-15 International Business Machines Corporation Computer system and method to disable same when network cable is removed
US6385728B1 (en) * 1997-11-26 2002-05-07 International Business Machines Corporation System, method, and program for providing will-call certificates for guaranteeing authorization for a printer to retrieve a file directly from a file server upon request from a client in a network computer system environment
US6314521B1 (en) * 1997-11-26 2001-11-06 International Business Machines Corporation Secure configuration of a digital certificate for a printer or other network device
JP3065053B2 (en) * 1998-01-06 2000-07-12 セイコーエプソン株式会社 Device monitoring system, local monitoring device, integrated monitoring device, device monitoring method, and computer-readable medium storing program
FI108827B (en) * 1998-01-08 2002-03-28 Nokia Corp A method for implementing connection security in a wireless network
US6145054A (en) * 1998-01-21 2000-11-07 Sun Microsystems, Inc. Apparatus and method for handling multiple mergeable misses in a non-blocking cache
US5983225A (en) * 1998-01-26 1999-11-09 Telenor As Parameterized lock management system and method for conditional conflict serializability of transactions
US6378072B1 (en) * 1998-02-03 2002-04-23 Compaq Computer Corporation Cryptographic system
JP3847970B2 (en) * 1998-04-14 2006-11-22 キヤノン株式会社 Print data processing apparatus, print data processing method, and recording medium
US5973968A (en) * 1998-04-30 1999-10-26 Medtronic, Inc. Apparatus and method for write protecting a programmable memory
US6297888B1 (en) * 1998-05-04 2001-10-02 Canon Kabushiki Kaisha Automatic alignment of print heads
US6154195A (en) * 1998-05-14 2000-11-28 S3 Incorporated System and method for performing dithering with a graphics unit having an oversampling buffer
US6481820B1 (en) 1998-05-25 2002-11-19 Konica Corporation Ink jet printer which can carry out high speed image formation and which can avoid image failure due to a defective nozzle
US6226098B1 (en) * 1998-06-11 2001-05-01 Nuworld Marketing, Ltd Printer appliance for use in a wireless system for broadcasting packets of information
US6246970B1 (en) * 1998-07-10 2001-06-12 Silverbrook Research Pty Ltd Method for making a chip tamper-resistant
US6816968B1 (en) * 1998-07-10 2004-11-09 Silverbrook Research Pty Ltd Consumable authentication protocol and system
US20020008723A1 (en) 1998-07-21 2002-01-24 Xin Wen Printer and method of compensating for malperforming and inoperative ink nozzles in a print head
JP3611177B2 (en) 1998-07-22 2005-01-19 セイコーエプソン株式会社 Inkjet recording apparatus and recording method
US6350004B1 (en) * 1998-07-29 2002-02-26 Lexmark International, Inc. Method and system for compensating for skew in an ink jet printer
US6241338B1 (en) * 1998-08-06 2001-06-05 Seiko Epson Corporation Dot printing using partial overlap scheme
JP4682421B2 (en) * 1998-08-31 2011-05-11 ソニー株式会社 Storage device, processing device, and processing method
EP0983855A3 (en) 1998-08-31 2000-08-02 Hewlett-Packard Company Dot substitution to compensate for failed ink jet nozzles
US6192349B1 (en) * 1998-09-28 2001-02-20 International Business Machines Corporation Smart card mechanism and method for obtaining electronic tickets for goods services over an open communications link
US6202101B1 (en) * 1998-09-30 2001-03-13 Compaq Computer Corporation System and method for concurrently requesting input/output and memory address space while maintaining order of data sent and returned therefrom
US6115303A (en) * 1998-10-09 2000-09-05 Micron Technology, Inc. Method and apparatus for testing memory devices
WO2000023279A1 (en) * 1998-10-16 2000-04-27 Silverbrook Research Pty. Limited Improvements relating to inkjet printers
AU760436B2 (en) * 1998-10-16 2003-05-15 Matsushita Electric Industrial Co., Ltd. Production protection system dealing with contents that are digital production
US6526484B1 (en) * 1998-11-16 2003-02-25 Infineon Technologies Ag Methods and apparatus for reordering of the memory requests to achieve higher average utilization of the command and data bus
JP4395943B2 (en) * 1998-11-26 2010-01-13 セイコーエプソン株式会社 Printing apparatus and information management method thereof
AUPP752398A0 (en) * 1998-12-04 1999-01-07 Collins, Lyal Sidney Secure multi-point data transfer system
US6294962B1 (en) * 1998-12-09 2001-09-25 Cypress Semiconductor Corp. Circuit(s), architecture and method(s) for operating and/or tuning a ring oscillator
TW460846B (en) * 1998-12-10 2001-10-21 Toshiba Corp Data recording media having certification information
US6354689B1 (en) 1998-12-22 2002-03-12 Eastman Kodak Company Method of compensating for malperforming nozzles in a multitone inkjet printer
US6418472B1 (en) * 1999-01-19 2002-07-09 Intel Corporation System and method for using internet based caller ID for controlling access to an object stored in a computer
US6601151B1 (en) * 1999-02-08 2003-07-29 Sun Microsystems, Inc. Apparatus and method for handling memory access requests in a data processing system
US6771385B1 (en) * 1999-03-03 2004-08-03 Konica Corporation Method of using a server connected with a network and a server system
US6753739B1 (en) * 1999-03-24 2004-06-22 Cypress Semiconductor Corp. Programmable oscillator scheme
US6191660B1 (en) * 1999-03-24 2001-02-20 Cypress Semiconductor Corp. Programmable oscillator scheme
JP2000286737A (en) * 1999-03-30 2000-10-13 Kokusai Electric Co Ltd Amplifier
US6587947B1 (en) * 1999-04-01 2003-07-01 Intel Corporation System and method for verification of off-chip processor code
US7002702B1 (en) * 1999-04-09 2006-02-21 Canon Kabushiki Kaisha Data processing apparatus and data processing method for controlling plural peripheral devices to provide function
US6775022B2 (en) * 1999-04-14 2004-08-10 Canon Kabushiki Kaisha Printer control based on head alignment
US6390579B1 (en) * 1999-04-15 2002-05-21 Hewlett-Packard Company Pulse width modulator using delay-line technology with automatic calibration of delays to desired operating frequency
AUPP996099A0 (en) * 1999-04-23 1999-05-20 Silverbrook Research Pty Ltd A method and apparatus(sprint01)
JP3389186B2 (en) * 1999-04-27 2003-03-24 松下電器産業株式会社 Semiconductor memory card and reading device
US6312074B1 (en) * 1999-04-30 2001-11-06 Hewlett-Packard Company Method and apparatus for detecting fluid level in a fluid container
US6269164B1 (en) * 1999-05-17 2001-07-31 Paul Pires Method of and system for encrypting messages
US7035812B2 (en) * 1999-05-28 2006-04-25 Overture Services, Inc. System and method for enabling multi-element bidding for influencing a position on a search result list generated by a computer network search engine
US6711677B1 (en) * 1999-07-12 2004-03-23 Hewlett-Packard Development Company, L.P. Secure printing method
US6947903B1 (en) * 1999-08-06 2005-09-20 Elcommerce.Com.Inc. Method and system for monitoring a supply-chain
US6757831B1 (en) * 1999-08-18 2004-06-29 Sun Microsystems, Inc. Logic block used to check instruction buffer configuration
US7124170B1 (en) * 1999-08-20 2006-10-17 Intertrust Technologies Corp. Secure processing unit systems and methods
US7093137B1 (en) * 1999-09-30 2006-08-15 Casio Computer Co., Ltd. Database management apparatus and encrypting/decrypting system
JP4497689B2 (en) * 1999-10-01 2010-07-07 キヤノン株式会社 Printing device, exchange unit, and memory unit
KR100429941B1 (en) * 1999-10-04 2004-05-03 세이코 엡슨 가부시키가이샤 Integrated circuit, ink cartridge, and ink-jet printer
JP2001162841A (en) * 1999-12-07 2001-06-19 Seiko Epson Corp Printing of parallel bidirectional printing or unidirectional printing for every type of ink
JP2001211154A (en) * 2000-01-25 2001-08-03 Murata Mach Ltd Secret key generating method, ciphering method, and cipher communication method
JP3587751B2 (en) * 2000-01-25 2004-11-10 村田機械株式会社 Common key generator, encryption communication method, encryption communication system, and recording medium
US6850337B1 (en) * 2000-01-31 2005-02-01 Hewlett-Packard Development Company, L.P. Methods and arrangement for providing and using printer configuration status information
US6757832B1 (en) * 2000-02-15 2004-06-29 Silverbrook Research Pty Ltd Unauthorized modification of values in flash memory
TW487639B (en) * 2000-02-15 2002-05-21 Acer Comm & Multimedia Inc Ink-jet printer having abnormal compensation function of nozzle
AUPQ595900A0 (en) * 2000-03-02 2000-03-23 Silverbrook Research Pty Ltd Modular printhead
FR2807245B1 (en) * 2000-03-30 2002-05-24 France Telecom METHOD FOR PROTECTING A CHIP FROM FRAUD
EP1202208A4 (en) * 2000-04-06 2006-04-12 Sony Corp Storage area dividing method for portable device
WO2001077837A1 (en) * 2000-04-11 2001-10-18 Mathis Richard M Method and apparatus for computer memory protection and verification
US7016898B1 (en) * 2000-04-14 2006-03-21 International Business Machines Corporation Extension of browser web page content labels and password checking to communications protocols
JP4387553B2 (en) * 2000-04-27 2009-12-16 キヤノン株式会社 Printing control apparatus and method and information processing apparatus and method
JP4681751B2 (en) * 2000-05-01 2011-05-11 キヤノン株式会社 Recording apparatus and recording method
JP2002033271A (en) * 2000-05-12 2002-01-31 Nikon Corp Projection exposure method, device manufacturing method using it, and projection aligner
US6545950B1 (en) * 2000-05-16 2003-04-08 Ericsson Inc. Methods, systems, wireless terminals, and computer program products for calibrating an electronic clock using a base reference signal and a non-continuous calibration reference signal having greater accuracy than the base reference signal
AUPQ766300A0 (en) 2000-05-22 2000-06-15 Canon Kabushiki Kaisha Defective nozzle compensation
US6859289B1 (en) * 2000-05-23 2005-02-22 Silverbrook Research Pty Ltd Print engine/controller with color mask
ATE344147T1 (en) * 2000-05-30 2006-11-15 Seiko Epson Corp ADJUSTING THE POINT POSITION SHIFT OF A PRINTER
US6807225B1 (en) * 2000-05-31 2004-10-19 Conexant Systems, Inc. Circuit and method for self trimming frequency acquisition
US6581111B1 (en) * 2000-06-02 2003-06-17 Advanced Micro Devices, Inc. Out-of-order probing in an in-order system
FR2810139B1 (en) * 2000-06-08 2002-08-23 Bull Cp8 METHOD FOR SECURING THE PRE-INITIALIZATION PHASE OF AN ON-BOARD ELECTRONIC CHIP SYSTEM, ESPECIALLY A CHIP CARD, AND ON-BOARD SYSTEM IMPLEMENTING THE METHOD
US6816750B1 (en) * 2000-06-09 2004-11-09 Cirrus Logic, Inc. System-on-a-chip
US6515304B1 (en) 2000-06-23 2003-02-04 International Business Machines Corporation Device for defeating reverse engineering of integrated circuits by optical means
AU5374100A (en) * 2000-06-30 2002-01-14 Silverbrook Res Pty Ltd Ink jet fault tolerance using extra ink dots
US6467870B2 (en) * 2000-07-21 2002-10-22 Fuji Photo Film Co., Ltd. Recording head
US6816923B1 (en) * 2000-07-31 2004-11-09 Webtv Networks, Inc. Arbitrating and servicing polychronous data requests in direct memory access
US6453196B1 (en) * 2000-08-07 2002-09-17 Cardiac Pacemakers, Inc. High frequency oscillator for implantable medical devices
US6445232B1 (en) * 2000-08-31 2002-09-03 Xilinx, Inc. Digital clock multiplier and divider with output waveform shaping
US6252471B1 (en) * 2000-09-29 2001-06-26 Motorola Inc. Programmable oscillator using magnetoresistive memory technology
US7273483B2 (en) * 2000-10-20 2007-09-25 Ethicon Endo-Surgery, Inc. Apparatus and method for alerting generator functions in an ultrasonic surgical system
US7360080B2 (en) * 2000-11-03 2008-04-15 International Business Machines Corporation Non-transferable anonymous credential system with optional anonymity revocation
KR100358919B1 (en) * 2000-11-18 2002-10-31 주식회사 메모리앤테스팅 Semiconductor testing using Master-slave technique
DE60035113T2 (en) * 2000-11-30 2008-02-07 Stmicroelectronics S.R.L., Agrate Brianza Circuit architecture for trimming integrated circuits
US6561627B2 (en) * 2000-11-30 2003-05-13 Eastman Kodak Company Thermal actuator
US6565174B2 (en) * 2000-12-15 2003-05-20 Hitachi Koki Co., Ltd. Ink jet recording device
JP2002215258A (en) * 2001-01-23 2002-07-31 Mitsubishi Electric Corp Semiconductor integrated circuit device
US6877833B2 (en) * 2001-01-31 2005-04-12 Canon Kabushiki Kaisha Printing data producing method for printing apparatus
JP2002254611A (en) * 2001-02-28 2002-09-11 Canon Inc Recording device and selection method for recording head performance data
EP1368897B1 (en) * 2001-03-03 2011-05-04 Optimum Power Technology, L.P. Apparatus and method for adjusting filter frequency in relation to sampling frequency
JP3578097B2 (en) * 2001-03-16 2004-10-20 日立プリンティングソリューションズ株式会社 Charge deflecting device and ink jet printer using the same
US6734538B1 (en) * 2001-04-12 2004-05-11 Bae Systems Information & Electronic Systems Integration, Inc. Article comprising a multi-layer electronic package and method therefor
US7203837B2 (en) * 2001-04-12 2007-04-10 Microsoft Corporation Methods and systems for unilateral authentication of messages
JP4065492B2 (en) * 2001-05-15 2008-03-26 キヤノン株式会社 Inkjet printing apparatus, inkjet printing method, program, and computer-readable storage medium storing the program
US7051332B2 (en) * 2001-05-21 2006-05-23 Cyberscan Technology, Inc. Controller having a restart engine configured to initiate a controller restart cycle upon receipt of a timeout signal from a watchdog timer
DE10125164C1 (en) * 2001-05-23 2003-01-16 Infineon Technologies Ag Semiconductor chip with trimmable oscillator
US6672697B2 (en) * 2001-05-30 2004-01-06 Eastman Kodak Company Compensation method for overlapping print heads of an ink jet printer
US7200759B2 (en) * 2001-06-08 2007-04-03 Safenet B.V. Method and device for making information contents of a volatile semiconductor memory irretrievable
FI114416B (en) * 2001-06-15 2004-10-15 Nokia Corp Method for securing the electronic device, the backup system and the electronic device
US6604808B2 (en) * 2001-07-03 2003-08-12 Lexmark International, Inc. Method for determining the skew of a printhead of a printer
US6559629B1 (en) * 2001-07-09 2003-05-06 Cygnal Integrated Products, Inc. Supply voltage monitor using bandgap device without feedback
US7313824B1 (en) * 2001-07-13 2007-12-25 Liquid Machines, Inc. Method for protecting digital content from unauthorized use by automatically and dynamically integrating a content-protection agent
US20030011040A1 (en) * 2001-07-13 2003-01-16 Motorola, Inc. Active feedback circuit for gain linearization
US7137000B2 (en) * 2001-08-24 2006-11-14 Zih Corp. Method and apparatus for article authentication
EP1293856A1 (en) * 2001-09-18 2003-03-19 EM Microelectronic-Marin SA Secure integrated circuit having confidential parts and a method for activating the circuit
TW532025B (en) * 2001-09-25 2003-05-11 Admtek Inc Data encryption and decryption method and apparatus therefor
US6741253B2 (en) * 2001-10-09 2004-05-25 Micron Technology, Inc. Embedded memory system and method including data error correction
US6595619B2 (en) * 2001-10-30 2003-07-22 Hewlett-Packard Development Company, L.P. Printing mechanism service station for a printbar assembly
US6650589B2 (en) * 2001-11-29 2003-11-18 Intel Corporation Low voltage operation of static random access memory
KR100454123B1 (en) * 2001-12-06 2004-10-26 삼성전자주식회사 Semiconductor integrated circuit devices and modules with the same
CN100431838C (en) * 2001-12-18 2008-11-12 索尼公司 Print head
US6925539B2 (en) * 2002-02-06 2005-08-02 Seagate Technology Llc Data transfer performance through resource allocation
US6829689B1 (en) * 2002-02-12 2004-12-07 Nvidia Corporation Method and system for memory access arbitration for minimizing read/write turnaround penalties
JP3967935B2 (en) * 2002-02-25 2007-08-29 株式会社日立製作所 Alignment accuracy measuring apparatus and method
US6820972B2 (en) * 2002-03-29 2004-11-23 Hewlett-Packard Development Company, L.P. Printing cartridge pigment replenishment apparatus and method
NL1020312C2 (en) * 2002-04-05 2003-10-07 Otb Groep B V Method and device for manufacturing a display, such as for example a polymeric OLED display, a display and a substrate for use in the method.
US6738788B1 (en) * 2002-04-17 2004-05-18 Icid, Llc Database system using a record key having some randomly positioned, non-deterministic bits
US6809606B2 (en) 2002-05-02 2004-10-26 Intel Corporation Voltage ID based frequency control for clock generating circuit
US6637860B1 (en) * 2002-05-13 2003-10-28 Creo Srl High throughput inkjet printer with provision for spot color printing
WO2003097361A2 (en) * 2002-05-14 2003-11-27 Wellspring Trust, An Oregon Charitable Trust High-speed, high-resolution color printing apparatus and method
US7149857B2 (en) * 2002-05-14 2006-12-12 Micron Technology, Inc. Out of order DRAM sequencer
JP3707558B2 (en) * 2002-08-26 2005-10-19 セイコーエプソン株式会社 Liquid jet head
US6764155B2 (en) * 2002-09-09 2004-07-20 Hewlett-Packard Development Company, L.P. System and method for compensating for non-functional ink cartridge ink jet nozzles
US6895475B2 (en) 2002-09-30 2005-05-17 Analog Devices, Inc. Prefetch buffer method and apparatus
US6778024B2 (en) * 2002-11-14 2004-08-17 Gennum Corporation Dynamically trimmed voltage controlled oscillator
US7818519B2 (en) * 2002-12-02 2010-10-19 Silverbrook Research Pty Ltd Timeslot arbitration scheme
US6819195B1 (en) * 2003-03-07 2004-11-16 Ami Semiconductor, Inc. Stimulated quick start oscillator
US7206928B2 (en) * 2003-06-03 2007-04-17 Digi International Inc. System boot method
JP2005049970A (en) * 2003-07-30 2005-02-24 Renesas Technology Corp Semiconductor integrated circuit
US6900675B2 (en) * 2003-09-02 2005-05-31 Standard Microsystems Corporation All digital PLL trimming circuit
JP4497877B2 (en) * 2003-09-24 2010-07-07 キヤノン株式会社 Recording device
US7444564B2 (en) 2003-11-19 2008-10-28 International Business Machines Corporation Automatic bit fail mapping for embedded memories with clock multipliers
KR100604871B1 (en) * 2004-06-17 2006-07-31 삼성전자주식회사 Complementary non-volatile memory device, methods of operating and manufacturing the same and logic device and semiconductor device comprising the same
US7071751B1 (en) * 2004-12-17 2006-07-04 Xilinx, Inc. Counter-controlled delay line

Also Published As

Publication number Publication date
US7592829B2 (en) 2009-09-22
US20060071981A1 (en) 2006-04-06
US7328115B2 (en) 2008-02-05
US8038239B2 (en) 2011-10-18
US7278034B2 (en) 2007-10-02
US20100223453A1 (en) 2010-09-02
US20060071951A1 (en) 2006-04-06
US7302592B2 (en) 2007-11-27
US20080086655A1 (en) 2008-04-10
US20050182985A1 (en) 2005-08-18
US20040199786A1 (en) 2004-10-07
US7770008B2 (en) 2010-08-03
US20050166040A1 (en) 2005-07-28
US7360131B2 (en) 2008-04-15
US20040196320A1 (en) 2004-10-07
US20080117243A1 (en) 2008-05-22
US20060052962A1 (en) 2006-03-09
US7573301B2 (en) 2009-08-11
US7722146B2 (en) 2010-05-25
US20040189731A1 (en) 2004-09-30
US20090058903A1 (en) 2009-03-05
US7747887B2 (en) 2010-06-29
US7152942B2 (en) 2006-12-26
US20090125720A1 (en) 2009-05-14
US20080155826A1 (en) 2008-07-03
US7976116B2 (en) 2011-07-12
US20090273389A1 (en) 2009-11-05
US7188282B2 (en) 2007-03-06
US7818519B2 (en) 2010-10-19
US7121639B2 (en) 2006-10-17
US7181572B2 (en) 2007-02-20
US20050213761A1 (en) 2005-09-29
US20060214977A1 (en) 2006-09-28
US20050160316A1 (en) 2005-07-21
US20100039467A1 (en) 2010-02-18
US7171323B2 (en) 2007-01-30
US20090251502A1 (en) 2009-10-08
US7096137B2 (en) 2006-08-22
US20080150997A1 (en) 2008-06-26
US7805626B2 (en) 2010-09-28
US20080259711A1 (en) 2008-10-23
US7707621B2 (en) 2010-04-27
US7399043B2 (en) 2008-07-15
US20040243978A1 (en) 2004-12-02
WO2004050369A9 (en) 2005-05-12
US20040225881A1 (en) 2004-11-11
US20040181303A1 (en) 2004-09-16
CA2508141A1 (en) 2004-06-17
US7467839B2 (en) 2008-12-23
US7278697B2 (en) 2007-10-09
US20050188218A1 (en) 2005-08-25
US20060082609A1 (en) 2006-04-20
US20060259258A1 (en) 2006-11-16
US20040193880A1 (en) 2004-09-30
US20040183843A1 (en) 2004-09-23
US7377608B2 (en) 2008-05-27
US7540579B2 (en) 2009-06-02
US20040143710A1 (en) 2004-07-22
US7800410B2 (en) 2010-09-21
US20090073196A1 (en) 2009-03-19
US20040227205A1 (en) 2004-11-18
US20040223010A1 (en) 2004-11-11
US20070006150A9 (en) 2007-01-04
US7610163B2 (en) 2009-10-27
EP1572463A4 (en) 2008-07-02
US7831827B2 (en) 2010-11-09
US7660998B2 (en) 2010-02-09
US20100238213A1 (en) 2010-09-23
US20080170093A1 (en) 2008-07-17
EP1572463A1 (en) 2005-09-14
US7783886B2 (en) 2010-08-24
DE60336677D1 (en) 2011-05-19
US8005636B2 (en) 2011-08-23
US20090284279A1 (en) 2009-11-19
US20100134541A1 (en) 2010-06-03
US20040249757A1 (en) 2004-12-09
US20060242496A1 (en) 2006-10-26
EP1572463B1 (en) 2011-04-06
US20110074850A1 (en) 2011-03-31
US7523111B2 (en) 2009-04-21
WO2004050369A1 (en) 2004-06-17
US7996880B2 (en) 2011-08-09
US20070211285A1 (en) 2007-09-13
US7747646B2 (en) 2010-06-29
US20040189355A1 (en) 2004-09-30
US20040221287A1 (en) 2004-11-04
US7611215B2 (en) 2009-11-03
US20050152596A1 (en) 2005-07-14
US7465005B2 (en) 2008-12-16
DK1572463T3 (en) 2011-07-25
US20040201939A1 (en) 2004-10-14
US20100010767A1 (en) 2010-01-14
ATE504446T1 (en) 2011-04-15
US20040201647A1 (en) 2004-10-14
US7165824B2 (en) 2007-01-23
US20050177633A1 (en) 2005-08-11

Similar Documents

Publication Publication Date Title
CA2508141C (en) Dead nozzle compensation
US7266661B2 (en) Method of storing bit-pattern in plural devices
US7243193B2 (en) Storage of program code in arbitrary locations in memory
US7163345B2 (en) Printhead having printhead modules vertically offset at join region
US7314261B2 (en) Printhead module for expelling ink from nozzles in groups, alternately, starting at outside nozzles of each group
US7557941B2 (en) Use of variant and base keys with three or more entities
US7252353B2 (en) Printer controller for supplying data to a printhead module having one or more redundant nozzle rows
US7328956B2 (en) Printer comprising a printhead and at least two printer controllers connected to a common input of the printhead
US7290852B2 (en) Printhead module having a dropped row
US7267417B2 (en) Printer controller for supplying data to one or more printheads via serial links
US7281777B2 (en) Printhead module having a communication input for data and control
US7757086B2 (en) Key transportation
US7484831B2 (en) Printhead module having horizontally grouped firing order
US7607757B2 (en) Printer controller for supplying dot data to at least one printhead module having faulty nozzle
US7275805B2 (en) Printhead comprising different printhead modules
US20110096930A1 (en) Method of Storing Secret Information in Distributed Device
US7377609B2 (en) Printer controller for at least partially compensating for erroneous rotational displacement
US20090262929A1 (en) Method for secure storage of plural keys in device
US20070083491A1 (en) Storage of key in non-volatile memory
US7600843B2 (en) Printer controller for controlling a printhead module based on thermal sensing
US7188928B2 (en) Printer comprising two uneven printhead modules and at least two printer controllers, one of which sends print data to both of the printhead modules
US7281330B2 (en) Method of manufacturing left-handed and right-handed printhead modules
US7866778B2 (en) Printhead module having nozzle redundancy for faulty nozzle tolerance
US7832842B2 (en) Printer controller for supplying data to a printhead module having interleaved shift registers
AU2008207608B2 (en) Method for at least partially compensating for errors in ink dot placement due to erroneous rotational displacement

Legal Events

Date Code Title Description
EEER Examination request
MKLA Lapsed

Effective date: 20211202