CN100355058C - 具有连续沉积和蚀刻的电离pvd - Google Patents

具有连续沉积和蚀刻的电离pvd Download PDF

Info

Publication number
CN100355058C
CN100355058C CNB02812085XA CN02812085A CN100355058C CN 100355058 C CN100355058 C CN 100355058C CN B02812085X A CNB02812085X A CN B02812085XA CN 02812085 A CN02812085 A CN 02812085A CN 100355058 C CN100355058 C CN 100355058C
Authority
CN
China
Prior art keywords
substrate
etching
ipvd
deposition
technology
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB02812085XA
Other languages
English (en)
Other versions
CN1552097A (zh
Inventor
图鲁尔·雅萨尔
格林·雷诺兹
弗兰克·切里奥
布鲁斯·吉托曼
迈克尔·格拉佩豪斯
罗德尼·罗比森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1552097A publication Critical patent/CN1552097A/zh
Application granted granted Critical
Publication of CN100355058C publication Critical patent/CN100355058C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

一种iPVD设备(20)通过在真空室(30)内循环执行沉积和蚀刻模式,将材料(10)沉积到半导体基片(21)上高形状比的亚微米结构(11)中。这些模式工作在不同的功率和压力参数下。例如大于50mTorr的压力用于从靶上溅射材料,而小于几mTorr的压力例如用于蚀刻。蚀刻时对基片的偏压功率要高一个数量级,蚀刻时产生几百伏偏压而沉积时仅有几十伏。交替执行的蚀刻模式去除基片上结构突出边缘的沉积材料,去除结构底部(15)一些沉积材料,将去除的沉积材料重新溅射到结构的侧壁(16)上。基片(21)在沉积和蚀刻过程中冷却,特别是蚀刻过程中冷却到明显低于0℃。RF能量耦合到室(30)内形成高密度等离子体,沉积期间耦合的RF功率明显高于蚀刻期间的。基片(21)在蚀刻过程比在沉积过程更靠近等离子体源。

Description

具有连续沉积和蚀刻的电离PVD
本发明要求2001年5月4日提交的美国临时专利申请No.60/288952的优先权,该申请在下面引用作为参考文献。
发明领域
本发明涉及半导体晶片上通过和线槽结构的金属化。更具体地,本发明涉及硅片上高形状比通过和线槽结构的金属化,其中利用离子溅射材料形成阻挡层和种子层。
背景技术
对于半导体晶片高形状比通孔和线槽的金属化,需要阻挡层和种子层具有好的侧壁和底面覆盖。阻挡层在不牺牲阻挡性能的条件下应尽可能的薄。阻挡层必须薄,因为其电阻与沟道结构的电阻迭加在一起,必须达到最小。阻挡层需要保持形状并且连续,用以防止种子层材料扩散到介电层以及其它层中,从而防止与可靠性有关的问题。这需要很好地控制阻挡层的厚度并且特别是在沟道结构的底部达到最小程度。沟道结构底部的厚的阻挡层,会对互连金属化物质的电阻明显增加不希望的电阻。高的接触电阻导致差的IC性能。在阻挡层沉积期间,在沟道结构入口的顶边缘,由于较厚的材料堆积在此而形成突出。这种突出干扰种子层在侧壁和沟道结构底部上的沉积。在种子层沉积期间,必须防止种子层材料本身形成突出。
种子层必须是连续的并且对侧壁和沟道结构底部有好的覆盖。这对于阻挡层和种子层沉积后的电镀步骤是关键的。沟道结构入口被突出闭合将导致差的侧壁覆盖、差的电镀填充和低的装置产量。
电离PVD(物理汽相沉积)沉积用于先进IC晶片的阻挡层和种子层金属化。电离PVD使通过和线槽结构形成好的侧壁和底部覆盖。但是,随着几何收缩以及通孔尺寸小于0.15微米,电离沉积的需求变得更迫切。因此,非常需要电离PVD工艺,使底部和侧壁覆盖很好平衡并使突出最小。
连续沉积和蚀刻工艺先前已经提出了。在美国专利6100200中,Van Buskirk等人给出了一种连续执行的加热沉积和蚀刻工艺,对通过或线槽结构提供保形覆盖。但是,他们提出的沉积和蚀刻工艺在300-600℃的高基片温度下,通常是500-450℃。不幸的是,当前半导体工艺中所用的新型现代低K电介质需要温度<200℃。Cu种子层的沉积需要<0℃,通常是-20℃到-50℃,用以防止铜聚合。Van Buskirk等人给出的温度将导致Cu种子层的全部聚合、通过和线槽结构的突出和闭合,出现大岛状的Cu和不连续的Cu层。Van Buskirk等人还给出低功率溅射,通常小于1kW,特别是小于0.5kW。这严重影响了工艺的沉积速率和产量。
并且,Van Buskirk等人提出了在一个单独真空系统中,通过在专门的沉积和蚀刻组件之间输送晶片,执行连续沉积和蚀刻步骤;或者在一个单独的真空系统中使用多面沉积和反应离子蚀刻组件。另外,Van Buskirk等人建议上述可以在独立的沉积和蚀刻系统中进行。晶片从一个蚀刻室输送到另一个沉积室,或者在相同组件中从一个蚀刻站输送到另一个沉积站,具有工艺成本高和工艺质量问题的缺点。通过将晶片从一个室输送到另一个室或者在相同室内从一个站输送到另一个站,导致生产量降低,从而工艺成本高。有些工艺对输送期间气体分子或其它污染物的吸附敏感,这损坏正在建造的装置的质量和可靠性。Van Buskirk等人的另一项建议是在独立系统中执行沉积和蚀刻步骤,在步骤之间将暴露在空气中,这对于大多数现代阻挡层/种子层金属化工艺是完全不能接受的。Van Buskirk等人也没有给出沉积步骤中任何的基片选择。
在美国专利4999096中,Nikel等人给出在相同室中进行连续沉积和蚀刻时用于溅射的方法和设备。Nikel等人对靶和基片施加负电压,执行薄膜沉积和反溅射。他们在处理模块内部、靶与基片之间提供一个RF线圈,在蚀刻步骤产生等离子体。这种结构的明显不足在于,内部线圈是一个污染源,因为处理空间中存在的高能离子和中子也将线圈的材料去除,即蚀刻,并污染基片上沉积或蚀刻的薄膜,这在本领域内是公知的。在其它先前技术中,线圈由与沉积的材料相同的材料制成的,但这对工艺产生过高的成本和硬件的难度。并不是每种沉积材料都能制成线圈,大多数情况下成本成为阻碍因素。并且,Nikel等人的建议将导致不均匀等离子体的产生以及基片的不均匀蚀刻。在连续蚀刻和沉积工艺中关键是两个步骤在整个晶片上均匀进行,从而在工艺结束后得到均匀处理的晶片。
Nikel等人严格地提出并强调低压沉积和蚀刻工艺,防止杂质进入沉积的薄膜中。这是通过在蚀刻和沉积过程中在低压下产生等离子体实现的,例如10-3torr或更低。在蚀刻过程,内线圈需要RF供电完成放电,这与他们希望防止基片的污染是相反的,现在却成了基片的污染源。Nikel等人严格地提出并限制他们的发明在低压下(10-3torr或更低)操作。
美国专利6274008提出一个集成的铜填充工艺,其中同时执行清洁-沉积步骤。此发明在铜种子层沉积之前使用铜离子清洁和/或蚀刻沟道结构的底部。
发明内容
根据本发明原理,提供一种工艺和设备,其中使用连续沉积和蚀刻步骤解决上述问题。本发明的工艺包括首先沉积一薄层金属,例如钽(Ta)、氮化钽(TaN)或铜(Cu),接着,优选地,在沉积停止后执行离子蚀刻步骤,优选地是通过电离的气体,如氩气(Ar)。
根据本发明的一方面,提供了一种iPVD(电离物理气相沉积)工艺,包括:在一iPVD设备的室内将一基片密封,并且不打开室执行iPVD工艺,通过操作设备工作在沉积模式、接着工作在蚀刻模式下,在基片上具有直径0.15微米或更小直径以及纵横比为3或更大的半导体结构的表面上沉积一层导电材料,所述模式的改变是通过控制所述设备工作的功率,使该功率在沉积模式中与在蚀刻模式中不同,其特征在于蚀刻模式之后执行另一个沉积模式,并且两个沉积模式都沉积导电材料,还控制所述设备操作的压力参数,使压力在沉积模式与在蚀刻模式不同。
根据本发明的另一方面,提供了一种iPVD设备,包括:真空室,用于在从0.1mTorr到100mTorr以上的压力范围内,在基片上进行电离物理气相沉积;位于室一个末端的环形靶和位于室另一端的基片支承台;位于靶中央的三维线圈,与RF能量源耦合并有效地将RF能量感应耦合到室内,从而在其中形成高密度等离子体;及编程后操作设备的控制器,其特征在于控制器编程后操作设备在以下步骤之间切换:操作设备工作在足够高的压力,使从靶溅射到等离子体内的材料热能化,并将材料从溅射靶溅射到等离子体中使材料电离,并以垂直于晶片的方向将材料沉积在晶片上;以及操作设备工作在比等离子体中粒子热能化的压力低的压力,并且不从靶上溅射材料,从基片上蚀刻沉积的材料,使基片上结构的突出边缘沉积的材料去除,将结构底部的材料重新溅射到结构侧壁上。
蚀刻步骤在晶片顶面和沟道结构底部的场区上去除的材料,比沉积过程沉积的材料少。这样,在此工艺循环结束时有净沉积产生。沉积/蚀刻循环可以重复所需的次数,直到达到所需的结果。通过平衡沉积和蚀刻时间、速率和其它沉积和蚀刻参数,可以消除突出的生长或使其最小化。突出和底部沉积被蚀刻并且至少部分重新分配到侧壁上。
在根据本发明一个实施例的工艺中,材料沉积在小的、具有高形状比特征的晶片上,例如直径为0.15微米或更小的孔或沟道结构,其形状比从3或5到15或更高的。沉积使用电离物理气相沉积(iPVD)工艺和设备,它们具有如美国专利5287435、6080287、6197165、6132564、5948215和5800688以及PCT申请PCT/US00/31756所述的特征,至少是部分根据它们。所有这些在此引用作为参考文献。
本发明的一个实施例利用图1所示美国专利6287435和PCT申请PCT/US00/31756详细描述的电离PVD设备的独特优点。这种设备特别适合连续沉积和蚀刻工艺。连续沉积和蚀刻工艺可以在同一个工艺室中应用到基片上,而不必去除真空或将晶片从一个室输送到另一个室。设备的结构允许从电离PVD沉积模式快速切换到蚀刻模型,或者从蚀刻模式切换到电离PVD沉积模式。设备的结构还允许即时优化沉积模式中的电离PVD沉积工艺控制参数和蚀刻模式中的蚀刻工艺控制参数。这些优点使得晶片产量高,并使晶片具有优异的沟道结构金属化和随后的电镀填充操作。
本发明除了基片温度低外,还具有高的DC靶功率,例如8~19kW,通常为11kW。高的功率不但使工艺的产量高,而且优化电离和金属物质的电离沉积,例如Cu或Ta。
本发明通过在同一室中原位的连续蚀刻和沉积步骤,得到高的产量并没有Van Buskirk等人的工艺中出现的界面层,由此本发明解决了先前技术的问题。
本发明的处理组件的结构适应宽范围的压力,在高晶片产量水平下得到保形的通过和线槽覆盖。本发明没有Nikel等人的低压限制,并利用设备能力提供对工艺的溅射和蚀刻步骤优化的处理压力。并且,先前技术没有对每个步骤提供优化的源-基片距离的沉积-蚀刻循环,用以增大最终薄膜的均匀性。
美国专利6247008没有提供连续蚀刻和沉积方案,并限制金属离子作为清洁元素。
从本发明图示实施例的详细描述中,本发明的上述和其它目的和优点将更加清晰。
附图说明
图1是半导体晶片一部分的剖视图,表示iPVD金属沉积的机理;
图2是图1中半导体晶片一部分的剖视图,表示通过蚀刻沉积金属重新溅射的机理;
图3是本发明使用的iPVD设备的一个实施例的剖视图;
图3A是与图3相似的、本发明使用的iPVD设备的另一个实施例的剖视图;
图3B是与图3和图3A相似的、本发明使用的iPVD设备的又一个实施例的剖视图;
图4是根据本发明一个实施例在连续沉积和蚀刻工艺过程中参数转换的曲线;
图5是根据本发明一个实施例在连续沉积和蚀刻工艺过程中参数变化的曲线;
图5A和5B是详细表示图5中选出的一部分的曲线;
图6表示图3、3A或3B设备的一部分控制系统;
图7和7A表示图3、3A或3B设备的部分备选的气流控制系统。
具体实施方式
图1表示通过iPVD在半导体晶片12的介电中间层13中的沟道结构11中沉积金属膜10。随着金属离子18沉积在晶片12上,金属沉积易于在沟道结构入口增厚,形成突出结构14。同样地,金属在沟道结构11底部15比侧壁16沉积得厚。随着沟道结构尺寸减小到0.15微米以下,而介电中间层13的厚度并不减小时,沟道结构11的形状比将明显增大,限制了到达沟道结构11的侧壁16上的金属离子流14。对于小于100的较薄沉积,在沟道结构11的侧壁16上沉积的薄膜,特别是对于诸如铜的金属种子层,趋于形成团聚的岛状结构。铜种子层中的间隙和不连续性导致侧壁16上,尤其是侧壁底部,形成电镀空隙,在此处金属覆盖最少。
图2表示蚀刻循环,从沟道结构11的底部16以及从沟道结构11顶部的突出结构14上重新溅射金属沉积10。在蚀刻循环中,氩离子(Ar+)冲击沉积层10上的物质并将其溅射,如箭头19所示。溅射在沟道结构底部16和突出14上去除过剩物质。当金属层是铜时,蚀刻通过将沟道结构底部16和沟道结构入口的突出14上溅射的Cu重新沉积,提高铜在底部16和侧壁16顶部的连续性。如果被蚀刻的金属是阻挡层,则沟道结构底部16厚度的减小使沟道结构的总接触电阻减小,并提高装置的性能。
沉积和蚀刻循环参考图3所示的iPVD设备20进行说明。对于电离PVD,晶片21固定在温控的静电卡盘22的顶部。溅射气体由源23进入真空处理室30,其压力通过泵29保持真空并被调节到适当的iPVD的电离沉积范围。DC电源从电源24提供到靶25,RF电源通过天线26由RF发生器27提供。这些电源24和27设定到适于iPVD沉积的功率大小。晶片RF偏压通过RF偏压发生器28施加在卡盘22上,这也可以在沉积过程中设定到适当大小,对晶片21输出净的负偏压,改善和影响过程。天线26位于室30的外部,在室壁32上介电窗口31的后面。窗口沉积挡板33,优选地由槽形金属材料制成,位于室30内部,紧靠窗口31,防止窗口31被沉积。永磁块34位于靶25后面,为靶25提供磁通道用于磁控溅射。
为使沟道结构最好地金属化,应控制晶片21的温度。晶片台22装有Z运动驱动器35,用于调节基片-源距离得到最好的沉积均匀性、沟道结构11侧壁16和底部15的最好覆盖和对称性。表1列出一些典型的电离PVD沉积参数。
表1
典型的电离PVD沉积参数
材料  DC功率(kW)  ICP功率(kW)  RF晶片偏压功率(W)  压力(mT)  N2流量(总流量的百分数,%)  晶片台温度(℃)
 Ta  8-19  1-7  0-200  50-120  -  25-100
 TaNx  8-19  1-7  0-200  50-120  3-50  25-100
 Cu  8-19  1-7  0-100  50-100  -  -50-0
对于表1列出的工艺,基片-源距离通常是150~275mm。氩气是典型的溅射气体。为了沉积金属氮化物如TaNx的阻挡层,在溅射沉积过程中,除了氩气以外还需要氮气。
在沉积到所需数量后,减小或关闭供给靶25的DC电源24,明显降低或停止沉积过程。本领域一般技术人员意识到,通过将DC电源24降低到非常低的程度而不是完全关闭,可以显著减小和/或停止沉积过程。保持ICP天线的RF激励,用于连续产生氩气等离子体。此时,调节室内氩气压力、ICP功率、RF基片偏压功率和基片-源距离,从而达到最佳的蚀刻条件。如果先前沉积步骤用于金属氮化物,则可以关闭或减小室的氮气流量。晶片台22的温度对于蚀刻步骤也要修改,但通常不是必需的。典型的蚀刻条件列于表2。
表2
金属和金属氮化物的典型蚀刻工艺参数
    ICP功率(W)     RF晶片偏压功率(W)     压力(mT)
    50-3000     100-1000     0.1-2
在先前技术的工艺中,种子金属层的团聚是常见的,这将导致在种子层上沉积的电镀填充物中出现空隙,由此生产出不能令人接受的、具有可靠性问题的产品。
根据本发明,在连续沉积和蚀刻步骤中都控制晶片温度。这些过程可以在美国专利6287435中描述的设备中实现,其中提供的静电卡盘22具有冷却液通道和恰当的温度控制。卡盘22与晶片21之间好的热接触可以通过在晶片21与卡盘22之间提供背面气体传导来实现。背面气体压力在沉积和蚀刻步骤都得到控制,以保证薄的金属沉积不发生团聚,特别是在沟道结构的侧壁上。
在蚀刻步骤,特别是对于铜沉积-蚀刻工艺,铜膜必须保持冷态,在蚀刻步骤不允许加热,其中高能离子在较高基片偏压的影响下撞击到基片上。蚀刻步骤的目的是减小对成功制成沟道结构或线槽填充有害的突出的数量,以及减小底部覆盖的厚度。蚀刻步骤的目的还在于,使沟道结构的侧壁和线槽重新沉积更多的材料,从而使此处的膜更加连续。如果在蚀刻步骤不控制基片21的温度并允许升高,则铜原子的运动能力增大并且它们扩散到基片21的表面,导致在沟道结构和线槽11的侧壁16聚集大的铜核,而不是形成连续铜膜。因此,在蚀刻步骤应控制基片温度并保持冷态。
例如,在蚀刻期间,来自偏压源发生器28的晶片台22的功率保持在约500W,使得约-300V偏压施加在晶片台22上。这种蚀刻步骤在基片21上产生的热量被晶片台22有效地吸收,保持晶片21的温度明显低于室温,优选地低于0℃,优选地为-30℃。
蚀刻步骤之后,可以执行另一个沉积步骤。沉积后接着蚀刻的循环可以重复一次,或者重复所需的次数。在另一个实施例中,在整个工艺中可以至少使用一次蚀刻步骤。例如,这个蚀刻过程出现于两次沉积步骤之间。在本发明工艺的一个实施例中,典型的阻挡过程可以包括氮化钽的沉积,接着是一个蚀刻步骤,然后是另一个金属钽沉积步骤,再是另一个蚀刻步骤。沉积步骤得到的沉积材料厚度大于蚀刻期间去除的厚度,从而获得净的沉积。
在一个序列的操作中,每个循环可以具有固定的沉积参数和固定的蚀刻参数,例如每个沉积步骤和蚀刻步骤具有固定的时间、压力、DC和RF功率以及源-基片距离。这种操作序列的一个例子表示在图4中,其中打开从电源24到靶25的DC电源用于沉积,关闭用于蚀刻。通过控制泵29和气流入口23,氩气真空压力在沉积的较高压力与蚀刻的较低压力之间切换。从RF发生器27到天线26的ICP电源在沉积的较高功率与蚀刻的较低功率之间切换。从发生器28到台22的RF偏压源在沉积的较低偏压与蚀刻的较高偏压之间切换。Z驱动器35移动台22,使晶片蚀刻时比沉积时更靠近源(包括靶25和天线26)。
在执行本发明工艺的系统的另一个操作序列中,相对部分的沉积和蚀刻步骤,以及它们的各个工艺参数,可以在各个循环的循环期间进行改变,用于达到最佳的综合结果。图5表示这种序列的一个例子,其中各条曲线相互迭加,以便更好地表示其时间关系。执行这个工艺实施例的系统的优点在于,它允许从沉积模式快速切换到蚀刻模式。设备能在高压下获得均匀沉积(这具有其本身的优点)以及在低压下获得均匀蚀刻的能力,便于在高产量下达到所需的结果。美国专利6287435和PCT申请PCT/US00/31756中给出的设备的iPVD源,表现出达到晶片的均匀的或较高方向性的金属流。这种的源包括截头圆锥靶或环形靶,RF线圈在介电窗口后方在靶的中心上。使用这种源,在某些情况下,到达晶片的正氩离子流可以是不均匀的,并且中央较弱,围绕垂直基片的线出现宽的环形分布。这适合于较高压力的沉积。但在循环的沉积部分过程中沉积的材料的蚀刻部分期间的重新溅射,晶片中央比边缘强,这对于所执行的蚀刻是不希望的。在溅射蚀刻步骤过程中降低压力将导致到达晶片的正氩离子流变得更加均匀,并且在晶片偏压的帮助下,更加指向并垂直基片。为控制等离子源-晶片距离调节Z距离,能产生最佳的蚀刻和沉积均匀性。
在蚀刻步骤过程中降低压力具有另外的优点。在较高压力下,例如在具有上述iPVD源的循环的沉积部分通常使用的压力,从晶片上溅入等离子体中的物质,经过多次碰撞并可能以电离态反射回到晶片上。这种热能化的物质流能增大突出。这种突出通过沉积-蚀刻iPVD工艺减小,因为在较低压力下,从晶片上溅射的物质的平均自由程较长,在其电离或撞击到室30的壁31之前不大可能反射回到晶片上。理想地,沉积-蚀刻循环应当快速,从而在典型的60秒处理时间内有更多次数的循环。具有可变工作循环的脉冲DC电源很理想地适合于这个目的。基片-源距离与图4中所示的相似。
在图5中,“ICP电源”是指来自发生器27的RF感应耦合电源,用于形成稠密等离子体,而“RF基片偏压源”是指从发生器28应用到台22的RF电源,便于对基片21产生负偏压。“DC电源”是指从电源或源24施加到靶25上的DC电源,用于使材料溅射。参数是可以控制的,从而在较高压力沉积步骤中点燃的等离子体,在整个蚀刻步骤中保持连续。图5表示系统操作的实际数据,其中不是这种情况,并且在蚀刻步骤之前增大氩气流,重新点燃等离子体。等离子体的重新点燃对应于图5中RF基片偏压源的明显尖峰。图5A和5B是为了清晰起见,将图5的参数曲线分开显示。
系统控制器40编程后达到图4和图5所示的参数控制,并示于图6中。
本发明的工艺在应用于上述的设备20时特别有优势,其中在宽压力范围内沉积物质,特别是金属,这包括沉积压力的范围为1-150mTorr或更高,并且当压力处于50-150mTorr的范围内时特别有用,或者在其它压力下溅射物质在靶和基片之间经历很多次碰撞,或者被“热能化”。
设备20的优点还在于能在宽RF台功率范围内沉积,这允许在非常高的台功率下沉积。在所有侧壁和底部物质(至少对于阻挡层)被去除之前,低的台功率处理与蚀刻结合仅能去除部分突出。高的台功率沉积工艺得到高的底部覆盖和厚的底部侧壁沉积,以及较好的沟道结构其余部分的侧壁覆盖,从而允许蚀刻步骤一直执行到突出被更彻底地去除。这些优点的出现是由于在晶片21上形成充分高的DC偏压,在更垂直于晶片21的方向上沉积并影响离子轨迹的同时重新溅射。这增强了底部15的覆盖,并允许在底部物质去除之前更多蚀刻突出。利用优化台功率和沉积压力的能力,由重新溅射物质重新沉积而在高台功率沉积过程中造成的突出增大可以减小到最低程度,允许通过蚀刻过程将突出全部减小。
沉积/蚀刻循环的进行,优选地是通过在高沉积压力和低于10mTorr的较低蚀刻压力之间快速和重复切换,特别是从几mTorr下降到0.1mTorr或更低的蚀刻压力。压力切换执行的同时,将溅射电源切换到靶上,并将基片上的偏压源在不同大小之间切换。基片偏压可以通过基片上RF电源的脉动进行转移或切换。优选地,基片偏压可以在两种大小的RF电源之间切换。基片偏压切换在基片上产生相对等离子体的负电势,在沉积期间为几十伏,在蚀刻期间超过100伏,同时靶DC偏压在沉积期间的负靶溅射电压与蚀刻期间的零或接近零伏之间切换。蚀刻期间的偏压源优选地是沉积期间的数量级大小或大于沉积期间。
切换优选地在每个循环约1秒的循环中,或者在每个循环0.1~20秒的范围内,使每个晶片的处理时间优选地在1分钟或小于1分钟的范围内,但是也存在一些处理时间较长的工艺。
根据本发明的另一个实施例,在循环的蚀刻过程中到达晶片的正氩离子流是均匀的或有方向性的,如同循环的沉积过程中来自iPVD源的金属流。这是通过用另一个螺线管线圈41环绕上述室达到的,其中线圈41可以位于室30的内部,如图3A所示;或者等离子体的外部。使用这种线圈41,正氩离子流能更加均匀地垂直基片21。这种包括线圈41和天线26的双线圈系统可输入脉冲,使沉积过程中在基片表面上沉积少到几个单层,接着中断沉积,产生从沟道结构11的顶角14和底部15溅射物质的作用,在这些部位沉积了较多的物质,溅射的物质沉积到侧壁16上,侧壁16沉积的物质少,但此处的覆盖是最关键的(见图1)。
另一个可供选择的结构40包括圆锥形的ICP源44,在其中心具有常规的平面磁控管。中心包括靶45,在其后面是磁体组件46,如图3B所示。源44包括室43外部的圆锥形线圈47,它位于室壁42中的介电窗口48后面,圆锥形沉积挡板49用于避免窗口48产生沉积。
上述结构运行时,沉积金属时的压力可以高于蚀刻时的压力。对于这种可能性,可以从室输出快速波。这可以利用图7所示的抽吸方案。
图3、3A和3B所示的室可以装有节流闸阀50和涡轮泵,如图7所示。对于节流闸阀50不能控制所需传导范围的情况下,图7A的泵结构可以用于并行的旁路52,用于增大传导范围。
溅射沉积和蚀刻之间的压力差异也通过改变气体流入溅射室的流速来达到。也可以综合使用气流变化或泵速变化。
气体可以是氩气,这通常是优选的气体,也可以是任何其它惰性气体,或者其它适合于工艺的非惰性气体。
溅射材料可以是铜、钽或任何其它金属、介电材料,对于不导电的靶或半导体材料,还需使用RF电源。
为了克服高的接触电阻,重新溅射沟道结构底部的阻挡层的厚沉积,例如Ta、TaN、TiN和Ti,是非常有用的,因为高的接触电阻是由底部这些材料的厚沉积引起的。
使用导体材料,例如铜,沉积在阻挡层材料上时,从边缘重新溅射物质,避免这些突出物造成的、对沟道结构内部的遮挡,有效防止在随后的电镀过程中沟道结构的颠倒填充。
蚀刻过程中氩离子的方向性可以控制,从而便于将沟道结构边缘重新溅射到沟道结构上半部或部分的侧壁上,从沟道结构底部溅射到沟道结构下半部或部分的侧壁上。
本发明可以应用于沉积过程是反应过程的情况下,例如,用于沉积化合物膜的过程,如TiN、TaN、SiN等。在这些情况下,在沉积过程中,除了氩气以外,还将N2或其它一些反应气体通入室内。
RF电源频率可以选择,以使系统和工艺得到最佳结果。典型的频率可以是13.56MHz或2MHz,但不限于这些频率。
本领域的一般技术人员将会认识到,在不偏离本发明原理的条件下,可以对上述实施例做出删节、增添或修改。

Claims (17)

1.一种iPVD工艺,包括:在一iPVD设备的室内将一基片密封,并且不打开室执行iPVD工艺,通过操作设备工作在沉积模式、接着工作在蚀刻模式下,在基片上具有直径0.15微米或更小直径以及纵横比为3或更大的半导体结构的表面上沉积一层导电材料,所述模式的改变是通过控制所述设备工作的功率,使该功率在沉积模式中与在蚀刻模式中不同,其特征在于蚀刻模式之后执行另一个沉积模式,并且两个沉积模式都沉积导电材料,还控制所述设备操作的压力参数,使压力在沉积模式与在蚀刻模式不同。
2.如权利要求1所述的iPVD工艺,其中执行iPVD工艺包括:在室内形成高密度等离子体并产生离子,用于将材料沉积到基片上以及用于蚀刻基片;操作设备工作在沉积模式下,其中压力至少50mTorr,同时将材料从靶上溅射到高密度等离子体中以便将材料电离,并将电离的材料以垂直于基片的方向沉积到基片上;操作设备工作在蚀刻模式下,压力小于10mTorr,基片的偏压大小超过100V,不从基片上溅射材料。
3.如权利要求2所述的iPVD工艺,还包括操作设备工作在沉积模式同时对基片施加偏压,其中所述偏压的大小小于蚀刻模式期间对基片施加的偏压。
4.如任何一项上述权利要求所述的iPVD工艺,还包括:当从沉积模式切换到蚀刻模式时,增大对基片的偏压功率,以便通过等离子体中的气体离子,使沉积材料的蚀刻模式过程中对基片产生净的蚀刻作用;以及当从蚀刻模式切换到沉积模式时,减小对基片的偏压功率,从等离子体中吸引电离的材料,在基片上形成净的材料涂层。
5.如权利要求4所述的iPVD工艺,其中:蚀刻模式期间的基片偏压功率的数量级大小等于或大于沉积模式期间的基片偏压功率的数量级大小。
6.如权利要求1-3中任一项所述的iPVD工艺,其中:蚀刻模式执行时是通过使用等离子体中的离子溅射,溅射的参数产生如下一组效果中选择的至少一种效果,所述组主要包括:至少部分去除基片结构上的突出边缘的沉积材料;至少部分去除结构底部的沉积材料;以及将沉积的材料从基片上重新溅射到结构的侧壁。
7.如权利要求6所述的iPVD工艺,其中:蚀刻模式执行时是通过使用等离子体中的离子溅射,溅射的参数产生所述效果中的至少两种。
8.如权利要求6所述的iPVD工艺,其中:蚀刻模式执行时是通过使用等离子体中的离子溅射,溅射的参数产生所有三种所述效果。
9.如权利要求1-3中任一项所述的iPVD工艺,还包括:在沉积和蚀刻期间冷却基片。
10.如权利要求9所述的iPVD工艺,还包括:在蚀刻期间将基片冷却到低于0℃。
11.如权利要求1-3中任一项所述的iPVD工艺,还包括:在沉积期间打开溅射靶的DC电源,并在蚀刻期间关闭。
12.如权利要求1-3中任一项所述的iPVD工艺,还包括:由室外部的RF发生器输出的RF能量在室内形成高密度等离子体。
13.如权利要求1-3中任一项所述的iPVD工艺,还包括:在室内一个空间形成高密度等离子体,产生在沉积模式沉积到基片上的涂层材料的离子,以及产生在蚀刻模式蚀刻基片的离子;并在沉积模式将基片定位在相对于所述空间的一个位置上,在蚀刻模式将基片重新定位在相对于所述空间的另一个位置上。
14.如权利要求13所述的iPVD工艺,包括:在蚀刻模式期间将基片重新定位在比沉积模式期间更靠近所述空间的位置上。
15.如权利要求1-3中任一项所述的iPVD工艺,还包括:通过将RF能量耦合到室内的一个空间,在空间形成高密度等离子体,用于在沉积模式产生沉积到基片上的涂层材料的离子,在蚀刻模式产生蚀刻基片的离子;以及在沉积模式将RF能量以一功率电平耦合到空间内,而在蚀刻模式则以不同的功率电平耦合到空间内。
16.如权利要求15所述的iPVD工艺,包括:在蚀刻模式以比沉积模式更低的功率将RF能量耦合到空间内。
17.一种iPVD设备,包括:真空室,用于在从0.1mTorr到100mTorr以上的压力范围内,在基片上进行电离物理气相沉积;位于室一个末端的环形靶和位于室另一端的基片支承台;位于靶中央的三维线圈,与RF能量源耦合以将RF能量感应耦合到室内,从而在其中形成高密度等离子体;及编程后操作设备的控制器,其特征在于控制器编程后操作设备在以下步骤之间切换:操作设备工作在足够高的压力,使从靶溅射到等离子体内的材料热能化,并将材料从溅射靶溅射到等离子体中使材料电离,并以垂直于晶片的方向将材料沉积在晶片上;以及操作设备工作在比等离子体中粒子热能化的压力低的压力,并且不从靶上溅射材料,从基片上蚀刻沉积的材料,使基片上结构的突出边缘沉积的材料去除,将结构底部的材料重新溅射到结构侧壁上。
CNB02812085XA 2001-05-04 2002-05-03 具有连续沉积和蚀刻的电离pvd Expired - Fee Related CN100355058C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28895201P 2001-05-04 2001-05-04
US60/288,952 2001-05-04

Publications (2)

Publication Number Publication Date
CN1552097A CN1552097A (zh) 2004-12-01
CN100355058C true CN100355058C (zh) 2007-12-12

Family

ID=23109372

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB02812085XA Expired - Fee Related CN100355058C (zh) 2001-05-04 2002-05-03 具有连续沉积和蚀刻的电离pvd

Country Status (7)

Country Link
US (1) US6755945B2 (zh)
EP (1) EP1384257A2 (zh)
JP (1) JP4429605B2 (zh)
KR (1) KR100878103B1 (zh)
CN (1) CN100355058C (zh)
TW (1) TW552624B (zh)
WO (1) WO2002091461A2 (zh)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7744735B2 (en) 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
WO2003069381A2 (en) 2002-02-12 2003-08-21 Unaxis Balzers Limited Optical component comprising submicron hollow spaces
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6858521B2 (en) * 2002-12-31 2005-02-22 Samsung Electronics Co., Ltd. Method for fabricating spaced-apart nanostructures
US6864162B2 (en) * 2002-08-23 2005-03-08 Samsung Electronics Co., Ltd. Article comprising gated field emission structures with centralized nanowires and method for making the same
AU2003302019A1 (en) 2002-08-23 2004-06-15 The Regents Of The University Of California Improved microscale vacuum tube device and method for making same
US7012266B2 (en) 2002-08-23 2006-03-14 Samsung Electronics Co., Ltd. MEMS-based two-dimensional e-beam nano lithography device and method for making the same
US7147759B2 (en) * 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040087163A1 (en) * 2002-10-30 2004-05-06 Robert Steimle Method for forming magnetic clad bit line
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US20040127014A1 (en) * 2002-12-30 2004-07-01 Cheng-Lin Huang Method of improving a barrier layer in a via or contact opening
US20040140196A1 (en) * 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US6784105B1 (en) * 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20040211661A1 (en) * 2003-04-23 2004-10-28 Da Zhang Method for plasma deposition of a substrate barrier layer
US6929720B2 (en) 2003-06-09 2005-08-16 Tokyo Electron Limited Sputtering source for ionized physical vapor deposition of metals
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
SE527180C2 (sv) 2003-08-12 2006-01-17 Sandvik Intellectual Property Rakel- eller schaberblad med nötningsbeständigt skikt samt metod för tillverkning därav
US20050061251A1 (en) * 2003-09-02 2005-03-24 Ronghua Wei Apparatus and method for metal plasma immersion ion implantation and metal plasma immersion ion deposition
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) * 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US7892406B2 (en) * 2005-03-28 2011-02-22 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
JP2005285820A (ja) * 2004-03-26 2005-10-13 Ulvac Japan Ltd バイアススパッタ成膜方法及び膜厚制御方法
DE102004015862B4 (de) 2004-03-31 2006-11-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US20050263891A1 (en) * 2004-05-28 2005-12-01 Bih-Huey Lee Diffusion barrier for damascene structures
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP2006093660A (ja) * 2004-08-23 2006-04-06 Konica Minolta Holdings Inc プラズマエッチング法
EP2477207A3 (en) * 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
JP2006097071A (ja) * 2004-09-29 2006-04-13 Hiroshima Univ 時効性金属材料の硬質化処理方法、硬質化処理装置及び切削工具
DE102004047630A1 (de) * 2004-09-30 2006-04-13 Infineon Technologies Ag Verfahren zur Herstellung eines CBRAM-Halbleiterspeichers
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US20080200002A1 (en) * 2004-10-19 2008-08-21 Tokyo Electron Limited Plasma Sputtering Film Deposition Method and Equipment
JP2006148075A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US7256121B2 (en) * 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US7205187B2 (en) * 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US20060278521A1 (en) * 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
US7348266B2 (en) * 2005-09-30 2008-03-25 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US7700484B2 (en) * 2005-09-30 2010-04-20 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
DE102005046976B4 (de) 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
JP4967354B2 (ja) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP4728153B2 (ja) 2006-03-20 2011-07-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US7618888B2 (en) * 2006-03-24 2009-11-17 Tokyo Electron Limited Temperature-controlled metallic dry-fill process
US7588667B2 (en) * 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US20070257366A1 (en) * 2006-05-03 2007-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for semiconductor interconnect structure
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US20080029386A1 (en) * 2006-08-01 2008-02-07 Dorfman Benjamin F Method and apparatus for trans-zone sputtering
JP4690985B2 (ja) * 2006-09-25 2011-06-01 株式会社東芝 不揮発性記憶装置およびその製造方法
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
DE102007025341B4 (de) * 2007-05-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
WO2009011801A1 (en) * 2007-07-13 2009-01-22 Sub-One Technology, Inc. Corrosion-resistant internal coating method using a germanium-containing precursor and hollow cathode techniques
KR101117929B1 (ko) * 2007-08-08 2012-02-29 가부시키가이샤 아루박 플라스마 처리 방법 및 플라스마 처리 장치
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
SG10201603048QA (en) * 2007-10-26 2016-05-30 Oerlikon Advanced Technologies Ag Application of high power magnetron sputtering to through silicon via metallization
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US20090242385A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of depositing metal-containing films by inductively coupled physical vapor deposition
JP5759891B2 (ja) * 2008-04-03 2015-08-05 エリコン アドバンスド テクノロジーズ アーゲー スパッタリング装置および金属化構造体を製造する方法
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
JP5249328B2 (ja) * 2008-07-11 2013-07-31 キヤノンアネルバ株式会社 薄膜の成膜方法
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
KR101593544B1 (ko) * 2008-12-15 2016-02-15 가부시키가이샤 알박 스퍼터링 장치 및 스퍼터링 방법
GB2469666B (en) * 2009-04-23 2012-01-11 Univ Sheffield Hallam RF-plasma glow discharge sputtering
US20110014778A1 (en) * 2009-07-20 2011-01-20 Klepper C Christopher Boron-10 coating process for neutron detector integrated circuit with high aspect ratio trenches
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
US8846451B2 (en) 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN102036460B (zh) * 2010-12-10 2013-01-02 西安交通大学 平板式等离子体发生装置
TWI490051B (zh) * 2011-02-18 2015-07-01 Kim Mun Hwan 物理氣相沉積之反應室腔體零件之清潔方法
JP5719212B2 (ja) * 2011-03-30 2015-05-13 東京エレクトロン株式会社 成膜方法およびリスパッタ方法、ならびに成膜装置
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
KR101772309B1 (ko) 2013-06-04 2017-08-28 도쿄엘렉트론가부시키가이샤 자기 정렬 패터닝 에칭에서의 비대칭 프로파일의 완화
US9960021B2 (en) * 2013-12-18 2018-05-01 Applied Materials, Inc. Physical vapor deposition (PVD) target having low friction pads
US9887072B2 (en) 2014-01-23 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for integrated resputtering in a physical vapor deposition chamber
CN103993294B (zh) * 2014-04-17 2016-08-24 上海和辉光电有限公司 一种高温cvd工艺的压差改良方法
US10163698B2 (en) * 2014-05-07 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method thereof
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
GB201420935D0 (en) * 2014-11-25 2015-01-07 Spts Technologies Ltd Plasma etching apparatus
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US9905638B1 (en) * 2016-09-30 2018-02-27 Texas Instruments Incorporated Silicon epitaxy for high aspect ratio, substantially perpendicular deep silicon trench
US10818502B2 (en) * 2016-11-21 2020-10-27 Tokyo Electron Limited System and method of plasma discharge ignition to reduce surface particles
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
WO2019160674A1 (en) * 2018-02-19 2019-08-22 Applied Materials, Inc. Pvd titanium dioxide formation using sputter etch to halt onset of crystalinity in thick films
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US20200048760A1 (en) * 2018-08-13 2020-02-13 Applied Materials, Inc. High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
US10927450B2 (en) 2018-12-19 2021-02-23 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN110190020A (zh) * 2019-07-03 2019-08-30 中国振华集团云科电子有限公司 一种刻蚀方法及系统
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000005745A1 (en) * 1998-07-20 2000-02-03 Tokyo Electron Arizona, Inc. Physical vapor processing of a surface with non-uniformity compensation
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6106677A (en) * 1996-05-03 2000-08-22 Micron Technology, Inc. Method of creating low resistance contacts in high aspect ratio openings by resputtering
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4664935A (en) * 1985-09-24 1987-05-12 Machine Technology, Inc. Thin film deposition apparatus and method
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5658438A (en) * 1995-12-19 1997-08-19 Micron Technology, Inc. Sputter deposition method for improved bottom and side wall coverage of high aspect ratio features
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6106677A (en) * 1996-05-03 2000-08-22 Micron Technology, Inc. Method of creating low resistance contacts in high aspect ratio openings by resputtering
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
WO2000005745A1 (en) * 1998-07-20 2000-02-03 Tokyo Electron Arizona, Inc. Physical vapor processing of a surface with non-uniformity compensation
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer

Also Published As

Publication number Publication date
WO2002091461A2 (en) 2002-11-14
US20030034244A1 (en) 2003-02-20
JP4429605B2 (ja) 2010-03-10
US6755945B2 (en) 2004-06-29
CN1552097A (zh) 2004-12-01
WO2002091461A3 (en) 2003-02-27
EP1384257A2 (en) 2004-01-28
JP2004526868A (ja) 2004-09-02
KR100878103B1 (ko) 2009-01-14
TW552624B (en) 2003-09-11
KR20030092126A (ko) 2003-12-03

Similar Documents

Publication Publication Date Title
CN100355058C (zh) 具有连续沉积和蚀刻的电离pvd
CN1938449B (zh) 离子化物理气相沉积(ipvd)工艺
US7744735B2 (en) Ionized PVD with sequential deposition and etching
US6673716B1 (en) Control of the deposition temperature to reduce the via and contact resistance of Ti and TiN deposited using ionized PVD techniques
US8092658B2 (en) Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
Rossnagel Directional and ionized physical vapor deposition for microelectronics applications
US7588667B2 (en) Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US6652718B1 (en) Use of RF biased ESC to influence the film properties of Ti and TiN
US7892406B2 (en) Ionized physical vapor deposition (iPVD) process
US7820020B2 (en) Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7214619B2 (en) Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
JP2004526868A5 (zh)
US7399943B2 (en) Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US20070238279A1 (en) BARRIER DEPOSITION USING IONIZED PHYSICAL VAPOR DEPOSITION (iPVD)
KR20010022685A (ko) 코일 스퍼터링 플라즈마 기상 증착
JP2008095205A (ja) 導電性領域形成方法、導電性領域形成装置、導電性金属領域堆積制御装置及びバイアス機構
US7268076B2 (en) Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US8889547B2 (en) Sputtering and aligning multiple layers having different boundaries
US7642201B2 (en) Sequential tantalum-nitride deposition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071212

Termination date: 20150503

EXPY Termination of patent right or utility model