CN100361109C - 通用串行端口体系结构和系统 - Google Patents

通用串行端口体系结构和系统 Download PDF

Info

Publication number
CN100361109C
CN100361109C CNB028169271A CN02816927A CN100361109C CN 100361109 C CN100361109 C CN 100361109C CN B028169271 A CNB028169271 A CN B028169271A CN 02816927 A CN02816927 A CN 02816927A CN 100361109 C CN100361109 C CN 100361109C
Authority
CN
China
Prior art keywords
shift register
bit
clock
output
serial port
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028169271A
Other languages
English (en)
Other versions
CN1549976A (zh
Inventor
让-路易斯·塔尔迪厄
约恩·瑟伦森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MediaTek Inc
Original Assignee
Analog Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23225453&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN100361109(C) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Analog Devices Inc filed Critical Analog Devices Inc
Publication of CN1549976A publication Critical patent/CN1549976A/zh
Application granted granted Critical
Publication of CN100361109C publication Critical patent/CN100361109C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/362Software debugging
    • G06F11/3636Software debugging by tracing the execution of the program
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0842Multiuser, multiprocessor or multiprocessing cache systems for multiprocessing or multitasking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/28Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access DMA, cycle steal
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/382Information transfer, e.g. on bus using universal interface adapter
    • G06F13/385Information transfer, e.g. on bus using universal interface adapter for adaptation of a particular data processing system to different peripheral devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • G06F9/3869Implementation aspects, e.g. pipeline latches; pipeline synchronisation and clocking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/0802Details of the phase-locked loop the loop being adapted for reducing power consumption
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/16Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop
    • H03L7/18Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop
    • H03L7/183Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop a time difference being used for locking the loop, the counter counting between fixed numbers or the frequency divider dividing by a fixed number
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0844Multiple simultaneous or quasi-simultaneous cache accessing
    • G06F12/0855Overlapped cache accessing, e.g. pipeline
    • G06F12/0859Overlapped cache accessing, e.g. pipeline with reload from main memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2213/00Indexing scheme relating to interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F2213/38Universal adapter
    • G06F2213/3814Wireless link with a computer system port
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/085Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal
    • H03L7/095Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a lock detector
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W88/00Devices specially adapted for wireless communication networks, e.g. terminals, base stations or access point devices
    • H04W88/02Terminal devices
    • H04W88/06Terminal devices adapted for operation in multiple networks or having at least two operational modes, e.g. multi-mode terminals
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/70Reducing energy consumption in communication networks in wireless communication networks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S331/00Oscillators
    • Y10S331/02Phase locked loop having lock indicating or detecting means

Abstract

一种高速可编程串行端口,其带有:有限状态机;时钟发生器,其能够对来自移位寄存器的比特的移出进行控制;以及移位寄存器,其带有能够保持串行输出中数据比特有限计数的比特计数器。时钟发生器和移位寄存器降低了有限状态机的负担,从而改善了数据吞吐量和根据多种数据协议而提供数据的能力。

Description

通用串行端口体系结构和系统
相关申请
本申请要求于2001年8月29日申请的根据35 U.S.C.§119(e)且由Allen等人提出的名称为“数字基带处理器”的美国临时申请60/315,655的权益。上述临时申请的全部内容合并在此作为参考之用。
发明领域
本发明一般涉及可编程串行端口,更具体地说,涉及高速可编程串行端口。
发明背景
在电子电路和数据通信领域中已知很多串行端口布置。例如,布置包括用于发送和接收所选择的串行通信协议(例如通用异步收发机(UART)设备)的数据的静态串行端口和可配置串行端口(例如带有软件控制串行端口的微处理器)。可配置串行端口提供了利用单个串行端口设备来服务多种协议的可能性。静态和可配置串行端口用于很多应用中,包括与显示设备的通信、与调制解调器的通信以及作为通用系统连接器(USC)。
现已公布了许多串行通信协议(在此也称为协议)(例如,罗列一些,UART,I2C,HC11,IrDa),每个均定义特定的参数,根据这些参数,串行数据比特在串行端口之间通信。定义了协议的参数可以包括各种因素,这些因素例如有:接收或发送的比特的定时、电参数(例如,信号极性、诸如开路源极或开路集电极输出阻抗的线路驱动器特性等)以及比特含义和序列及序列的逻辑定义。
可配置串行端口的一个示例由摩托罗拉(Motorola)M68HC11系列微控制器提供,其包括在本技术领域中已知的作为摩托罗拉同步串行外围接口(SPI)的可编程串行端口。由于几个原因,这种串行端口可能存在缺点。例如,除了在处理器执行以其它方式采用的任务时所强加在处理器上的任何负载之外,处理器必须执行软件程序来控制串行端口,并且将在信号通路上发送的所有数据比特都经过处理器,因此增加了处理器的负载。同样,因为串行硬件是处理器的功耗部件,所以,即使串行端口处于非活动状态,当处理器执行软件程序时,也会消耗额外的功率。
作为微控制器控制的可编程串行端口的替代物,现已开发出了实现有限状态机(finite state machine)的可编程串行端口,以分担来自处理器的、必须控制串行端口的诸多方面的负担。这种可编程串行端口的示例在于2000年11月3日由Sorenson申请的系列号为09/706,450名称为“通用串行端口体系结构和系统”的美国专利申请中给出。
图1是这种可编程串行端口100的框图。在图1中,当操作在发送模式时,在有限状态机(FSM)120的控制之下,移位寄存器110接收在通道102上的、来自缓冲器120的并行数据比特组,并且把这些比特作为在通道104上串行输出来输出(经由驱动器180)。相反,当操作在接收模式时,移位寄存器110接收在通道104上的串行输入,并且在通道102上输出并行数据比特组。
词组“有限状态机”在此定义为任何一种这样的设备:其存储现有状态(例如,程序计数器和多个其它寄存器),并且在收到输入(例如指令或命令)时,其响应于现有状态和输入而改变为新的状态和/或致使发生决定性动作或输出。虽然FMS可以不包括逻辑算术单元(ALU)或传统上与微处理器相关联的其它电路,但是在此所定义的术语FSM并不排斥包含这种电路或组件的设备。
在可编程串行端口100中,与用于实现两个或多个协议的规则对应的指令被存储在存储器130中。使用来自存储器130的指令,FSM 120执行与由控制器150所选择的协议对应的指令,以根据指定的协议在通道104上提供输出。由于比特的处理经常取决于并行通道102的位置或者通道102上所接收或发送的串行比特流,因此,比特计数器170向FSM 120提供所发送比特的有限计数(numbered account),以辅助根据所选择的协议而提供输出。典型地,输出被指引通过驱动器180,以提供带有(或兼容)特定电参数的输出。在传统基于FSM的可编程串行端口(例如可编程串行端口100)中,用于根据所选择的协议而提供输出的指令的执行要求FSM 120接收来自时钟发生器160的时钟脉冲和提供时钟信号至移位寄存器110,以对从移位寄存器110到通道104或缓冲器120(根据具体情况而定)的每个比特的输出进行控制,并且要求FSM保持和处理由比特计数器170所提供的比特计数。
根据所选择的协议而提供输出要求在指定的时间上提供输出。例如,在一些协议中,通过可编程串行端口100,通道104上的输出必须在收到定时信号(例如通道190上的上升沿)之后的指定时间段内发生。因为接收时钟信号和提供输出的时间间隔可能非常短,所以设置成获得输出的指令必须能够是短执行时间的,否则串行端口可能拥有不足够的数据输出速度,且在一些实例中可能被禁止服务于一些输出协议。
图2是用于传统可编程串行端口以实现标准输出(例如兼容UART的输出)的典型指令组的流程图200。在步骤205中,FSM等待移位寄存器充满数据(即并行数据组)的表示。在步骤210中,FSM向驱动器加载开始状态(例如逻辑值1或0)。在步骤220中,FSM初始化比特计数器(例如加载初始比特计数)。在步骤240中,FSM识别定义了数据从移位寄存器移出的循环的代码行。分别在步骤250和260中,第一数据比特被发送并保持与协议所需的一样多的时钟周期数,以及FSM使比特计数器递减。发送随后的数据比特以及FSM使比特计数器递减(步骤270),直到计数器达到零。在所有的数据比特都被发送之后,在步骤280中,FSM致使奇偶校验比特被发送。最后,在步骤290中,驱动器被设置为停止状态。
获得快速执行时间的一种方法是提高时钟速率,FSM在这个时钟速率上执行指令,使得在给定的时间间隔(例如定时信号和串行数据比特输出开始之间的时间间隔)内执行大量的指令;但是,更快的时钟速率可能需要更块和更昂贵的电子元件。此外,更快的时钟速率可能需要增大的功率消耗。因此,需要一种可编程串行端口,其能够在相对较高的速度上接收和处理任何必要的输入(例如定时信号),以及提供任何必要的输出,同时保持相对较低的时钟速度。此外,需要一种可编程串行端口,其能够根据多种协议而提供输出和接受输入。
发明概述
本发明的各个方面涉及可编程串行端口,其带有:FSM,时钟发生器,其可以由FSM控制,以根据FSM指令产生编程的时钟信号,移位寄存器模块,其能够根据编程的时钟信号和任何FSM比特操作而产生数据比特的输出,并且能够保持输出数据比特的有限计数;因此,由于时钟发生器可以由FSM在相对较高的电平上控制,所以为了根据所选择的协议而获得输出而由FSM所执行的指令数相对较少,且获得输出的总执行时间相对较短。
本发明的第一方面是用于在带有第一移位寄存器模块和有限状态机的可编程串行端口中使用的时钟发生器,其包含:到第一移位寄存器模块的第一输出通道,第一输出通道响应于确定脉冲个数和速率的至少一条指令,来向第一移位寄存器提供包含所述预设速率上的预设个数的所述脉冲的第一时钟信号;以及输入通道,其用于接收至少一条指令,该至少一条指令是表示脉冲的预设个数和预设速率的。
时钟发生器可以进一步包含:到第二移位寄存器模块的第二输出通道,第二输出通道响应于至少一条指令,来提供包含预设速率上的预设个数的脉冲的第二时钟信号。可选地,时钟发生器可以进一步包含:到有限状态机的第二输出通道,第二输出通道提供第二时钟信号至有限状态机。在一些实施例中,时钟发生器进一步包含:连结至第二输出通道的门,使得第二时钟信号经过这个门,这个门可以由表示预设个数的脉冲和预设速率的至少一条指令来控制。在本发明的第一方面的其它实施例中,时钟发生器进一步包含:分频器(divider),其连结至第二输出通道以接收第二时钟信号和连结至第一输出通道以提供第一时钟信号,由此第二时钟信号经过分频而形成第一时钟信号。
本发明的第二方面是移位寄存器控制模块,其用于控制包括第一移位寄存器的第一移位寄存器模块,其中,第一移位寄存器带有用于接收第一多个比特的并行输入的输入通道、和用于提供第二多个比特的串行输出的串行输出通道、以及进一步带有用于响应于指令而对该移位寄存器进行控制的至少一个寄存器,第一移位寄存器控制模块包含:用于提供所述指令的第一有限状态机和连结至第一有限状态机的时钟发生器,第一移位寄存器控制模块响应于来自第一有限状态机的至少一条指令,来把包含第一多个时钟脉冲的第一时钟信号提供至第一移位寄存器,第二多个比特的串行输出响应于提供给第一移位寄存器模块的所述指令和第一时钟信号中的至少一条而发生。
第一有限状态机可以被由时钟发生器所提供的第二信号来进行计时。在一些实施例中,时钟发生器通过可由指令中的至少一条所控制的门而连结至第一有限状态机。可选地,移位寄存器控制模块可以进一步包含:分频器,其连结至第一有限状态机以接收第二时钟信号和连结至第一移位寄存器模块以提供第一时钟信号,其中,第二时钟信号经过分频而形成第一时钟信号。在本发明的第二方面的一些实施例中,移位寄存器控制模块至少一条程序指令来自有限状态机,且在一些实施例中,有限状态机被配置和安排成提供与多个串行通信协议对应的指令。
本发明的第三方面是可编程串行端口,其包含:移位寄存器模块,该移位寄存器模块包括:移位寄存器,其带有用于接收第一多个比特的并行输入的输入通道、和用于提供第二多个比特的串行输出的第一输出通道、以及进一步带有用于响应于指令而对移位寄存器模块进行控制的寄存器;用于提供所述指令有限状态机,有限状态机提供所述指令至移位寄存器模块,以控制移位寄存器的操作;以及时钟发生器,其连结至有限状态机,时钟发生器响应于来自第一有限状态机的所述指令中的至少一条,来提供包含第一多个时钟脉冲的第一时钟信号至第一移位寄存器模块,第二多个比特的串行输出响应于被提供给第一移位寄存器模块的所述指令和第一时钟信号而发生。
可选地,时钟发生器包含到第一有限状态机的第二输出通道,该通道提供第二时钟信号至第一有限状态机,该信号包含第二多个时钟脉冲。在一些实施例中,时钟发生器通过可由指令中的至少一条控制的门而连结至第一有限状态机。在移位寄存器提供串行输出的时候,这个门可以经过控制而阻塞第二时钟信号。
在一些实施例中,可编程串行端口进一步包含分频器,其连结至第二输出通道以接收第二时钟信号和连结至第一输出通道以提供第一时钟信号,其中,第二时钟信号经过分频而形成第一时钟信号。有限状态机被配置和布置成提供与多个串行通信协议对应的指令。第二多个比特中的每一个可以响应于第二多个脉冲的时钟脉冲而被输出。
在一些实施例中,移位寄存器模块进一步包含比特计数器,该比特寄存器被配置成保持第二多个比特的串行输出的有限计数。可选地,响应于第二多个脉冲的时钟脉冲,比特计数器进行递减。第二多个比特中的每一个可以是数据比特、奇偶校验比特和停止比特中的一个。可选地,第二多个比特中的每一个根据比特计数而选择。
移位寄存器模块可以进一步包含奇偶校验发生器。在一些实施例中,移位寄存器模块响应于第二多个脉冲的时钟脉冲,来从奇偶校验发生器输出奇偶校验比特。可编程串行端口可以进一步包含可编程驱动器,其被连结至第一输出通道,以控制串行输出的电参数。中断处理模块可以被连结至第一有限状态机,以致使有限状态机的中断。可编程串行端口可以进一步包含第二移位寄存器模块,时钟发生器连结至第二移位寄存器模块,以提供包含第二多个时钟脉冲的第二时钟信号,第二移位寄存器模块响应于第二时钟信号,来提供第二串行输出。
本发明的第四方面是可编程串行端口,其包含:移位寄存器模块,移位寄存器模块带有用于接收多个比特的并行输入的输入通道和用于提供多个比特的串行输出的输出通道,该移位寄存器模块包括提供串行输出的移位寄存器和计数器,计数器被配置成保持多个比特的串行输出的有限计数,串行输出和比特计数器响应于指令;以及有限状态机,其被连结至移位寄存器模块,以提供所述指令至移位寄存器模块。
比特计数器响应于时钟脉冲而进行递减。在一些实施例中,移位寄存器模块进一步包含奇偶校验发生器。移位寄存器模块可以响应于有限计数而提供奇偶校验比特。与串行输出对应的多个比特中的每一个可以是数据比特、奇偶校验比特和停止比特中的一个。可选地,与串行输出对应的多个比特中的每一个根据有限计数而选择。
本发明的第五方面是提供一种控制移位寄存器模块的方法,移位寄存器模块包含含有第一多个比特的第一移位寄存器,以根据多个串行通信协议中所选择的一个来提供串行输出,第一移位寄存器模块连结至时钟发生器和有限状态机,所述方法包含:根据所选择的协议,从多个指令序列中选择将由有限状态机执行的指令序列,每个指令序列对应于一个协议;根据所选择的指令序列的指令来对时钟发生器进行控制,以提供第一多个时钟脉冲至移位寄存器模块,而未由有限状态机进一步控制;以及响应于时钟脉冲和指令序列的执行,输出与第一多个比特对应的第二多个比特。
控制移位寄存器模块的方法可以进一步包含保持第二多个比特的计数的动作。控制移位寄存器模块的方法可以进一步包含响应于计数而选择性地输出奇偶校验比特的动作。控制移位寄存器模块的方法的一些实施例进一步包含:对时钟发生器进行控制,以提供第三多个时钟脉冲至含有第三多个比特的第二移位寄存器模块的动作;以及响应于时钟脉冲来输出与第三多个比特对应的第四多个比特的动作。
可选地,控制移位寄存器的方法可以进一步包含控制时钟发生器以提供第二多个时钟脉冲来控制状态机的指令序列执行的动作。在一些实施例中,控制移位寄存器的方法进一步包含阻塞第二多个时钟脉冲的动作,其中,当输出第二多个比特时,多个指令的执行被致使停止。根据指令对时钟发生器的控制可以包括指定脉冲的个数和时钟速率。
附图的简要说明
现在将参考附图通过示例对本发明的示出性而非限制性的实施例进行描述,在附图中,不同附图中的相同参考数字用于表示相同的组件。在附图中:
图1是传统可编程串行端口的框图;
图2是用于传统可编程串行端口以获得标准输出(例如兼容UART的输出)的典型指令组的流程图;
图3A是根据本发明的至少一些方面的可编程串行端口的第一示例性实施例的功能性框图;
图3B示出了来自被配置成自动输出奇偶校验比特和停止比特的移位寄存器模块中的示例性输出比特流的时序图;
图4A是根据本发明的至少一些方面的可编程串行端口的第二示例性实施例的功能性框图;
图4B是示例性中断处理模块的示意性框图;
图5是根据本发明的至少一些方面的传送寄存器移位模块的示例性实施例的示意性框图;
图6是可以在可编程端口中使用的驱动器(例如图3A中的驱动器)的示例的示意性框图;
图7是根据本发明的各个方面结合至少一些可编程串行端口而使用的时钟发生器的实施例的一个示例的示意性框图;
图8A示出了在标准模式中用于生成一个时钟脉冲的时钟发生器的示例性时钟发生器输出;
图8B示出了用于操作在省电模式中的时钟发生器的时钟发生器输出;
图9是流程图,示出了根据本发明的一些方面用于可编程串行端口的示例性指令序列,以获得标准输出(例如兼容UART的输出);
图10A是示出了适合于结合本发明而使用的一个合适的存储器结构的示意图;
图10B是示出了适合于结合图10A的存储器结构而使用的示例性FSM解码体系结构的示意图;以及
图11A和图11B是示出了指令组的二进制实现的一个示例性组的表。
详细描述
图3A是根据本发明的至少一些方面的可编程串行端口300的第一示例性实施例的功能性框图。可编程串行端口300在通道302上传送由多个比特(例如数据的字节)所构成的并行数据比特组,以及在通道304上提供与第一多个比特对应的第二多个比特的串行输出。可编程串行端口300也可以用于接收在通道304上的串行输入,和在通道302上提供并行输出。进而,可编程串行端口300可以操作在单工或半双工模式。在下面的讨论中,由于串行输入/并行输出操作通常无需更多的说明就可显而易见,因此重点主要将放在操作的并行输入/串行输出模式上。
可编程串行端口300包含有限状态机320、时钟发生器360(在此也称为时钟脉冲发生器)、移位寄存器模块312和驱动器380。(传统属性的)控制器350例如通过初始化构成可编程串行端口300的上述元件中的任何一个、通过选择按照它而发生串行通信的协议和填充缓冲器320的方式来控制可编程串行端口300的一些功能。
如下面更加详细的描述,FSM 320通过向它们提供命令的方式对移位寄存器312和时钟发生器360的操作进行控制,包括控制它们各自的配置寄存器。FSM 320执行与由控制器350所选择的协议程序对应的指令。例如,控制器提供与存储在存储器330中的所选择协议程序对应的指令组的程序代码行编号。存储器可以包含每个均与不同的协议对应的多个指令。
移位寄存器模块312接收在通道302的、来自缓冲器320的多个比特的并行输入,以及提供与多个比特对应的串行输出至驱动器380。移位寄存器模块312包括:用于串行化在通道302上接收的数据的移位寄存器310和比特计数器370。比特计数器370被配置成保持由移位寄存器模块312所输出的串行数据的比特的有限计数。
时钟发生器360被连结至有限状态机320,以及响应于来自有限状态机320的至少一条指令而提供一个或多个时钟脉冲至移位寄存器310。时钟脉冲对进入和离开移位寄存器模块312的数据移位的定时进行控制。时钟发生器360拥有用于接收来自有限状态机320的至少一条指令的输入通道和用于提供时钟脉冲至有限状态机320的输出通道。例如,响应于至少一条指令,时钟发生器360可以在预设的时间上(或者在预设延时之后)以预设的速率向移位寄存器310提供预设个数的时钟脉冲。在一些实施例中,有限状态机320向时钟发生器360提供单一命令,以便以预设的速率生成预设个数的脉冲,以用来降低控制时钟发生器所必需的执行时间量。因此,由FSM所执行的用于根据所选择的协议而获得输出的指令的个数相对较少,并且获得输出的总执行时间相对较短;因此,可编程串行端口300能够根据多种协议提供输出和接受输入。FSM 320和时钟发生器320一起形成用于控制移位寄存器模块312的移位寄存器控制模块355。
响应于从时钟发生器360接收的时钟脉冲(其可能出于其它目的而被其它时钟脉冲超前),移位寄存器模块312输出单个数据比特至驱动器380,且比特计数器370进行递减或递增(取决于协议指定最先发送的是最高有效比特还是最低有效比特)。移位寄存器312可以被配置成:对于所选择的协议,在计数器达到零之后所接收的第一时钟脉冲自动导致奇偶校验比特的输出,且在计数器达到零之后所接收的第二时钟脉冲导致停止比特的输出。
图3B示出了来自移位寄存器模块312的示例性输出比特流390的时序图,其中,移位寄存器模块312被配置成自动输出奇偶校验比特和停止比特。除了输出比特流390之外,还示出了比特计数器370(如上面图3A所示)的对应比特计数392和来自时钟发生器360(如图3A所示)的时钟信号394。在示例性比特流390中,假定在时钟信号394的上升沿(rising edge)上输出数据比特。在分别收到每个上升沿396a-d(对应于比特计数1-4)时,输出对应的数据比特。在当比特计数为0时收到第一上升沿396e时,输出奇偶校验比特;以及在当比特计数为0时收到第二上升沿396f时,输出停止比特。
再次参考图3A,驱动器380被连结至通道304,以提供线路驱动和接收电路以及由所选择的协议所要求的参数。例如,驱动器380可以允许对诸如开路源极或开路集电集线路驱动器电路的所需线路驱动器电路类型的选择、对输出信号的极性的选择、以及对高阻抗状态的选择。此外,驱动器380可以允许对数据源的选择(例如,固定逻辑值(即1或0)上的输出、来自FSM的输入/输出、或者来自移位寄存器的输入/输出)。驱动器也可以检测数据输入/数据输出不匹配。此外,在半双工模式中,驱动器380可以复用在通道304上发送和接收的数据。响应于所示的在线路381上供应的协议选择信号,驱动器380优选为可编程的,以实行与协议相关的选择和操作。协议选择信号直接或间接来自控制器350。虽然驱动器380被示出为具有输出通道304,但是应当理解,驱动器380可以提供一个或多个诸如时钟信号的附加输出。驱动器380将在下面参考图6得到进一步的描述。
图4A是根据本发明的至少一些方面的可编程串行端口400的第二示例性实施例的功能性框图。可编程串行端口400包含驱动器479、每个均带有对应的存储器430和431的两个有限状态机420和421、移位寄存器模块412和413以及时钟发生器460和461。移位寄存器模块412和413带有对应的移位寄存器410和411以及比特计数器470和471。移位寄存器410和411接收来自缓冲器422和423的并行数据比特,并且分别在通道404和405上提供串行输出。
如上所述,例如,通过初始化包含可编程串行端口400的上述元件中的任何一个的方式和通过选择输入和输出按照它而处理的协议的方式,控制器450控制可编程串行端口400的一些功能。
可编程串行端口400被配置成允许分别经由移位寄存器模块412和413来同时发送和接收数据;因此,可编程串行端口400能够进行全双工通信或半双工通信。为了实现全双工通信,移位寄存器模块412和413同时操作,以分别发送和接收数据;以及为了操作在半双工模式,移位寄存器412和413在交替的时间周期上发送/接收数据。
在一些实施例中,可编程串行端口400包含分别与FSM 420和421相关联的两个事件计数器480和481。事件计数器480和481是响应于诸如时钟信号或来自FSM 420和421的信号的输入而进行递增或递减的寄存器。每个事件计数器480和481均能够提供对应的计数寄存器值。计数器480和481被配置成响应于来自FSM或其它来源(例如时钟)的输入而进行递增或递减。例如,可以经由比较指令(将在下面讨论)访问计数寄存器值,此外,可以对计数寄存器进行硬连线(hardwired),以在发生事件时(例如计数器480和481递减至零,或者发生寄存器溢出)提供输出至相关联的FSM。在一些实施例中,在执行指定指令时(调用省电模式的延时指令、等待命令或时钟命令(这些指令将在下面讨论)),FSM时钟信号705和706(可在图7中看到)被门708和709选通,直到FSM收到来自事件计数器480和481表示计数器480和481已递减至零的输出为止。
在一些实施例中,可编程串行端口400可以包括状态寄存器495和比较器490。状态寄存器495能够接收表示可编程串行端口400的任何其它元件的状态的数据(例如,一个比特可以表示特定寄存器是充满的、空的或溢出的,或者可以表示奇偶校验比特错误)。FSM 420和421可以例如根据状态寄存器的任何比特或者事件计数器480和481计数值来执行条件运算。可以包括比较器490,以辅助FSM 420和421的条件运算的执行。例如,比较器490可以比较所选择的寄存器中的数据值。
可选地,中断处理模块455和465可以提供中断处理。参考图4B,示出了示例性中断处理模块455的示意性框图。中断处理模块被配置和安排成根据至少第一操作数来选择性地中断FSM。中断处理模块包括用于控制复用器462和463的第一中断选择寄存器457,其分别提供第一操作数和第二操作数至运算模块464。例如,第一操作数和第二操作数可以是状态寄存器495的所选择的比特,或者第一操作数和第二操作数中的一个可以是所选择的数据值。运算模块464在所选择的操作数上执行所选择的运算,并生成输出(例如它们的值的逻辑“与”或者逻辑“或”)。可以使用中断配置寄存器466来控制中断的启动、输入或输出的反向、以及在收到检测的电平或边沿时是否执行比较。中断配置寄存器可以包含用于控制“与”门469的中断启动比特,以确定是否应当提供中断至FSM,从而致使FSM的中断。
在收到中断时,FSM从在中断地址寄存器467中所指定的地址(即中断矢量)开始进入例行程序。可选地,在收到中断时,FSM可以在返回寄存器468中存储返回地址,以允许FSM返回至中断发生时有限状态机正在执行的程序行上。
图5是根据本发明的至少一些方面的传送寄存器移位模块500的示例性实施例的示意性框图。移位寄存器模块500在此定义为包含至少一个移位寄存器510,以使在通道502上接收的数据串行化。可选地,移位寄存器500包含比特计数器模块570、传送逻辑520以及传送配置寄存器506。比特计数器574被配置成保持移位寄存器模块500所输出的串行数据的比特的有限计数。
移位寄存器模块500接收在通道502上的、来自缓冲器(例如图4A中的缓冲器420)或者在通道505上的、来自诸如由FSM 420(如图4A中所示)所指定的存储器位置的另一来源的包含并行数据比特组输入。并行输入的来源由复用器504确定。移位寄存器模块500在通道503上提供与并行比特组对应的串行输出。例如,输出被提供至驱动器380(可从图3A中看到)。
移位寄存器510使所选择的并行输入串行化。应当理解,在此所定义的移位寄存器510包括传统的移位寄存器或者适合于串行化数据的任何其它结构(例如连结至复用器以选择性地输出与并行输入数据比特组对应的串行比特的缓冲器)。
传送逻辑520包含用于生成停止比特的复用器522和用于提供停止比特作为输出的复用器523。此外,传送逻辑520包含用于提供将被输出的奇偶校验比特的奇偶校验发生器524和复用器525。复用器522根据由传送配置寄存器506所指定的控制信号所确定的来选择高逻辑电平或低逻辑电平。数据比特复用器526允许移位寄存器模块500对经由通道503而输出的数据的来源进行控制;例如,在给定的协议中,可以指定给定的比特来自移位寄存器510、FSM 420,或者可以选择为高逻辑电平或低逻辑电平。奇偶校验比特发生器524接收从数据比特复用器526中输出的数据比特值,并且计算奇偶校验比特。
如上所述,根据本发明的各个方面,响应于时钟脉冲572的接收,移位寄存器模块500自动进行递减。在数据比特的传输完成之后,自动输出奇偶校验比特(如果协议要求的话),以及在比特计数器达到零后收到预设个数的时钟周期数之后,自动进入停止状态,而无需FSM执行附加的指令。因此,根据所选择的协议,为获得输出而由FSM执行的指令数相对较少,且为获得输出的总执行时间相对较短;因此,可编程串行端口能够根据多种协议提供输出和接收输入。
为了获得上述的自动输出,比特计数器模块570带有比特计数器574、比较器572、计数分析器576、通路控制器578以及如下所述的其它逻辑。通路控制器578接收计数值并对移位寄存器510和传送逻辑520进行控制,以自动地根据所选择的协议在通道503上提供输出。配置寄存器506包含由控制器450(如上面的图4所示)所提供的数据,以根据所选择的协议来安排和控制每个上述的元件。
取决于来自配置寄存器506的输入506a表示所选择的协议是要求先发送最高有效比特还是最低有效比特,复用器580提供初始计数值至比特计数器574。如果先发送最高有效比特,则复用器580提供等于数据大小的初始计数值(即在由通道502所提供的给定数据比特组中的数据比特的总个数),并且比特计数器574递减至零;以及如果先发送最低有效比特,则复用器580提供等于零的初始计数值,并且比特计数器574递增至等于数据大小的值。对于每个时钟脉冲,通路控制器578根据来自比特计数器574的计数来选择是发送数据值、奇偶校验比特还是停止比特。
在收到时钟脉冲572时,比特计数器574根据选择的协议对其计数进行递增或递减。通路控制器578接收来自比特计数器574的计数值。通路控制器578把计数值与来自配置寄存器506的输入506a进行比较,以确定复用器523、526、525是否应当被配置为在输出通道503上提供来自移位寄存器510的数据比特、奇偶校验比特或停止比特。在收到第一零计数值时,通路控制器578对复用器523、526、525进行控制,以输出最低有效数据比特、奇偶校验比特或停止比特。在收到第二零计数时,如果输入506a表示将发送奇偶校验比特,则通路控制器578对复用器523、526、525进行控制,以提供奇偶校验比特;以及在收到第二零计数时,如果输入506a表示将发送停止比特,则通路控制器578对复用器523、526、525进行控制,以提供停止比特。通路控制器578可以提供计数值至移位寄存器510,它可以作为指向将被输出的数据比特的指针;因此,移位寄存器中的每个数据比特响应于时钟脉冲而输出。
比较器572确定发送的比特的个数是否与数据大小一致(例如,如果先发送最低有效比特,则比较器572确定计数值是否等于数据大小)。来自比较器572的输出被提供至计数分析器576,且计数分析器576使用输入506a以及结合比较器的输出来确定比特计数器574的下一个值。直到比较器572表示已发送出与数据大小一致的比特个数为止,比特计数适当地进行递增(或递减)。在收到来自比较器572的表示已发送出与数据大小一致的比特数的输出时,计数分析器572确定是否需要奇偶校验比特(例如,在计数器达到零之后,计数器被允许对第一脉冲保持为零),或者表示需要停止比特(例如,在达到零之后,计数器被允许对第二脉冲保持为零),以及是否设置循环模式(即,在设置奇偶校验比特和停止比特之后,计数器重置为初始值)。
图6是可以在可编程端口中使用的驱动器480的示例的示意性框图。在示出的示例性实施例中,提供了六个输入/输出驱动器电路:传送数据驱动器电路601、传送时钟驱动器电路602、接收数据驱动器电路603、接收时钟驱动器电路604以及两个可配置输入/输出电路605和606。例如,可配置输入/输出电路605和606可以提供启动信号,一个用于接收端口和一个用于发送端口,或者可以用来接收作为从属时钟信号(下面将讨论)的信号。
电路可以是任何传统输入/输出驱动器电路。例如,电路可以允许对来源/接收机的选择(例如逻辑1或0上的电源,来自FSM的输入/输出,或者来自移位寄存器的输出)、对输出信号极性的选择、对高阻抗状态的选择、对数据输入/数据输出失配的检测以及奇偶校验比特计算。可选地,可以包括入开关610,以允许从输入/输出到可以设置有可编程数据端口的集成电路的六个输出引脚621-626中任何一个的映射。
图7是根据本发明的各个方面结合至少一些可编程串行端口而使用的时钟发生器700的实施例的一个示例的示意性框图。时钟发生器700从多个时钟来源中选择主时钟信号;例如,主时钟信号可以从由微控制器(例如图4中的微控制器450)输入的系统时钟702或者适合于作为主时钟信号704的任何脉冲来源所输入的辅助时钟703中选择,并且提供输出时钟信号。这种输出时钟信号包含到第一和第二FSM(例如上面图4中的FSM 420和421)的输出时钟信号705和706、到第一和第二移位寄存器模块(例如移位寄存器模块412和413)的输出时钟信号726和736、以及到驱动器(例如图4中的驱动器479)的输出时钟信号727和737。
FSM时钟发生器模块710接收主时钟信号704,并在输出通道705和706上分别提供时钟信号输出705和706至状态机(例如上面图4中的FSM 420和421),以控制状态机的指令执行。输出时钟信号705和706可以由连结至输出通道705和706的FSM分频器712进行分频或者相对于主时钟信号704进行相位延时,或者可以旁路FSM分频器712(根据施加到复用器714上的控制信号而定),使得时钟信号输出705和706与主时钟信号704一样。门708和709可以分别被连结至输出通道707和708,以选通输出时钟信号705和706;例如,门708和709可以由分别来自FSM 420和421的控制信号进行控制(即选通),致使调用省电模式的等待指令、延时指令或者时钟指令的执行,这将在下面讨论,且一般由标记为“控制”的输入708a和709a来表示。
FSM时钟发生器模块710包含配置寄存器707,以确定主时钟704的时钟来源、将由分频器712应用的分频因子主、以及输出时钟信号705和706相对于时钟来源的相位。在一些实施例中,也可以利用配置寄存器中的内容来设置控制输入708a和709a。
传送时钟发生器模块720接收来自FSM时钟发生器模块710的信号输出705a以及交替的时钟输入(例如,异步从属时钟信号721、逻辑高和逻辑低信号),并且分别提供时钟信号输出726和727(包含多个时钟脉冲)至传送移位寄存器(图4中的412)和驱动器(例如图4中的驱动器479)。传送分频器722和传送分频器724对FSM时钟发生器模块710的未被选通的输出705a进行分频,并且被连结至传送移位寄存器,以提供时钟信号输出726。复用器725在从属时钟信号721、逻辑电平高和逻辑电平低中进行选择;以及复用器745在复用器725的输出与传送分频器722的输出之间进行选择,以提供时钟信号输出726。复用器723在传送分频器724的输出与复用器745的输出之间进行选择,以提供时钟信号输出727。因此,通过复用器723的适当配置,传送移位寄存器和传送驱动器可以由相同的时钟信号驱动。
传送时钟配置寄存器728控制:分频器722和724的分频因子,输出的开始极性和停止极性,时钟是否操作在省电模式下(即,在传送时钟操作期间是否关闭FSM时钟发生器),以及在操作结束时或者一个时钟周期之前FSM时钟的操作是否开始(下面将参考图8A和8B对其原因进行更加详细的讨论)。传送时钟分频器寄存器729控制传送时钟信号的工作周期。例如,传送时钟分频寄存器可以包括高电平分频比率和低电平分频比率,以确定时钟信号726和727在高电平和低电平上的周期数,从而确定工作周期。本领域的普通计数人员应当理解这种高电平和低电平分频比率的实现;因此在此不包括进一步的细节。
接收时钟发生器模块730接收来自FSM时钟发生器模块710的信号输出705a以及交替的时钟输入(例如,异步从属时钟信号731、逻辑高和逻辑低信号),并且分别提供时钟信号输出736和737至接收移位寄存器(图4中的413)和驱动器(例如图4中的驱动器479)。接收分频器732和接收分频器734对FSM时钟发生器模块710的未被选通的输出705a进行分频。复用器735在从属时钟信号731、逻辑电平高和逻辑电平低中进行选择;以及复用器746在复用器735的输出与传送分频器732的输出之间进行选择,以提供时钟信号输出736。复用器733在传送分频器734的输出与复用器746的输出之间进行选择,以提供时钟信号输出737。因此,通过复用器733的适当配置,传送移位寄存器和传送驱动器可以由相同的时钟信号驱动。
接收时钟配置寄存器731控制:分频器732和734的分频因子和输出的开始极性以及停止极性。接收时钟分频器寄存器739控制传送时钟信号的工作周期。例如,接收时钟分频器寄存器739可以包括高电平分频比率和低电平分频比率,以确定时钟信号736和737在高电平和低电平上的周期数,从而确定工作周期。本领域的普通计数人员应当理解这种高电平和低电平分频比率的实现;因此在此不包括进一步的细节。
应当理解,使用时钟发生器700、带有第一FSM和第二FSM的可编程串行端口(例如图4中的可编程串行端口400)可以获得全双工操作。另外一种选择是,带有第一FSM和第二FSM的时钟发生器700可以以形成有传送时钟信号和接收时钟信号的半双工模式操作,使得传送和接收在交替的时钟间隔上进行。
图8A和B是两个示例性时钟选项的时序图。每个时序图均示出了主时钟802、移位寄存器控制时钟804或814(即传送时钟信号或接收时钟信号)以及对应的FSM时钟信号806和816。此外,标示810或820示出了在执行时钟指令期间的时钟周期,以及标示805或815示出了在时钟指令之后发生指令执行(也称为“下一指令”)期间的时钟周期。
图8A示出了在标准模式中用于生成一个时钟脉冲的时钟发生器的示例性时钟发生器输出。在标准模式中,在生成移位寄存器控制时钟信号804的时间段内,生成FSM时钟信号806。因此,在紧接在执行时钟指令的时钟周期810之后的主时钟周期805内,执行接在时钟指令之后的指令。
示例性时序图与带有配置寄存器的时钟发生器对应,配置寄存器被配置成获得为1的关闭状态821和为0的开始状态823。此外,通过选择低电平和高电平分频因子方式来获得四分之一的工作周期,以使得八个周期的两个为高的。
图8B示出了在省电模式中用于时钟发生器操作的时钟发生器输出。在省电模式中,在生成移位寄存器控制时钟信号814的时候,FSM时钟信号806被暂停。省电模式允许功耗被降低。例如,如果所选择的协议不要求在生成移位寄存器控制时钟时(即,在从对应的移位寄存器中输出比特时)执行指令,则可以使用省电模式。
在对应的移位寄存器模块提供输出时,通过使用门708和709(如图7所示)来阻塞FSM时钟信号的输出的方式来获得省电模式。在执行时钟指令期间,如果控制寄存器728和738被配置为省电模式,则门708和/或709分别阻塞信号705和706,以及在完成适当的周期数时,门708和709停止阻塞FSM时钟信号。
在图8B中,移位寄存器控制信号816在持续时间内为移位寄存器控制时钟814提供两个时间段(如区域825所表示)。时钟信号具有33%的工作周期、为0的关闭状态822和为1的开始状态824。
由于指令的解码和执行需要两个时钟周期,因此,下一指令的执行在移位寄存器控制时钟信号814的结束830之后的在第二时钟周期815中发生。(下一指令的解码在结束830后面的第一时钟周期831中发生)。因此,在一些实施例中,可以对门708和/或709进行控制,使得在距离移位寄存器时钟输出的结束830之前的一个周期时,停止阻塞FSM时钟信号816。这允许在紧接在移位寄存器时钟生成完成之后的时钟周期内执行下一指令。
图9是流程图900,示出了根据本发明的一些方面用于可编程串行端口的示例性指令序列,以获得标准的输出(例如兼容UART的输出)。在步骤905中,FSM等待来自移位寄存器的表示移位寄存器已充满数据的表示。在步骤911中,FSM向驱动器加载开始状态。在步骤920中,比特计数器被初始化。在步骤930中,FSM在移位寄存器中加载比特计数器。在步骤950中,第一数据比特被发送并且逻辑值保持与协议所需的一样多的时钟周期数。在步骤960中,时钟命令被发送至定义了用于分频器的脉冲数和分频因子的时钟发生器。最后,在步骤990中,驱动器被设置为停止状态。
与用于传统可编程串行端口(如参考图2所述)的程序的流程图相反,显然,因为为了提供所选择的输出而需由FSM执行的指令数减少了,因此,根据在此所示的方法和装置,获得给定数据输出所需的执行时间大幅度降低了。例如,在图2中,对于将被输出的每个数据比特,FSM需要发送命令至移位寄存器(图2中的步骤270)。相反,在图9中,单一命令被发送至时钟发生器(例如图3中的时钟发生器360),以在由分频速率所确定的速率上生成预设个数的脉冲。因为时钟发生器连结至移位寄存器,所以移位寄存器响应于来自时钟发生器的时钟脉冲而输出数据比特,因此解除了FSM命令移位寄存器提供每个数据比特输出的需要。同样,在图2中,FSM使比特计数器递减(步骤260),以保持输出的数据比特的有限计数。相反,在图9中,因为移位寄存器带有响应于来自时钟发生器的时钟脉冲的接收而自动进行递减的比特计数器,所以FSM无需执行指令来使计数器递减。此外,当收到在已发送出数据比特之后的时钟周期时,移位寄存器被安排成输出奇偶校验比特(如果协议要求的话)和进入停止状态,而无需FSM执行进一步的指令。
下面的指令列表是设置成由FSM 410和411(如图4所示)执行的示例性指令。图10A和10B是表,示出了列表中的每个指令的二进制实现的一个示例性组。对于在图10A和10B中示出的对应的二进制实现,列表包括了功能性描述,以及对包含在对应的二进制实现中的比特的解释。
因为图10A和10B中的二进制实现适合于以所选择的指令可以被并行执行的解码和执行体系结构(下面将参考图10A描述)而实现,所以下面列表中的一些指令与两个二进制实现对应,一个在图10A(在比特位置0-7中使用)中和另一个在图10B(在比特位置15-8中使用)中。
参考图10A和10B,每个指令由操作码1004(在二进制实现1000中由逻辑值1和0表示)和一个或多个数据字段和/或地址字段构成。
下面的指令列表包括五种类型的指令:配置指令、操作控制指令、流程控制指令、定时控制指令、时钟控制指令、条件指令。可以使用带有任何已知取出、解码和执行方案的FSM来实现可编程串行比特端口。例如,所列举的指令可以被顺序地执行。在一些实施例中,指令被并行执行,如下所述。
配置指令    简要描述
加载        加载数据至指定寄存器
参考图10A(1002),d值表示将被加载的值,以及i值表示将被加载的寄存器的地址。
双比特加载  把两个所选择的数据比特加载到驱动器或
            驱动器配置寄存器中
参考图10A和10B(1004a,1004b),i值表示其值将被加载的比特,以及v值表示将被加载的值。
屏蔽        允许对所选择的寄存器的所选择的比特进
            行设置/重置
参考图10A(1006),m值形成屏蔽,以及i值表示将被屏蔽的寄存器的地址。
映射        与带有有限个数的地址比特的指令结合使
            用,以增加在使用该指令的给定寄存器中
            可访问的比特数。例如,使用映射指令,
            带有三比特地址字段的所选择的指令可以
            从大于8比特的指令之中选择;映射指令
            可以从带有大于8比特的寄存器中选择8
            比特矢量,以及三比特地址字段选择8比
            特矢量内的比特。例如,映射可以与条件
            执行指令(下面将讨论)结合使用,以在
            状态寄存器495(如图4A所示)的55个
            比特之中进行选择,而不管在一些实施例
            中条件执行寄存器只有三比特地址字段的
            事实。
参考图10B(1008),i值表示8比特矢量。
扩展        扩展命令与另一指令(例如
            双比特加载或触发器)结合
            使用,以提供扩大的地址字
            段。
参考图10A(1010),i值表示附加的地址比特。
操作控制指令
触发器      触发器命令启动FSM,以通
            过设置来自FSM的所选择的
            输出的比特来获得指定的硬
            连线动作。该动作可以直接
            获得或者可以间接获得(例
            如,经由硬连线的寄存器,
            以获得指定的动作)。
参考图10A和10B(1012a,1012b),i表示硬连线的寄存器的地址,以及bi值表示寄存器内与特定动作对应的特定比特。
流程控制指令
绝对跳转    跳转至指令组的绝对行
参考图10A(1014),a值表示跳转的目的地址。
近相对跳转  相对跳转,限定于所选择的
            行数的跳转(例如向前32行
            和向后16行)。
参考图10A和10B(1016a,1016b),a值表示将被跳转的程序行数。
绝对调用    跳转至绝对地址并在指定寄
            存器中存储返回地址。
参考图10A(1018),a值表示跳转的目的地址。
返回        返回至在绝对调用期间存储
            在指定寄存器中的地址。
在图10A和10B中分别示出为1020a和1020b。
软件重置    重置FSM。在一些实施例
            中,可以保存存储器内容。
在图10A和10B中分别示出为1022a和1022b。
循环        执行使用了指定的开始地址
            和指令的结束地址的循环。
            开始地址和结束地址之间的
            指令根据所选择的寄存器的
            指定的来被执行若干次。
参考图10A(1024),对于一个循环,o1值表示开始地址且o2值表示结束地址。重复的次数由单独的循环计数器寄存器规定。
空指令      它在具有16比特取出的实施
            例中使用排列16比特指令的
            “填充器”。不会造成执行。
            (空指令的各个方面将参考
            图10A在下面得到更详细的
            描述。)
在图10B中示出为1026。
定时控制指令
延时        使下一指令的执行延时所选
            择的时钟周期数。如上所
            述,参考图4A,可以使用事
            件计数器480和481执行延
            时。
参考图10A和10B(1028a,1028b),d值表示总延时长度。
长延时      除了使用指向寄存器的指针
            而不是指令自身内的指针来
            选择延时的持续时间之外,
            长延时的操作与延时一样。
参考图10A和10B(1030a,1030b),i值表示指向带有总延时长度的寄存器的指针。
等待        一直等待到指定条件为真
            (例如,使用状态寄存器495
            和比较器490(参考图4A)
            而指定的条件)。在使用门
            708和709执行等待指令期
            间,可以对FSM时钟进行选
            通。
参考图10A(1032),c1值表示将被测试的第一条件(例如边沿检测,缓冲器充满或空),以及c2值表示第二条件。v1和v2值是分别针对第一条件和第二条件而将被测试的值。mm值选择针对第一和第二条件中的一个或两个而作出的评估(例如,评估可以包括条件c1等于v1且c2等于v2)。
时钟控制指令
时钟        根据时钟配置寄存器,指示
            所选择的时钟分频器输出标
            准或者省电模式中的所选择
            的时钟周期数。
参考图10A(1034),cd值选择时钟选择,以及值d表示将被输出的脉冲数。
逻辑指令
条件执行    指定指令的执行根据所选择
            的条件而定。
参考图10A(1040),i值表示在形成操作数的状态寄存器的8比特矢量中的比特,以及v值表示条件(1=真且0=假)。典型地作为16字节指令的第一字节使用;如果条件为真,则第二字节是将被执行的指令。
比较数据    把指定寄存器中的数据与指
            定数据值进行比较。该比较
            可以包含基于至少下述的运
            算的比较:小于、大于、等
            于,等等。
参考图10A(1036),i值表示寄存器作为第一操作数而操作。d值表示形成第二操作数的数据,以及cc值表示比较类型(数据=寄存器,数据2寄存器,数据9寄存器)。
比较寄存器  把指定寄存器中的数据与另
            一指定寄存器中的数据进行
            比较。
参考图10A(1038),i值表示形成第一操作数和第二操作数的寄存器对,以及cc值表示比较类型(数据=寄存器,数据2寄存器,数据9寄存器)。
用于实现协议的配置寄存器内容和指令可以直接手工生成,或者使用任何合适的工具从高电平输入编译而成。用于结合根据本发明的各个方面的可编程串行端口而使用的指令序列可以布置在任何合适的存储器结构中。图11A是适用于结合本发明而使用的一个合适的存储器结构1100的示意性示出;存储器结构1100容纳对包含8比特和16比特指令组合(如上面参考图10A和10B所述)的指令组的使用,以及允许在每个时钟周期上发生16比特取出,而没有在给定的取出期间取部分指令。示例性存储器结构1100由存储器1102、1104、1106和1108的行(line)所构成;存储器的每行被分成16比特分段。
在一些实施例中,第一8比特指令1102a位于从位置15开始的8比特存储器分段1120内,其最高有效比特位于位置15上,且第二8比特指令1102b位于下一8比特存储器分段1135内(即从行1102的位置7开始)。存储器1104的第二行被16比特指令1104a占用。
类似于第一行1102,行1106带有位于从位置15开始的8比特存储器分段1130内的第一8比特指令1106,其最高有效比特位于位置15上。但是,因为下一指令是16比特指令(1108a),所以行1106中的第二指令1106b被选择作为空指令(如上所述),以避免包括部分指令(即16比特指令的一半)的16比特取出(fetch)。因此,用于这种体系结构的编译器(compiler)优选地在行1106的8比特存储器分段1135中插入8比特空指令1106b。优选地,空指令是非执行指令(即它只是作为占位符指令)。
图11B是适用于结合图11A的存储器结构1100而使用的示例性FSM解码和执行体系结构1150的示意性示出。解码和执行体系结构1150包括预解码器1160和两个解码器1170和1175。
如上所提到,两级解码器和执行体系结构1150在如上所述的每个时钟周期上取出16比特的指令。使用已知的技术,预解码器1160检查与存储器1102、1104、1106(可从上面的图11A看到)中的一行对应的指令的操作码。预解码器1160确定16比特是否包含由16比特指令、将被并行执行的两个8比特指令还是由将被串行执行的两个8比特指令。
如图10B所示且位于存储器位置1130中的指令的存在(例如,通过识别其操作码而确定)表示位置1130和1135中的8比特指令将被并行执行。任何其它指令的存在表示存在16比特指令或者将被并行执行的两个8比特指令。
在存储器1102、1104、1106(可从图11A看到)的特定行包括单个16比特指令的事件中,16比特被提供至解码器1170;在存储器1102、1104、1106的特定行包括将被串行执行的两个8比特指令的事件中,在第一周期上提供与第一指令对应的8比特,以及在下一周期上提供另外8比特,使得在第一时钟周期上执行第一指令,而在接下来的时钟周期上执行第二指令;以及在存储器1102、1104、1106的特定行包括将被并行执行的两个8比特指令的事件中,在第一时钟周期上,解码器1170接收第一指令且解码器1175接收第二指令。
虽然已对发明概念和若干示例性实施例进行了描述,但是,对于本领域的普通技术人员来说,显然,本发明可以以各种方式实现且他们将会遇到修改和改进。因此,给出的示例并不是为了限制。本发明只受所附的权利要求及其等同物的限制。同样,应当理解,术语“包括(including)”、“包含(comprising)”或“带有(having)”的使用应当涵盖所列出的项目及其等同物以及列出的项目的前面、后面或者之间的附加的项目。

Claims (23)

1.一种可编程串行端口,包括:
第一移位寄存器模块(312),其包括移位寄存器,该移位寄存器具有用于接收第一多个比特的并行输入的输入通道,以及具有第一输出通道,该第一输出通道用于提供第二多个比特的串行输出,以及进一步具有用于控制所述移位寄存器模块的寄存器;
时钟发生器;以及
第一有限状态机,(302)
其特征在于所述时钟发生器(360)向所述第一移位寄存器模块提供第一时钟信号,以及所述时钟发生器和第一移位寄存器模块对来自所述有限状态机的指令作出响应,由此使得所述有限状态机能够发出至少一个指令,使得从所述移位寄存器输出第二多个比特而无需来自所述有限状态机的进一步控制。
2.如权利要求1的可编程串行端口,其中所述时钟发生器包括到所述第一有限状态机的第二输出通道,所述通道为所述第一有限状态机提供第二时钟信号,所述信号包括第二多个时钟脉冲。
3.如权利要求1的可编程串行端口,其中所述时钟发生器通过可由所述指令的至少其中一个控制的门连接到所述第一有限状态机。
4.如权利要求3的可编程串行端口,其中当所述移位寄存器提供所述串行输出时,可以控制所述门以阻塞所述第二时钟信号。
5.如权利要求2的可编程串行端口,进一步包括:分频器,其连接到所述第二输出通道以接收所述第二时钟信号,并连接到所述第一输出通道以提供所述第一时钟信号,其中对所述第二时钟信号进行分频从而形成所述第一时钟信号。
6.如上述权利要求中的任意一项的可编程串行端口,其中所述有限状态机被配置和布置成:提供与多种串行通讯协议相对应的多个指令。
7.如权利要求1的可编程串行端口,其中响应于所述第二多个脉冲的时钟脉冲而输出各个第二多个比特。
8.如权利要求1的可编程串行端口,其中所述移位寄存器模块进一步包括比特计数器,所述比特计数器被配置为保持所述第二多个比特的串行输出的有限计数。
9.如权利要求8的可编程串行端口,其中响应于所述第二多个脉冲的时钟脉冲而递减所述比特计数器。
10.如权利要求9的可编程串行端口,其中第二多个比特中的每一个是数据比特、奇偶校验比特和停止比特中的一个。
11.如权利要求10的可编程串行端口,根据所述比特计数来选择第二多个比特中的每一个。
12.如上述权利要求11的可编程串行端口,其中所述第一移位寄存器模块进一步包含奇偶校验发生器。
13.如权利要求12的可编程串行端口,其中所述第一移位寄存器模块响应于第二多个脉冲的时钟脉冲而从所述奇偶校验发生器中输出奇偶校验比特。
14.如权利要求1的可编程串行端口,进一步包含可编程驱动器,其被连接至所述第一输出通道,以控制所述串行输出的电参数。
15.如权利要求1的可编程串行端口,进一步包括中断处理模块,其被连接至所述第一有限状态机,以导致所述有限状态机的中断。
16.如权利要求1的可编程串行端口,进一步包含第二移位寄存器模块,所述时钟发生器连接至所述第二移位寄存器模块,以提供包含第二多个时钟脉冲的第二时钟信号,所述第二移位寄存器模块响应于所述第二时钟信号而提供第二串行输出。
17.一种控制移位寄存器模块的方法,其中所述移位寄存器模块包括含有第一多个比特的第一移位寄存器,用以根据多种串行通信协议中所选择的一种来提供串行输出,所述第一移位寄存器模块连接至时钟发生器和有限状态机,所述方法包括:
根据所选择的协议,从多个指令序列中选择将由所述有限状态机执行的指令序列,每个指令序列对应于一种协议;根据所选择的指令序列的指令来控制所述时钟发生器,以将第一多个时钟脉冲提供给所述移位寄存器模块,以及
响应于所述时钟脉冲和指令序列的执行,输出与第一多个比特相对应的第二多个比特,而无需所述有限状态机的进一步控制。
18.如权利要求17所述的控制移位寄存器模块的方法,进一步包括:保持所述第二多个比特的计数的动作。
19.如权利要求18所述的控制移位寄存器模块的方法,进一步包括:响应于所述计数而选择性地输出奇偶校验比特的动作。
20.如权利要求17所述的控制移位寄存器的方法,进一步包含控制所述时钟发生器的动作,以提供第二多个时钟脉冲来控制所述状态机的指令序列的执行。
21.如权利要求20所述的控制移位寄存器模块的方法,进一步包含阻塞所述第二多个时钟脉冲的动作,其中,当输出所述第二多个比特时,致使所述多个指令的执行停止。
22.如权利要求17所述的控制移位寄存器模块的方法,进一步包含以下动作:对时钟发生器进行控制以向含有第三多个比特的第二移位寄存器模块提供第三多个时钟脉冲,以及响应于所述时钟脉冲来输出与所述第三多个比特对应的第四多个比特。
23.如权利要求19所述的控制移位寄存器模块的方法,其中,根据指令对所述时钟发生器进行控制包括:指定脉冲的个数和时钟速率。
CNB028169271A 2001-08-29 2002-08-29 通用串行端口体系结构和系统 Expired - Lifetime CN100361109C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US31565501P 2001-08-29 2001-08-29
US60/315,655 2001-08-29

Publications (2)

Publication Number Publication Date
CN1549976A CN1549976A (zh) 2004-11-24
CN100361109C true CN100361109C (zh) 2008-01-09

Family

ID=23225453

Family Applications (9)

Application Number Title Priority Date Filing Date
CNB028169263A Expired - Lifetime CN100570577C (zh) 2001-08-29 2002-08-29 高速程序跟踪
CNB028169689A Expired - Lifetime CN100471079C (zh) 2001-08-29 2002-08-29 用于无线系统中的时钟及电源控制的方法和设备
CNB02816928XA Expired - Lifetime CN100399472C (zh) 2001-08-29 2002-08-29 用于使用快速突发模式以改善处理器性能的方法和装置
CN2009102091339A Expired - Lifetime CN101673238B (zh) 2001-08-29 2002-08-29 程序跟踪器以及程序跟踪方法
CNB028169271A Expired - Lifetime CN100361109C (zh) 2001-08-29 2002-08-29 通用串行端口体系结构和系统
CN02816976XA Expired - Lifetime CN1549961B (zh) 2001-08-29 2002-08-29 动态电压控制方法与设备
CNB028169697A Expired - Lifetime CN100451914C (zh) 2001-08-29 2002-08-29 锁相环迅速加电方法和装置
CNB028169700A Expired - Lifetime CN100517215C (zh) 2001-08-29 2002-08-29 用于无线系统中定时及事件处理的方法和装置
CNB028167791A Expired - Lifetime CN1299201C (zh) 2001-08-29 2002-08-29 通过响应高速缓存缺失而切换任务来提高基于高速缓存的嵌入式处理器的处理能力的方法和装置

Family Applications Before (4)

Application Number Title Priority Date Filing Date
CNB028169263A Expired - Lifetime CN100570577C (zh) 2001-08-29 2002-08-29 高速程序跟踪
CNB028169689A Expired - Lifetime CN100471079C (zh) 2001-08-29 2002-08-29 用于无线系统中的时钟及电源控制的方法和设备
CNB02816928XA Expired - Lifetime CN100399472C (zh) 2001-08-29 2002-08-29 用于使用快速突发模式以改善处理器性能的方法和装置
CN2009102091339A Expired - Lifetime CN101673238B (zh) 2001-08-29 2002-08-29 程序跟踪器以及程序跟踪方法

Family Applications After (4)

Application Number Title Priority Date Filing Date
CN02816976XA Expired - Lifetime CN1549961B (zh) 2001-08-29 2002-08-29 动态电压控制方法与设备
CNB028169697A Expired - Lifetime CN100451914C (zh) 2001-08-29 2002-08-29 锁相环迅速加电方法和装置
CNB028169700A Expired - Lifetime CN100517215C (zh) 2001-08-29 2002-08-29 用于无线系统中定时及事件处理的方法和装置
CNB028167791A Expired - Lifetime CN1299201C (zh) 2001-08-29 2002-08-29 通过响应高速缓存缺失而切换任务来提高基于高速缓存的嵌入式处理器的处理能力的方法和装置

Country Status (7)

Country Link
US (10) US7159134B2 (zh)
EP (9) EP1421588B1 (zh)
JP (10) JP2005502114A (zh)
CN (9) CN100570577C (zh)
AU (2) AU2002331774A1 (zh)
DE (6) DE60211921T2 (zh)
WO (8) WO2003021409A2 (zh)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1421588B1 (en) * 2001-08-29 2012-01-18 MediaTek Inc. Method and apparatus utilizing flash burst mode to improve processor performance
GB0123421D0 (en) * 2001-09-28 2001-11-21 Memquest Ltd Power management system
US7502817B2 (en) * 2001-10-26 2009-03-10 Qualcomm Incorporated Method and apparatus for partitioning memory in a telecommunication device
US6944780B1 (en) * 2002-01-19 2005-09-13 National Semiconductor Corporation Adaptive voltage scaling clock generator for use in a digital processing component and method of operating the same
EP1351117A1 (en) * 2002-04-03 2003-10-08 Hewlett-Packard Company Data processing system and method
US7180322B1 (en) 2002-04-16 2007-02-20 Transmeta Corporation Closed loop feedback control of integrated circuits
US7941675B2 (en) * 2002-12-31 2011-05-10 Burr James B Adaptive power control
US7133972B2 (en) 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
US7117316B2 (en) 2002-08-05 2006-10-03 Micron Technology, Inc. Memory hub and access method having internal row caching
US7149874B2 (en) * 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US7836252B2 (en) 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US7395447B2 (en) * 2002-09-16 2008-07-01 Silicon Labs Cp, Inc. Precision oscillator for an asynchronous transmission system
US7774627B2 (en) * 2002-10-03 2010-08-10 Via Technologies, Inc. Microprocessor capable of dynamically increasing its performance in response to varying operating temperature
US7698583B2 (en) * 2002-10-03 2010-04-13 Via Technologies, Inc. Microprocessor capable of dynamically reducing its power consumption in response to varying operating temperature
US7290156B2 (en) * 2003-12-17 2007-10-30 Via Technologies, Inc. Frequency-voltage mechanism for microprocessor power management
US7770042B2 (en) * 2002-10-03 2010-08-03 Via Technologies, Inc. Microprocessor with improved performance during P-state transitions
US7814350B2 (en) * 2002-10-03 2010-10-12 Via Technologies, Inc. Microprocessor with improved thermal monitoring and protection mechanism
US7882369B1 (en) 2002-11-14 2011-02-01 Nvidia Corporation Processor performance adjustment system and method
US7886164B1 (en) 2002-11-14 2011-02-08 Nvidia Corporation Processor temperature adjustment system and method
US7849332B1 (en) * 2002-11-14 2010-12-07 Nvidia Corporation Processor voltage adjustment system and method
US7080268B2 (en) * 2002-12-03 2006-07-18 Intel Corporation Method and apparatus for regulating power to electronic circuits
US7065663B2 (en) * 2002-12-19 2006-06-20 Intel Corporation Methods and apparatus to control power state transitions
US7146822B2 (en) 2002-12-30 2006-12-12 Intel Corporation Centrifugal liquid pump with perimeter magnetic drive
US7444524B2 (en) 2002-12-30 2008-10-28 Intel Corporation Dynamic voltage transitions
US7228242B2 (en) 2002-12-31 2007-06-05 Transmeta Corporation Adaptive power control based on pre package characterization of integrated circuits
US7811231B2 (en) 2002-12-31 2010-10-12 Abbott Diabetes Care Inc. Continuous glucose monitoring system and methods of use
US8771183B2 (en) 2004-02-17 2014-07-08 Abbott Diabetes Care Inc. Method and system for providing data communication in continuous glucose monitoring and management system
US7953990B2 (en) * 2002-12-31 2011-05-31 Stewart Thomas E Adaptive power control based on post package characterization of integrated circuits
CN1759368A (zh) * 2003-01-23 2006-04-12 罗切斯特大学 多时钟域微处理器
US7206959B1 (en) * 2003-01-24 2007-04-17 National Semiconductor Corporation Closed-loop, supply-adjusted ROM memory circuit
US7069461B1 (en) * 2003-01-24 2006-06-27 National Semiconductor Corporation Closed-loop, supply-adjusted RAM memory circuit
US7587287B2 (en) 2003-04-04 2009-09-08 Abbott Diabetes Care Inc. Method and system for transferring analyte test data
EP1467582B1 (en) * 2003-04-11 2008-03-05 Telefonaktiebolaget LM Ericsson (publ) Method for synchronization in a mobile radio terminal
CN100416573C (zh) * 2003-05-07 2008-09-03 睦塞德技术公司 利用电源岛管理集成电路上的功率
JP4033066B2 (ja) 2003-05-07 2008-01-16 ソニー株式会社 周波数制御装置、情報処理装置、周波数制御方法及びプログラム
JP2004348662A (ja) * 2003-05-26 2004-12-09 Toshiba Corp 電子機器、電源制御装置および電源制御方法
US7375553B1 (en) * 2003-05-28 2008-05-20 Actel Corporation Clock tree network in a field programmable gate array
US8066639B2 (en) 2003-06-10 2011-11-29 Abbott Diabetes Care Inc. Glucose measuring device for use in personal area network
US7245145B2 (en) 2003-06-11 2007-07-17 Micron Technology, Inc. Memory module and method having improved signal routing topology
US6822481B1 (en) * 2003-06-12 2004-11-23 Agilent Technologies, Inc. Method and apparatus for clock gating clock trees to reduce power dissipation
US7120727B2 (en) 2003-06-19 2006-10-10 Micron Technology, Inc. Reconfigurable memory module and method
US7260685B2 (en) 2003-06-20 2007-08-21 Micron Technology, Inc. Memory hub and access method having internal prefetch buffers
US7836222B2 (en) * 2003-06-26 2010-11-16 International Business Machines Corporation System and method for tracking messages between a processing unit and an external device
KR100540483B1 (ko) * 2003-06-30 2006-01-11 주식회사 하이닉스반도체 데이터 억세스 위치에 관계없이 연속적인 버스트 모드로 데이터를 억세스할 수 있는 반도체 메모리 장치 및 그의 구동방법
US7389364B2 (en) 2003-07-22 2008-06-17 Micron Technology, Inc. Apparatus and method for direct memory access in a hub-based memory system
US7210059B2 (en) * 2003-08-19 2007-04-24 Micron Technology, Inc. System and method for on-board diagnostics of memory modules
US7133991B2 (en) * 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7196562B1 (en) * 2003-08-26 2007-03-27 Integrated Device Technology, Inc. Programmable clock drivers that support CRC error checking of configuration data during program restore operations
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7287245B2 (en) * 2003-09-17 2007-10-23 Faraday Technology Corp. Method for real-time instruction information tracing
US7194593B2 (en) 2003-09-18 2007-03-20 Micron Technology, Inc. Memory hub with integrated non-volatile memory
US7225303B2 (en) * 2003-09-22 2007-05-29 Micron Technology, Inc. Method and apparatus for accessing a dynamic memory device by providing at least one of burst and latency information over at least one of redundant row and column address lines
JP4837247B2 (ja) * 2003-09-24 2011-12-14 パナソニック株式会社 プロセッサ
US7085943B2 (en) * 2003-09-26 2006-08-01 Freescale Semiconductor, Inc. Method and circuitry for controlling supply voltage in a data processing system
US20050081075A1 (en) * 2003-10-14 2005-04-14 Andrej Kocev Computer system, carrier medium and method for adjusting an expiration period
US7120743B2 (en) 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
TWI254882B (en) * 2003-11-07 2006-05-11 Via Tech Inc Rate multiplication method and rate multiplier
GB2408357A (en) * 2003-11-18 2005-05-25 Motorola Inc Regulating a voltage supply to a semiconductor device
US7631307B2 (en) 2003-12-05 2009-12-08 Intel Corporation User-programmable low-overhead multithreading
US7692477B1 (en) 2003-12-23 2010-04-06 Tien-Min Chen Precise control component for a substrate potential regulation circuit
US7129771B1 (en) 2003-12-23 2006-10-31 Transmeta Corporation Servo loop for well bias voltage source
US7649402B1 (en) 2003-12-23 2010-01-19 Tien-Min Chen Feedback-controlled body-bias voltage source
US7012461B1 (en) 2003-12-23 2006-03-14 Transmeta Corporation Stabilization component for a substrate potential regulation circuit
KR101136036B1 (ko) * 2003-12-24 2012-04-18 삼성전자주식회사 유휴 모드에서의 전력 소모가 감소된 프로세서 시스템 및그 방법
US7330992B2 (en) 2003-12-29 2008-02-12 Micron Technology, Inc. System and method for read synchronization of memory modules
US7188219B2 (en) 2004-01-30 2007-03-06 Micron Technology, Inc. Buffer control system and method for a memory system having outstanding read and write request buffers
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US7412574B2 (en) * 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
CN100361040C (zh) * 2004-02-24 2008-01-09 中国科学院计算技术研究所 一种soc架构下的处理器核动态变频装置和方法
US7479753B1 (en) 2004-02-24 2009-01-20 Nvidia Corporation Fan speed controller
US7240170B2 (en) * 2004-02-25 2007-07-03 Analog Devices, Inc. High/low priority memory
US7366864B2 (en) 2004-03-08 2008-04-29 Micron Technology, Inc. Memory hub architecture having programmable lane widths
US7313707B2 (en) * 2004-03-09 2007-12-25 Hewlett-Packard Development Company, L.P. Systems and methods for configuring ports
US7613911B2 (en) * 2004-03-12 2009-11-03 Arm Limited Prefetching exception vectors by early lookup exception vectors within a cache memory
FI20040418A (fi) * 2004-03-18 2005-09-19 Nokia Corp Digitaalijärjestelmän kellokontrolli
US7769950B2 (en) * 2004-03-24 2010-08-03 Qualcomm Incorporated Cached memory system and cache controller for embedded digital signal processor
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7120723B2 (en) 2004-03-25 2006-10-10 Micron Technology, Inc. System and method for memory hub-based expansion bus
US6980042B2 (en) 2004-04-05 2005-12-27 Micron Technology, Inc. Delay line synchronizer apparatus and method
US7590797B2 (en) 2004-04-08 2009-09-15 Micron Technology, Inc. System and method for optimizing interconnections of components in a multichip memory module
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7310748B2 (en) 2004-06-04 2007-12-18 Micron Technology, Inc. Memory hub tester interface and method for use thereof
US7519788B2 (en) 2004-06-04 2009-04-14 Micron Technology, Inc. System and method for an asynchronous data buffer having buffer write and read pointers
US7971191B2 (en) * 2004-06-10 2011-06-28 Hewlett-Packard Development Company, L.P. System and method for analyzing a process
US7042260B2 (en) * 2004-06-14 2006-05-09 Micron Technology, Inc. Low power and low timing jitter phase-lock loop and method
CN101006397A (zh) * 2004-06-15 2007-07-25 皇家飞利浦电子股份有限公司 用于性能参数的二进制控制的控制方案
EP1607835A1 (en) * 2004-06-15 2005-12-21 Koninklijke Philips Electronics N.V. Closed-loop control for performance tuning
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7401241B2 (en) * 2004-06-22 2008-07-15 Intel Corporation Controlling standby power of low power devices
US7774625B1 (en) * 2004-06-22 2010-08-10 Eric Chien-Li Sheng Adaptive voltage control by accessing information stored within and specific to a microprocessor
KR100598011B1 (ko) * 2004-06-29 2006-07-06 삼성전자주식회사 클럭 사용 회로 및 클럭 신호 발생 방법
US20050008095A1 (en) * 2004-07-23 2005-01-13 Rush Frederick A. Apparatus using interrupts for controlling a processor for radio isolation and associated methods
US8472990B2 (en) * 2004-07-23 2013-06-25 St Ericsson Sa Apparatus using interrupts for controlling a processor for radio isolation and associated method
US7312487B2 (en) * 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
US7681065B2 (en) * 2004-08-16 2010-03-16 Broadcom Corporation Method and system for a message processor switch for performing incremental redundancy in edge compliant terminals
US7308590B2 (en) 2004-10-15 2007-12-11 Intel Corporation Automatic dynamic processor operating voltage control
US7434073B2 (en) * 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US7456829B2 (en) * 2004-12-03 2008-11-25 Hewlett-Packard Development Company, L.P. Methods and systems to control electronic display brightness
CN1319274C (zh) * 2004-12-10 2007-05-30 展讯通信(上海)有限公司 射频接收系统锁定时间及锁定后频率误差的评估方法
US7379718B2 (en) 2004-12-20 2008-05-27 Marvell World Trade Ltd. Method and apparatus to manage power consumption of a semiconductor device
US7337335B2 (en) * 2004-12-21 2008-02-26 Packet Digital Method and apparatus for on-demand power management
US7228446B2 (en) * 2004-12-21 2007-06-05 Packet Digital Method and apparatus for on-demand power management
FR2882449A1 (fr) * 2005-01-21 2006-08-25 Meiosys Soc Par Actions Simpli Procede non intrusif de rejeu d'evenements internes au sein d'un processus applicatif, et systeme mettant en oeuvre ce procede
FR2881246B1 (fr) * 2005-01-21 2007-03-23 Meiosys Soc Par Actions Simpli Procede perdictif de gestion, de journalisation ou de rejeu d'operations non deterministes au sein du deroulement d'un processus applicatif
US7409520B2 (en) * 2005-01-25 2008-08-05 International Business Machines Corporation Systems and methods for time division multiplex multithreading
JP2006236241A (ja) * 2005-02-28 2006-09-07 Toshiba Corp 周辺装置
US20060215567A1 (en) * 2005-03-25 2006-09-28 Arun Raghunath Method and apparatus for monitoring path statistics
US7529911B1 (en) * 2005-05-26 2009-05-05 Sun Microsystems, Inc. Hardware-based technique for improving the effectiveness of prefetching during scout mode
CN1881798B (zh) * 2005-06-16 2011-08-31 旺玖科技股份有限公司 有理数倍频电路与产生有理数倍频的方法
US8745627B2 (en) * 2005-06-27 2014-06-03 Qualcomm Incorporated System and method of controlling power in a multi-threaded processor
US20070008011A1 (en) * 2005-06-29 2007-01-11 Paulette Thurston Distributed power and clock management in a computerized system
CN101223490A (zh) * 2005-07-14 2008-07-16 Nxp股份有限公司 使用历史负载简档来动态调整手持多媒体设备处理器内核的工作频率及可用功率
US7953960B2 (en) * 2005-10-18 2011-05-31 International Business Machines Corporation Method and apparatus for delaying a load miss flush until issuing the dependent instruction
CN101297255B (zh) * 2005-10-26 2011-11-02 英特尔公司 可检测变化的集群体系结构
US7766829B2 (en) * 2005-11-04 2010-08-03 Abbott Diabetes Care Inc. Method and system for providing basal profile modification in analyte monitoring and management systems
US8253748B1 (en) 2005-11-29 2012-08-28 Nvidia Corporation Shader performance registers
US7809928B1 (en) * 2005-11-29 2010-10-05 Nvidia Corporation Generating event signals for performance register control using non-operative instructions
TW200805047A (en) * 2005-12-23 2008-01-16 Koninkl Philips Electronics Nv Performance analysis based system level power management
CN100346306C (zh) * 2006-01-06 2007-10-31 浙江大学 基于动态调频技术的节能编译方法
US7499724B2 (en) * 2006-01-30 2009-03-03 Harris Corporation Event sequencer used for controlling the sequence and timing of events in software defined radio
JP2007233718A (ja) * 2006-03-01 2007-09-13 Canon Inc 制御装置及び半導体集積回路
US20070214374A1 (en) * 2006-03-13 2007-09-13 Mark Hempstead Ultra low power system for sensor network applications
US8226891B2 (en) 2006-03-31 2012-07-24 Abbott Diabetes Care Inc. Analyte monitoring devices and methods therefor
US7620438B2 (en) 2006-03-31 2009-11-17 Abbott Diabetes Care Inc. Method and system for powering an electronic device
US7617409B2 (en) * 2006-05-01 2009-11-10 Arm Limited System for checking clock-signal correspondence
US7502913B2 (en) 2006-06-16 2009-03-10 Microsoft Corporation Switch prefetch in a multicore computer chip
DE502006006706D1 (de) * 2006-06-20 2010-05-27 Siemens Ag Verfahren zur Überwachung eines zyklischen Steuerungsprogramms
US7814339B2 (en) * 2006-06-30 2010-10-12 Intel Corporation Leakage power estimation
US7672393B2 (en) * 2006-08-02 2010-03-02 Richtek Technology Corporation Single-wire asynchronous serial interface
EP1895426A1 (en) * 2006-08-25 2008-03-05 Ali Corporation Transmitter and transmitting system utilizing the same
JP4808108B2 (ja) 2006-08-29 2011-11-02 パナソニック株式会社 プロセッサシステム
US8200807B2 (en) * 2006-08-31 2012-06-12 The Mathworks, Inc. Non-blocking local events in a state-diagramming environment
US7958291B2 (en) * 2006-10-10 2011-06-07 Atmel Rousset S.A.S. Supplemental communication interface
US7840825B2 (en) * 2006-10-24 2010-11-23 International Business Machines Corporation Method for autonomous dynamic voltage and frequency scaling of microprocessors
EP1919103B8 (en) * 2006-11-02 2016-11-30 Google Technology Holdings LLC Method and apparatus for automatic frequency correction in a multimode device
WO2008056293A2 (en) * 2006-11-08 2008-05-15 Nxp B.V. Fast adaptive voltage scaling
TWI335531B (en) * 2006-12-13 2011-01-01 Inst Information Industry Apparatus, method, application program, and computer readable medium thereof for generating and utilizing a feature code to monitor a program
US7840849B2 (en) * 2006-12-21 2010-11-23 Novell, Inc. Methods and apparatus for debugging software including divisions of an execution history of a debuggee program
US7917784B2 (en) * 2007-01-07 2011-03-29 Apple Inc. Methods and systems for power management in a data processing system
US8667198B2 (en) * 2007-01-07 2014-03-04 Apple Inc. Methods and systems for time keeping in a data processing system
US7949801B2 (en) * 2007-01-31 2011-05-24 Pitney Bowes Inc. Main processor initiating command timing signal via DMA to coprocessor in order to synchronize execution of instructions
US20080199894A1 (en) 2007-02-15 2008-08-21 Abbott Diabetes Care, Inc. Device and method for automatic data acquisition and/or detection
US8123686B2 (en) 2007-03-01 2012-02-28 Abbott Diabetes Care Inc. Method and apparatus for providing rolling data in communication systems
US7937076B2 (en) * 2007-03-07 2011-05-03 Harris Corporation Software defined radio for loading waveform components at runtime in a software communications architecture (SCA) framework
JP4950716B2 (ja) * 2007-03-22 2012-06-13 株式会社日立ハイテクノロジーズ 画像処理システム、及び走査型電子顕微鏡装置
US7900069B2 (en) * 2007-03-29 2011-03-01 Intel Corporation Dynamic power reduction
US7743279B2 (en) 2007-04-06 2010-06-22 Apple Inc. Program counter (PC) trace
US7917799B2 (en) * 2007-04-12 2011-03-29 International Business Machines Corporation Method and system for digital frequency clocking in processor cores
US8161314B2 (en) * 2007-04-12 2012-04-17 International Business Machines Corporation Method and system for analog frequency clocking in processor cores
DE102007019543A1 (de) 2007-04-25 2008-10-30 Rohde & Schwarz Gmbh & Co. Kg Messgerät mit serieller digitaler Schnittstelle
US9134782B2 (en) 2007-05-07 2015-09-15 Nvidia Corporation Maintaining optimum voltage supply to match performance of an integrated circuit
US8456301B2 (en) 2007-05-08 2013-06-04 Abbott Diabetes Care Inc. Analyte monitoring system and methods
US7928850B2 (en) 2007-05-08 2011-04-19 Abbott Diabetes Care Inc. Analyte monitoring system and methods
US8461985B2 (en) 2007-05-08 2013-06-11 Abbott Diabetes Care Inc. Analyte monitoring system and methods
US8665091B2 (en) 2007-05-08 2014-03-04 Abbott Diabetes Care Inc. Method and device for determining elapsed sensor life
US20080281171A1 (en) * 2007-05-08 2008-11-13 Abbott Diabetes Care, Inc. Analyte monitoring system and methods
US7845568B2 (en) * 2007-05-09 2010-12-07 Atmel Rousset S.A.S. Managing power and timing in a smart card device
JP5209046B2 (ja) * 2007-05-23 2013-06-12 アギア システムズ インコーポレーテッド 単一及び複数の無線技術通信システムにおいて移動体デバイスの時間節約型セル検索のためのシステム及び方法
US8645740B2 (en) * 2007-06-08 2014-02-04 Apple Inc. Methods and systems to dynamically manage performance states in a data processing system
US9313067B2 (en) * 2007-08-14 2016-04-12 Qualcomm Incorporated Multi-bandwidth communication system using a shared baseband processor
US7711864B2 (en) 2007-08-31 2010-05-04 Apple Inc. Methods and systems to dynamically manage performance states in a data processing system
US7921312B1 (en) 2007-09-14 2011-04-05 National Semiconductor Corporation System and method for providing adaptive voltage scaling with multiple clock domains inside a single voltage domain
TWI402647B (zh) * 2007-09-14 2013-07-21 Asustek Comp Inc 可動態調整電壓及有效節能之電壓控制裝置、方法及電腦裝置
GB2453174B (en) * 2007-09-28 2011-12-07 Advanced Risc Mach Ltd Techniques for generating a trace stream for a data processing apparatus
US7945804B2 (en) * 2007-10-17 2011-05-17 International Business Machines Corporation Methods and systems for digitally controlled multi-frequency clocking of multi-core processors
US9354890B1 (en) 2007-10-23 2016-05-31 Marvell International Ltd. Call stack structure for enabling execution of code outside of a subroutine and between call stack frames
US20090108817A1 (en) * 2007-10-30 2009-04-30 Topower Computer Industrial Co., Ltd. Method for actuation by boosting power source voltage
CN101436167B (zh) * 2007-11-16 2011-03-23 宏达国际电子股份有限公司 解译串行传输信号的方法
US8578193B2 (en) * 2007-11-28 2013-11-05 International Business Machines Corporation Apparatus, method and program product for adaptive real-time power and perfomance optimization of multi-core processors
KR20090059602A (ko) * 2007-12-07 2009-06-11 한국전자통신연구원 세션 메모리 버스를 구비한 암호화 장치
GB0724337D0 (en) * 2007-12-13 2008-01-23 Icera Inc Radio access technology
US8589706B2 (en) 2007-12-26 2013-11-19 Intel Corporation Data inversion based approaches for reducing memory power consumption
US20090182802A1 (en) * 2008-01-10 2009-07-16 Microsoft Corporation Mobile device management scheduling
US8166145B2 (en) * 2008-01-10 2012-04-24 Microsoft Corporation Managing event-based conditional recurrent schedules
US8230436B2 (en) * 2008-01-10 2012-07-24 Microsoft Corporation Aggregating recurrent schedules to optimize resource consumption
US7841436B2 (en) 2008-01-21 2010-11-30 Amigo Mobility International Personal mobility vehicle
US8370663B2 (en) 2008-02-11 2013-02-05 Nvidia Corporation Power management with dynamic frequency adjustments
US8595538B2 (en) * 2008-03-03 2013-11-26 Quintic Holdings Single-clock-based multiple-clock frequency generator
US8312299B2 (en) 2008-03-28 2012-11-13 Packet Digital Method and apparatus for dynamic power management control using serial bus management protocols
EP2472727B1 (en) * 2008-03-31 2013-07-24 Telefonaktiebolaget L M Ericsson (publ) Digital baseband circuit
US7826382B2 (en) 2008-05-30 2010-11-02 Abbott Diabetes Care Inc. Close proximity communication device and methods
US8112475B2 (en) * 2008-06-27 2012-02-07 Microsoft Corporation Managing data delivery based on device state
US8090826B2 (en) * 2008-06-27 2012-01-03 Microsoft Corporation Scheduling data delivery to manage device resources
US8904083B2 (en) * 2008-07-30 2014-12-02 Infineon Technologies Ag Method and apparatus for storing data in solid state memory
JP2010072897A (ja) * 2008-09-18 2010-04-02 Nec Electronics Corp クロック供給装置
US8122270B2 (en) * 2008-09-29 2012-02-21 Intel Corporation Voltage stabilization for clock signal frequency locking
US8127160B2 (en) 2008-10-13 2012-02-28 International Business Machines Corporation Dynamic frequency and voltage scaling for a computer processor
JP2010097277A (ja) * 2008-10-14 2010-04-30 Toshiba Corp 情報処理装置
US20100094572A1 (en) * 2008-10-15 2010-04-15 International Business Machines Corporation Dynamic Frequency And Voltage Scaling For A Computer Processor
JP5509579B2 (ja) * 2008-11-21 2014-06-04 セイコーエプソン株式会社 映像出力装置および映像出力方法ならびにプロジェクタ
JP5330409B2 (ja) 2008-11-28 2013-10-30 パナソニック株式会社 メモリ制御装置、データプロセッサ及びデータ読み出し方法
TWI363498B (en) * 2008-12-03 2012-05-01 Ind Tech Res Inst A tri-mode delay type phase lock loop
JP5816407B2 (ja) * 2009-02-27 2015-11-18 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US8285917B2 (en) * 2009-03-26 2012-10-09 Scaleo Chip Apparatus for enhancing flash memory access
US9226701B2 (en) 2009-04-28 2016-01-05 Abbott Diabetes Care Inc. Error detection in critical repeating data in a wireless sensor system
EP2424426B1 (en) * 2009-04-29 2020-01-08 Abbott Diabetes Care, Inc. Method and system for providing data communication in continuous glucose monitoring and management system
US9184490B2 (en) 2009-05-29 2015-11-10 Abbott Diabetes Care Inc. Medical device antenna systems having external antenna configurations
US9314195B2 (en) 2009-08-31 2016-04-19 Abbott Diabetes Care Inc. Analyte signal processing device and methods
WO2011026148A1 (en) 2009-08-31 2011-03-03 Abbott Diabetes Care Inc. Analyte monitoring system and methods for managing power and noise
EP2290542B1 (en) * 2009-09-01 2013-03-27 Research In Motion Limited System and method for sequencing radio items for a multi downlink multi carrier receiver
US8213974B2 (en) * 2009-09-01 2012-07-03 Research In Motion Limited System and method for sequencing radio items for a multi downlink multi carrier receiver
JP2011118469A (ja) 2009-11-30 2011-06-16 Toshiba Corp メモリ管理装置およびメモリ管理方法
JP2011139370A (ja) * 2009-12-28 2011-07-14 Canon Inc 電子機器とその制御方法
US9256265B2 (en) 2009-12-30 2016-02-09 Nvidia Corporation Method and system for artificially and dynamically limiting the framerate of a graphics processing unit
US9830889B2 (en) 2009-12-31 2017-11-28 Nvidia Corporation Methods and system for artifically and dynamically limiting the display resolution of an application
US9582443B1 (en) * 2010-02-12 2017-02-28 Marvell International Ltd. Serial control channel processor for executing time-based instructions
US9000804B2 (en) 2010-03-03 2015-04-07 Freescale Semiconductor, Inc. Integrated circuit device comprising clock gating circuitry, electronic device and method for dynamically configuring clock gating
US8423802B2 (en) * 2010-04-07 2013-04-16 Andes Technology Corporation Power scaling module and power scaling unit of an electronic system having a function unit in a standby state which is insensitive to change in frequency or voltage during synchronization
US8839006B2 (en) 2010-05-28 2014-09-16 Nvidia Corporation Power consumption reduction systems and methods
US8766666B2 (en) 2010-06-10 2014-07-01 Micron Technology, Inc. Programmable device, hierarchical parallel machines, and methods for providing state information
CN101860353B (zh) * 2010-06-17 2012-02-29 广州市广晟微电子有限公司 数模混合芯片中的时钟电路控制装置及方法
US8667308B2 (en) 2010-06-18 2014-03-04 Apple Inc. Dynamic voltage dithering
WO2012004863A1 (ja) * 2010-07-07 2012-01-12 ルネサスエレクトロニクス株式会社 データ処理装置およびデータ処理システム
US20120017035A1 (en) * 2010-07-16 2012-01-19 Plx Technology, Inc. Runtime reprogramming of a processor code space memory area
US9996102B2 (en) 2010-07-20 2018-06-12 Nxp Usa, Inc. Clock circuit and method for providing an electronic device with an adjustable clock signal
JP2012033001A (ja) 2010-07-30 2012-02-16 Toshiba Corp 情報処理装置および情報処理方法
US20120042212A1 (en) * 2010-08-10 2012-02-16 Gilbert Laurenti Mixed Mode Processor Tracing
JP5598161B2 (ja) * 2010-08-26 2014-10-01 ヤマハ株式会社 クロック発生回路
US8732495B2 (en) 2010-08-31 2014-05-20 Integrated Device Technology, Inc. Systems, apparatuses and methods for dynamic voltage and frequency control of components used in a computer system
WO2012030329A1 (en) * 2010-08-31 2012-03-08 Integrated Device Technology, Inc. Systems, apparatuses and methods for dynamic voltage and frequency control of components used in a computer system
US20120072632A1 (en) * 2010-09-17 2012-03-22 Paul Kimelman Deterministic and non-Deterministic Execution in One Processor
JP5581960B2 (ja) * 2010-10-14 2014-09-03 凸版印刷株式会社 半導体装置
CN102457270B (zh) * 2010-10-29 2013-09-04 扬智科技股份有限公司 低增益压控振荡器的控制方法
GB2499151B (en) * 2010-11-09 2016-04-20 Ibm Energy capture of time-varying energy sources by varying computation workload
CN103404031B (zh) * 2010-12-01 2016-01-20 爱立信(中国)通信有限公司 锁相环控制电压确定
DE102011122074A1 (de) 2010-12-20 2012-06-21 Dmos Gmbh Bussystem zur Kommunikation und Stromversorgung von Teilnehmerschaltungen und Verfahren zur Reduzierung des Energieverbrauchs in Baugruppen von Bussystemen
CN102082506B (zh) * 2010-12-22 2012-12-12 复旦大学 适用于开关电源转换器的时钟频率选择电路
CN102611148A (zh) * 2011-01-24 2012-07-25 祥硕科技股份有限公司 配置充电端口的方法与控制器
TW201232239A (en) * 2011-01-24 2012-08-01 Asmedia Technology Inc Method and controller allocating charging ports
JP5284401B2 (ja) 2011-03-24 2013-09-11 株式会社東芝 動作切替装置およびプログラム
US8856571B2 (en) 2011-04-05 2014-10-07 Apple Inc. Adjusting device performance over multiple time domains
US8572421B2 (en) 2011-04-05 2013-10-29 Apple Inc. Adjusting device performance based on processing profiles
US9588881B2 (en) 2011-05-16 2017-03-07 Cypress Semiconductor Corporation Stack processor using a ferroelectric random access memory (F-RAM) for code space and a portion of the stack memory space having an instruction set optimized to minimize processor stack accesses
US9910823B2 (en) 2011-05-16 2018-03-06 Cypress Semiconductor Corporation Stack processor using a ferroelectric random access memory (F-RAM) having an instruction set optimized to minimize memory fetch
US8934279B2 (en) * 2011-05-16 2015-01-13 Cypress Semiconductor Corporation Stack processor using a ferroelectric random access memory (F-RAM) for code space and a portion of the stack memory space
US9135082B1 (en) * 2011-05-20 2015-09-15 Google Inc. Techniques and systems for data race detection
CN102170499B (zh) * 2011-05-24 2013-10-02 惠州Tcl移动通信有限公司 一种移动终端及其电源控制系统
US8884920B1 (en) 2011-05-25 2014-11-11 Marvell International Ltd. Programmatic sensing of capacitive sensors
US8855969B2 (en) 2011-06-27 2014-10-07 International Business Machines Corporation Frequency guard band validation of processors
US9098694B1 (en) 2011-07-06 2015-08-04 Marvell International Ltd. Clone-resistant logic
US9081517B2 (en) * 2011-08-31 2015-07-14 Apple Inc. Hardware-based automatic clock gating
US9069553B2 (en) 2011-09-06 2015-06-30 Marvell World Trade Ltd. Switching tasks between heterogeneous cores
GB2495959A (en) * 2011-10-26 2013-05-01 Imagination Tech Ltd Multi-threaded memory access processor
US9980669B2 (en) 2011-11-07 2018-05-29 Abbott Diabetes Care Inc. Analyte monitoring device and methods
JP2013106166A (ja) * 2011-11-14 2013-05-30 Sony Corp クロックゲーティング回路およびバスシステム
CN104011693B (zh) * 2011-12-21 2017-09-12 英特尔公司 设置基于i/o带宽的处理器频率层的装置和方法
CN102594344B (zh) * 2012-01-09 2015-03-18 青岛海信移动通信技术股份有限公司 一种集中式时钟装置和移动终端设备
TWI497304B (zh) * 2012-03-13 2015-08-21 Novatek Microelectronics Corp 序列介面傳送方法及其裝置
CN103324588B (zh) * 2012-03-22 2016-05-04 联咏科技股份有限公司 序列接口传送方法及其装置
US8943352B1 (en) 2012-05-07 2015-01-27 Dust Networks, Inc. Low power timing, configuring, and scheduling
JP6103825B2 (ja) * 2012-06-07 2017-03-29 キヤノン株式会社 半導体集積回路、情報処理装置
US9317460B2 (en) * 2012-06-15 2016-04-19 International Business Machines Corporation Program event recording within a transactional environment
CN103513698B (zh) * 2012-06-29 2017-03-01 联想(北京)有限公司 一种时钟信号校准方法、装置及电子设备
US8710879B2 (en) * 2012-07-06 2014-04-29 Silicon Integrated System Corp. Apparatus and method for multiplying frequency of a clock signal
US9968306B2 (en) 2012-09-17 2018-05-15 Abbott Diabetes Care Inc. Methods and apparatuses for providing adverse condition notification with enhanced wireless communication range in analyte monitoring systems
US9129072B2 (en) * 2012-10-15 2015-09-08 Qualcomm Incorporated Virtual GPIO
US9530398B2 (en) 2012-12-06 2016-12-27 White Eagle Sonic Technologies, Inc. Method for adaptively scheduling ultrasound system actions
US9983905B2 (en) 2012-12-06 2018-05-29 White Eagle Sonic Technologies, Inc. Apparatus and system for real-time execution of ultrasound system actions
US10499884B2 (en) 2012-12-06 2019-12-10 White Eagle Sonic Technologies, Inc. System and method for scanning for a second object within a first object using an adaptive scheduler
US9529080B2 (en) 2012-12-06 2016-12-27 White Eagle Sonic Technologies, Inc. System and apparatus having an application programming interface for flexible control of execution ultrasound actions
US10076313B2 (en) 2012-12-06 2018-09-18 White Eagle Sonic Technologies, Inc. System and method for automatically adjusting beams to scan an object in a body
DE102013001143A1 (de) * 2013-01-23 2014-07-24 Giesecke & Devrient Gmbh Verfahren zur Ausvührung eines Programms über einen Mikroprozessor auf einem Sicherheitsmodul
JP5892083B2 (ja) * 2013-02-12 2016-03-23 日本電気株式会社 パラメータ設定装置、パラメータ設定プログラム及びパラメータ設定方法
US9823719B2 (en) 2013-05-31 2017-11-21 Intel Corporation Controlling power delivery to a processor via a bypass
US9256276B2 (en) * 2013-09-27 2016-02-09 Intel Corporation Utilization of processor capacity at low operating frequencies
EP4254209A3 (en) * 2013-10-30 2023-11-22 INTEL Corporation A method, apparatus and system for measuring latency in a physical unit of a circuit
US9814106B2 (en) * 2013-10-30 2017-11-07 Apple Inc. Backlight driver chip incorporating a phase lock loop (PLL) with programmable offset/delay and seamless operation
TWI497314B (zh) * 2013-11-06 2015-08-21 Univ Nat Chiao Tung 適應性計算之無線處理器
JP6381899B2 (ja) * 2013-12-05 2018-08-29 ルネサスエレクトロニクス株式会社 半導体装置の設計方法、設計支援プログラム、設計装置、及び、半導体装置
US10200951B2 (en) * 2014-02-20 2019-02-05 Qualcomm Incorporated Low power low latency protocol for data exchange
KR102320399B1 (ko) * 2014-08-26 2021-11-03 삼성전자주식회사 전원 관리 칩, 그것을 포함하는 모바일 장치 및 그것의 클록 조절 방법
US9841795B2 (en) 2014-09-22 2017-12-12 Nxp Usa, Inc. Method for resetting an electronic device having independent device domains
KR102271469B1 (ko) 2014-10-24 2021-06-30 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 시스템
CN104699578B (zh) * 2015-01-09 2017-12-26 同济大学 一种以内升温方式检测时延故障的定温指令级自测试方法
US9450582B2 (en) 2015-02-03 2016-09-20 Freescale Semiconductor, Inc. Programmable buffer system
US9696782B2 (en) 2015-02-09 2017-07-04 Microsoft Technology Licensing, Llc Battery parameter-based power management for suppressing power spikes
US10158148B2 (en) 2015-02-18 2018-12-18 Microsoft Technology Licensing, Llc Dynamically changing internal state of a battery
US9748765B2 (en) 2015-02-26 2017-08-29 Microsoft Technology Licensing, Llc Load allocation for multi-battery devices
JP6466740B2 (ja) * 2015-03-02 2019-02-06 株式会社メガチップス クロック生成回路
WO2016164543A1 (en) 2015-04-07 2016-10-13 Analog Devices, Inc. Quality factor estimation for resonators
US10432337B2 (en) * 2015-05-15 2019-10-01 Avago Technologies International Sales Pte. Limited Apparatus and method for timestamping of data packets
CN104881529B (zh) * 2015-05-18 2018-05-29 南京航空航天大学 基于有限状态机的采样保持控制策略的实现方法
US10210919B2 (en) 2015-06-03 2019-02-19 Altera Corporation Integrated circuits with embedded double-clocked components
US9503067B1 (en) * 2015-06-22 2016-11-22 Realtek Semiconductor Corporation Time shifter and method thereof
US9874863B2 (en) * 2015-08-24 2018-01-23 Keysight Technologies, Inc. Finite state machine-based trigger event detection employing interpolation
US9939862B2 (en) 2015-11-13 2018-04-10 Microsoft Technology Licensing, Llc Latency-based energy storage device selection
US10061366B2 (en) 2015-11-17 2018-08-28 Microsoft Technology Licensing, Llc Schedule-based energy storage device selection
US9793570B2 (en) 2015-12-04 2017-10-17 Microsoft Technology Licensing, Llc Shared electrode battery
CN105608027B (zh) * 2015-12-18 2018-10-19 华为技术有限公司 非易失存储设备和访问非易失存储设备的方法
US10303203B2 (en) 2016-01-25 2019-05-28 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor system and method for operating semiconductor device
DE102017110821A1 (de) 2016-01-25 2018-07-26 Samsung Electronics Co., Ltd. Halbleitervorrichtung
US10248155B2 (en) 2016-01-25 2019-04-02 Samsung Electronics Co., Ltd. Semiconductor device including clock generating circuit and channel management circuit
US10296066B2 (en) 2016-01-25 2019-05-21 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor system, and method of operating the semiconductor device
US10209734B2 (en) 2016-01-25 2019-02-19 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor system, and method of operating the semiconductor device
KR102467172B1 (ko) * 2016-01-25 2022-11-14 삼성전자주식회사 반도체 장치
KR102474620B1 (ko) * 2016-01-25 2022-12-05 삼성전자주식회사 반도체 장치, 반도체 시스템 및 반도체 장치의 동작 방법
JP2017191564A (ja) * 2016-04-15 2017-10-19 富士通株式会社 演算処理装置及び演算処理装置の制御方法
US10445099B2 (en) * 2016-04-19 2019-10-15 Xiaolin Wang Reconfigurable microprocessor hardware architecture
KR20170124017A (ko) * 2016-04-29 2017-11-09 삼성전자주식회사 동작 전압을 조절하는 메모리 장치, 메모리 장치를 제어하는 어플리케이션 프로세서 및 메모리 장치의 동작방법
JP6594533B2 (ja) * 2016-05-17 2019-10-23 三菱電機株式会社 コントローラシステム
US10254782B2 (en) * 2016-08-30 2019-04-09 Micron Technology, Inc. Apparatuses for reducing clock path power consumption in low power dynamic random access memory
US10305495B2 (en) * 2016-10-06 2019-05-28 Analog Devices, Inc. Phase control of clock signal based on feedback
US10659063B2 (en) * 2016-11-01 2020-05-19 Nvidia Corporation Adaptive voltage frequency scaling for optimal power efficiency
JP2018120449A (ja) * 2017-01-26 2018-08-02 ソニーセミコンダクタソリューションズ株式会社 演算処理装置および情報処理システム
JP6819327B2 (ja) * 2017-02-03 2021-01-27 富士通株式会社 クロック生成回路、シリアル・パラレル変換回路及び情報処理装置
TW201838392A (zh) * 2017-02-10 2018-10-16 香港商凱歐斯科技(香港)有限公司 功能型手機及其操作方法
US10120819B2 (en) * 2017-03-20 2018-11-06 Nxp Usa, Inc. System and method for cache memory line fill using interrupt indication
KR102435034B1 (ko) * 2017-06-21 2022-08-23 삼성전자주식회사 디지털 위상 고정 루프 및 디지털 위상 고정 루프의 동작 방법
US10809790B2 (en) * 2017-06-30 2020-10-20 Intel Corporation Dynamic voltage-level clock tuning
US10578435B2 (en) 2018-01-12 2020-03-03 Analog Devices, Inc. Quality factor compensation in microelectromechanical system (MEMS) gyroscopes
US11360504B2 (en) * 2018-05-25 2022-06-14 Advanced Micro Devices, Inc. Adaptable voltage margin for a processor
EP3806336A4 (en) 2018-06-05 2021-08-04 Panasonic Intellectual Property Management Co., Ltd. INPUT / OUTPUT CIRCUIT
GB201810478D0 (en) * 2018-06-26 2018-08-08 Nordic Semiconductor Asa Precision timing between systems
US11041722B2 (en) 2018-07-23 2021-06-22 Analog Devices, Inc. Systems and methods for sensing angular motion in the presence of low-frequency noise
CN111435267A (zh) * 2019-01-15 2020-07-21 海信集团有限公司 功耗自动调整的方法、装置、设备及计算机可读存储介质
CN109787625B (zh) * 2019-03-05 2022-04-05 上海芷锐电子科技有限公司 一种基于双pll的系统超频引起的电压毛刺保护系统
IT201900006633A1 (it) * 2019-05-08 2020-11-08 Stmicroelectronics Application Gmbh Sistema di elaborazione, relativo circuito integrato, dispositivo e procedimento
CN110081933B (zh) * 2019-05-10 2021-07-20 上海岚盒信息技术有限公司 振动巡检仪及其低功耗频域变换方法、计算机可读存储介质
CN110413558A (zh) * 2019-07-15 2019-11-05 广芯微电子(广州)股份有限公司 一种实现低功耗串口模块动态分频方法
KR20210062499A (ko) * 2019-11-21 2021-05-31 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 동작 방법
CN112104537B (zh) * 2020-03-28 2022-04-19 上海芯郡电子科技有限公司 一种通信控制器
KR102414817B1 (ko) * 2020-05-25 2022-06-30 윈본드 일렉트로닉스 코포레이션 지연 락 루프 디바이스와 그 동작 방법
US11455264B2 (en) 2020-08-10 2022-09-27 International Business Machines Corporation Minimizing delay while migrating direct memory access (DMA) mapped pages
US11656876B2 (en) * 2020-10-29 2023-05-23 Cadence Design Systems, Inc. Removal of dependent instructions from an execution pipeline
TWI749960B (zh) * 2020-12-23 2021-12-11 瑞昱半導體股份有限公司 資料處理裝置與其資料存取電路
KR102392119B1 (ko) 2021-07-21 2022-04-27 중앙대학교 산학협력단 위상 회전자를 이용한 분수 서브 샘플링 위상 고정 루프
US11853237B2 (en) * 2021-11-19 2023-12-26 Micron Technology, Inc. Input/output sequencer instruction set processing
US20230378962A1 (en) * 2022-05-20 2023-11-23 Apple Inc. Clock Frequency Limiter

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0666529A1 (en) * 1994-02-02 1995-08-09 Advanced Micro Devices, Inc. Power management in an asynchronus receiver/transmitter
WO2001035234A1 (en) * 1999-11-05 2001-05-17 Analog Devices, Inc. Generic serial port architecture and system
WO2002042919A2 (en) * 2000-11-20 2002-05-30 Intersil Americas Inc. Sequencer method of selectively inhibiting clock signals in a re-programmable i/o interface

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3680050A (en) * 1970-07-10 1972-07-25 Gen Electric Serial digital pulse phase interface driver and receiver
US4110708A (en) * 1977-03-25 1978-08-29 Harris Corporation Multichannel modulation system including automatic gain shifter
GB2031676B (en) * 1978-09-02 1983-05-11 Marconi Instruments Ltd Frequency modulation systems
CH620087B (de) * 1979-03-09 Suisse Horlogerie Oszillator mit einem hochfrequenz-quarzresonator.
JPS5837737B2 (ja) 1979-11-05 1983-08-18 株式会社日立国際電気 デイジタル符号の伝送方式
JPS61287335A (ja) 1985-06-13 1986-12-17 Toshiba Corp パリテイ−生成回路
JPS63126018A (ja) * 1986-11-17 1988-05-30 Hitachi Ltd 半導体集積回路
US6760866B2 (en) * 1987-06-02 2004-07-06 Texas Instruments Incorporated Process of operating a processor with domains and clocks
US5535331A (en) * 1987-09-04 1996-07-09 Texas Instruments Incorporated Processor condition sensing circuits, systems and methods
JP2668898B2 (ja) * 1987-11-06 1997-10-27 富士通株式会社 バス制御回路
EP0479887A4 (en) * 1989-06-30 1992-08-12 Poqet Computer Corporation Computer power management system
GB2237157A (en) 1989-10-20 1991-04-24 Marconi Instruments Ltd Control of frequency modulators
US5038117A (en) * 1990-01-23 1991-08-06 Hewlett-Packard Company Multiple-modulator fractional-N divider
US6693951B1 (en) * 1990-06-25 2004-02-17 Qualcomm Incorporated System and method for generating signal waveforms in a CDMA cellular telephone system
US5159205A (en) * 1990-10-24 1992-10-27 Burr-Brown Corporation Timing generator circuit including adjustable tapped delay line within phase lock loop to control timing of signals in the tapped delay line
JPH05119876A (ja) * 1991-10-25 1993-05-18 Toshiba Corp 電子装置及びその装置に含まれる集積回路
EP0545581B1 (en) * 1991-12-06 1999-04-21 National Semiconductor Corporation Integrated data processing system including CPU core and parallel, independently operating DSP module
FI95980C (fi) * 1992-09-04 1996-04-10 Nokia Mobile Phones Ltd Menetelmä ja kytkentäjärjestely ajan mittaamiseksi tarkasti epätarkalla kellolla
JPH06139373A (ja) * 1992-10-27 1994-05-20 Hitachi Ltd 半導体装置
EP0632360A1 (en) * 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
US5553276A (en) * 1993-06-30 1996-09-03 International Business Machines Corporation Self-time processor with dynamic clock generator having plurality of tracking elements for outputting sequencing signals to functional units
JPH0744266A (ja) * 1993-08-04 1995-02-14 Canon Inc デバイス制御装置
JP3490131B2 (ja) * 1994-01-21 2004-01-26 株式会社ルネサステクノロジ データ転送制御方法、データプロセッサ及びデータ処理システム
EP0665502B1 (en) * 1994-01-27 2002-06-12 Sun Microsystems, Inc. Asynchronous serial communication circuit
JP3718251B2 (ja) * 1994-02-28 2005-11-24 株式会社ルネサステクノロジ データ処理装置
US5696917A (en) * 1994-06-03 1997-12-09 Intel Corporation Method and apparatus for performing burst read operations in an asynchronous nonvolatile memory
US5592173A (en) 1994-07-18 1997-01-07 Trimble Navigation, Ltd GPS receiver having a low power standby mode
JPH08152945A (ja) * 1994-11-28 1996-06-11 Nec Corp 消費電力管理装置
JPH08166834A (ja) * 1994-12-14 1996-06-25 Mitsubishi Electric Corp クロック発生回路及びマイクロコンピュータ
KR100255026B1 (ko) * 1994-12-28 2000-05-01 디. 크레이그 노룬드 마이크로프로세서 및 디버그 시스템
US5842037A (en) 1995-03-20 1998-11-24 Telefonaktiebolaget Lm Ericsson Interference reduction in TDM-communication/computing devices
US5655100A (en) * 1995-03-31 1997-08-05 Sun Microsystems, Inc. Transaction activation processor for controlling memory transaction execution in a packet switched cache coherent multiprocessor system
US5737547A (en) * 1995-06-07 1998-04-07 Microunity Systems Engineering, Inc. System for placing entries of an outstanding processor request into a free pool after the request is accepted by a corresponding peripheral device
US5613235A (en) * 1995-06-29 1997-03-18 Nokia Mobile Phones Limited Operation of a radiotelephone in a synchronous extended standby mode for conserving battery power
US5774701A (en) 1995-07-10 1998-06-30 Hitachi, Ltd. Microprocessor operating at high and low clok frequencies
JPH0944277A (ja) * 1995-07-25 1997-02-14 Mitsubishi Electric Corp マイクロコンピュータ
US5964893A (en) 1995-08-30 1999-10-12 Motorola, Inc. Data processing system for performing a trace function and method therefor
JP3466793B2 (ja) * 1995-09-28 2003-11-17 株式会社東芝 マルチプロセッサシステム
US5623234A (en) * 1996-03-04 1997-04-22 Motorola Clock system
US5724505A (en) 1996-05-15 1998-03-03 Lucent Technologies Inc. Apparatus and method for real-time program monitoring via a serial interface
US5809091A (en) * 1996-06-04 1998-09-15 Ericsson, Inc. Timing signal generator for digital communication system
US5933627A (en) * 1996-07-01 1999-08-03 Sun Microsystems Thread switch on blocked load or store using instruction thread field
US5790817A (en) * 1996-09-25 1998-08-04 Advanced Micro Devices, Inc. Configurable digital wireless and wired communications system architecture for implementing baseband functionality
WO1998019242A1 (fr) * 1996-10-30 1998-05-07 Hitachi, Ltd. Processeur de donnees et systeme de traitement de donnees
JPH10187300A (ja) * 1996-12-20 1998-07-14 Sony Corp 電源制御回路および電源制御方法
JPH10190568A (ja) * 1996-12-27 1998-07-21 Matsushita Electric Ind Co Ltd 無線受信装置
EP0856797B1 (en) 1997-01-30 2003-05-21 STMicroelectronics Limited A cache system for concurrent processes
US6029061A (en) * 1997-03-11 2000-02-22 Lucent Technologies Inc. Power saving scheme for a digital wireless communications terminal
US6031429A (en) * 1997-03-19 2000-02-29 Silicon Magic Corporation Circuit and method for reducing lock-in time in phase-locked and delay-locked loops
US6269426B1 (en) * 1997-06-24 2001-07-31 Sun Microsystems, Inc. Method for operating a non-blocking hierarchical cache throttle
US6052777A (en) * 1997-06-25 2000-04-18 Sun Microsystems, Inc. Method for delivering precise traps and interrupts in an out-of-order processor
US5963068A (en) * 1997-07-28 1999-10-05 Motorola Inc. Fast start-up processor clock generation method and system
US6170051B1 (en) * 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6005904A (en) * 1997-10-16 1999-12-21 Oasis Design, Inc. Phase-locked loop with protected output during instances when the phase-locked loop is unlocked
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US5936565A (en) * 1997-12-10 1999-08-10 Nortel Networks Corporation Digitally controlled duty cycle integration
US6282184B1 (en) * 1997-12-22 2001-08-28 Nortel Networks Limited Common digitizing rate for multiple air interfaces for generic cell sites in cellular radio
EP0924947A1 (en) 1997-12-22 1999-06-23 The Technology Partnership Public Limited Company Power saving in a digital cellular system terminal
US6430654B1 (en) * 1998-01-21 2002-08-06 Sun Microsystems, Inc. Apparatus and method for distributed non-blocking multi-level cache
EP0939495B1 (en) 1998-02-26 2004-04-14 Motorola Semiconducteurs S.A. Power saving system for an electronic portable device
US6145122A (en) * 1998-04-27 2000-11-07 Motorola, Inc. Development interface for a data processor
JPH11312026A (ja) 1998-04-28 1999-11-09 Nec Corp クロック信号切替方法およびクロック信号切替システム
JP4060442B2 (ja) * 1998-05-28 2008-03-12 富士通株式会社 メモリデバイス
JP3786521B2 (ja) * 1998-07-01 2006-06-14 株式会社日立製作所 半導体集積回路及びデータ処理システム
WO2000002118A1 (en) * 1998-07-02 2000-01-13 Hitachi, Ltd. Microprocessor
US6141762A (en) * 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
KR100306966B1 (ko) * 1998-08-04 2001-11-30 윤종용 동기형버스트반도체메모리장치
EP0992906B1 (en) * 1998-10-06 2005-08-03 Texas Instruments Inc. Apparatus and method for software breakpoint in a delay slot
EP0992907B1 (en) * 1998-10-06 2005-09-28 Texas Instruments Inc. Trace fifo management
US6255822B1 (en) * 1998-10-09 2001-07-03 U.S. Philips Corporation MRI apparatus having a short uniform field magnet with an internal space
US6118306A (en) * 1998-12-03 2000-09-12 Intel Corporation Changing clock frequency
US6763448B1 (en) 1999-02-16 2004-07-13 Renesas Technology Corp. Microcomputer and microcomputer system
FI106761B (fi) * 1999-02-19 2001-03-30 Nokia Mobile Phones Ltd Menetelmä ja piirijärjestely järjestelmien keskinäisen tahdistuksen toteuttamiseksi monimoodilaitteessa
US6336168B1 (en) * 1999-02-26 2002-01-01 International Business Machines Corporation System and method for merging multiple outstanding load miss instructions
FR2791217B1 (fr) 1999-03-18 2001-06-01 Sagem Procede de veille dans un telephone mobile
US6425086B1 (en) * 1999-04-30 2002-07-23 Intel Corporation Method and apparatus for dynamic power control of a low power processor
US6341347B1 (en) * 1999-05-11 2002-01-22 Sun Microsystems, Inc. Thread switch logic in a multiple-thread processor
EP2267597A3 (en) 1999-05-12 2012-01-04 Analog Devices, Inc. Digital signal processor having a pipeline structure
JP2001022480A (ja) * 1999-07-09 2001-01-26 Seiko Epson Corp 情報処理装置
JP2001036958A (ja) * 1999-07-16 2001-02-09 Nec Corp 待受け受信方式
US6748475B1 (en) * 1999-11-05 2004-06-08 Analog Devices, Inc. Programmable serial port architecture and system
DE69940473D1 (de) 1999-11-25 2009-04-09 St Microelectronics Srl Leseverfahren für nichtflüchtige Speicheranordnung mit automatischer Erkennung eines Burstlesebetriebs sowie entsprechende Leseschaltung
EP1103978B1 (en) * 1999-11-25 2009-01-28 STMicroelectronics S.r.l. Non-volatile memory device with burst mode reading and corresponding reading method
US6205084B1 (en) * 1999-12-20 2001-03-20 Fujitsu Limited Burst mode flash memory
GB2357602A (en) 1999-12-22 2001-06-27 Nokia Mobile Phones Ltd Memory controller for a memory array comprising different memory types
US6625740B1 (en) * 2000-01-13 2003-09-23 Cirrus Logic, Inc. Dynamically activating and deactivating selected circuit blocks of a data processing integrated circuit during execution of instructions according to power code bits appended to selected instructions
US6974437B2 (en) 2000-01-21 2005-12-13 Medtronic Minimed, Inc. Microprocessor controlled ambulatory medical apparatus with hand held communication device
US7143401B2 (en) * 2000-02-17 2006-11-28 Elbrus International Single-chip multiprocessor with cycle-precise program scheduling of parallel execution
DE10009683A1 (de) * 2000-02-29 2001-08-30 Nokia Mobile Phones Ltd Verfahren zum Unterbrechen eines Ruhezustandes einer Kommunikationseinheit in einem Kommunikationssystem, insbesondere in einem Funk-Kommunikationssystem
US6421214B1 (en) * 2000-03-03 2002-07-16 Pass & Seymour, Inc. Arc fault or ground fault detector with self-test feature
JP2001313547A (ja) * 2000-05-01 2001-11-09 Mitsubishi Electric Corp 内部回路へのクロック供給方法およびクロック供給回路
EP1182559B1 (en) * 2000-08-21 2009-01-21 Texas Instruments Incorporated Improved microprocessor
JP2002082832A (ja) * 2000-09-08 2002-03-22 Nec Corp キャッシュ更新方法及びキャッシュ更新制御システム並びに記録媒体
US6636225B2 (en) * 2000-11-20 2003-10-21 Hewlett-Packard Development Company, L.P. Managing texture mapping data in a computer graphics system
US6732236B2 (en) * 2000-12-18 2004-05-04 Redback Networks Inc. Cache retry request queue
SE516758C2 (sv) * 2000-12-22 2002-02-26 Ericsson Telefon Ab L M Digitalt bussystem
US6665776B2 (en) * 2001-01-04 2003-12-16 Hewlett-Packard Development Company L.P. Apparatus and method for speculative prefetching after data cache misses
US6584546B2 (en) * 2001-01-16 2003-06-24 Gautam Nag Kavipurapu Highly efficient design of storage array for use in first and second cache spaces and memory subsystems
US20020138778A1 (en) * 2001-03-22 2002-09-26 Cole James R. Controlling CPU core voltage to reduce power consumption
US6968219B2 (en) * 2001-08-15 2005-11-22 Qualcomm, Incorporated Method for reducing power consumption in bluetooth and CDMA modes of operation
EP1421588B1 (en) * 2001-08-29 2012-01-18 MediaTek Inc. Method and apparatus utilizing flash burst mode to improve processor performance
US6865503B2 (en) * 2002-12-24 2005-03-08 Conexant Systems, Inc. Method and apparatus for telemetered probing of integrated circuit operation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0666529A1 (en) * 1994-02-02 1995-08-09 Advanced Micro Devices, Inc. Power management in an asynchronus receiver/transmitter
WO2001035234A1 (en) * 1999-11-05 2001-05-17 Analog Devices, Inc. Generic serial port architecture and system
WO2002042919A2 (en) * 2000-11-20 2002-05-30 Intersil Americas Inc. Sequencer method of selectively inhibiting clock signals in a re-programmable i/o interface

Also Published As

Publication number Publication date
EP2230603A3 (en) 2012-08-01
WO2003021426A2 (en) 2003-03-13
US6768358B2 (en) 2004-07-27
US6978350B2 (en) 2005-12-20
AU2002327599A1 (en) 2003-03-18
WO2003021426A3 (en) 2004-10-28
EP1421465B1 (en) 2008-08-13
EP1421463B1 (en) 2007-10-17
CN1299201C (zh) 2007-02-07
EP1421497A2 (en) 2004-05-26
DE60223555D1 (de) 2007-12-27
WO2003021446A2 (en) 2003-03-13
AU2002331774A1 (en) 2003-03-18
EP1499955B1 (en) 2016-07-20
US20080077820A1 (en) 2008-03-27
CN1549960A (zh) 2004-11-24
CN100399472C (zh) 2008-07-02
CN100471079C (zh) 2009-03-18
CN1549961A (zh) 2004-11-24
JP4243186B2 (ja) 2009-03-25
DE60223051D1 (de) 2007-11-29
CN100451914C (zh) 2009-01-14
JP2007006505A (ja) 2007-01-11
US7114093B2 (en) 2006-09-26
WO2003021439A1 (en) 2003-03-13
EP1421704B1 (en) 2007-11-14
CN1549976A (zh) 2004-11-24
JP2005502259A (ja) 2005-01-20
JP2005510779A (ja) 2005-04-21
CN100517215C (zh) 2009-07-22
EP1421465A2 (en) 2004-05-26
US20030061445A1 (en) 2003-03-27
US20030058052A1 (en) 2003-03-27
CN1549971A (zh) 2004-11-24
JP4440900B2 (ja) 2010-03-24
DE60211921T2 (de) 2006-10-05
US7007132B2 (en) 2006-02-28
WO2003021453A3 (en) 2004-03-18
CN1549961B (zh) 2010-04-14
US20030051231A1 (en) 2003-03-13
EP1421463A1 (en) 2004-05-26
EP1421497B1 (en) 2011-03-02
WO2003021446A3 (en) 2003-06-19
EP1421490A1 (en) 2004-05-26
JP2005502126A (ja) 2005-01-20
EP1421588A2 (en) 2004-05-26
US8156366B2 (en) 2012-04-10
US7159134B2 (en) 2007-01-02
JP2009064456A (ja) 2009-03-26
US20030126487A1 (en) 2003-07-03
WO2003021426A9 (en) 2004-05-06
DE60210633T2 (de) 2007-04-05
EP1425671B1 (en) 2006-05-31
DE60228268D1 (de) 2008-09-25
US7315956B2 (en) 2008-01-01
JP2005502114A (ja) 2005-01-20
WO2003021453A2 (en) 2003-03-13
US20030149809A1 (en) 2003-08-07
DE60211921D1 (de) 2006-07-06
EP1421490B1 (en) 2006-04-12
EP1421588B1 (en) 2012-01-18
JP4799819B2 (ja) 2011-10-26
DE60223051T2 (de) 2008-07-24
WO2003021407A1 (en) 2003-03-13
CN1620645A (zh) 2005-05-25
EP1425671A2 (en) 2004-06-09
US20030117176A1 (en) 2003-06-26
JP4170218B2 (ja) 2008-10-22
DE60210633D1 (de) 2006-05-24
US7698590B2 (en) 2010-04-13
JP3852703B2 (ja) 2006-12-06
JP2005502144A (ja) 2005-01-20
US20030071657A1 (en) 2003-04-17
WO2003021409A3 (en) 2003-09-25
US20030070051A1 (en) 2003-04-10
EP2230603B1 (en) 2014-03-05
JP2005502120A (ja) 2005-01-20
EP1499955A2 (en) 2005-01-26
JP2005502241A (ja) 2005-01-20
US20080077770A1 (en) 2008-03-27
CN101673238B (zh) 2012-02-15
EP1421704A1 (en) 2004-05-26
CN1550016A (zh) 2004-11-24
US6889331B2 (en) 2005-05-03
JP4340536B2 (ja) 2009-10-07
WO2003021600A3 (en) 2003-10-23
US7174543B2 (en) 2007-02-06
DE60239347D1 (de) 2011-04-14
WO2003021600A2 (en) 2003-03-13
DE60223555T2 (de) 2009-06-10
JP4338514B2 (ja) 2009-10-07
CN1550070A (zh) 2004-11-24
WO2003021800A1 (en) 2003-03-13
EP2230603A2 (en) 2010-09-22
CN1547701A (zh) 2004-11-17
JP2005502123A (ja) 2005-01-20
CN100570577C (zh) 2009-12-16
WO2003021409A2 (en) 2003-03-13
CN101673238A (zh) 2010-03-17

Similar Documents

Publication Publication Date Title
CN100361109C (zh) 通用串行端口体系结构和系统
EP0451661B1 (en) Low power consumption microprocessor
KR100319600B1 (ko) 셀프-타임드시스템의전력소모감소장치및방법
US7444531B2 (en) Methods and devices for treating and processing data
US7822968B2 (en) Circuit having a multidimensional structure of configurable cells that include multi-bit-wide inputs and outputs
US20060031595A1 (en) Process for automatic dynamic reloading of data flow processors (DFPs) and units with two- or three-dimensional programmable cell architectures (FPGAs, DPGAs, and the like
WO2001035234A1 (en) Generic serial port architecture and system
CN102268780A (zh) 选针器控制及驱动电路
US20140208143A1 (en) Multiprocessor Having Runtime Adjustable Clock and Clock Dependent Power Supply
JP2000315185A (ja) 半導体メモリファイルシステム
CN108449109A (zh) 一种射频前端器件从控接口装置
CN200997136Y (zh) 内置比较器的微控制器结构
US6748475B1 (en) Programmable serial port architecture and system
CN102156899B (zh) Rfid标签芯片时钟管理单元
EP0363174A2 (en) Branch on bit processing
KR950001415B1 (ko) 정보처리장치
CN1421789A (zh) 一种双通道共享存储器的实现方法
US6041371A (en) Asynchronous input/output for integrated circuits that latches external asynchronous signal in feedback path of state machine
EP0855639A1 (en) Gated-clock registers for low-power circuitry
CN109857485A (zh) 一种可编程gpio装置及基于该装置的时序实现方法
CN110083567A (zh) 一种芯片架构
CN202110526U (zh) 高性能通用信号处理器指令分配装置
CN101930281B (zh) 一种降低cpu功耗的方法及一种低功耗cpu
KR100256230B1 (ko) 시스템감시기능을가진타이머장치
WO2002029530A1 (en) Self-adjusting multi-speed pipeline

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: MEDIATEK INC.

Free format text: FORMER OWNER: ANALOG DEVICES INC.

Effective date: 20080411

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20080411

Address after: Hsinchu Science Industrial Park, Taiwan

Patentee after: MEDIATEK Inc.

Address before: Massachusetts, USA

Patentee before: ANALOG DEVICES, Inc.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080109