CN100424811C - 等离子加工系统中带有沉积罩的上电极板 - Google Patents
等离子加工系统中带有沉积罩的上电极板 Download PDFInfo
- Publication number
- CN100424811C CN100424811C CNB038222590A CN03822259A CN100424811C CN 100424811 C CN100424811 C CN 100424811C CN B038222590 A CNB038222590 A CN B038222590A CN 03822259 A CN03822259 A CN 03822259A CN 100424811 C CN100424811 C CN 100424811C
- Authority
- CN
- China
- Prior art keywords
- top electrode
- exposure
- plasma
- protective barrier
- battery lead
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/916—Differential etching apparatus including chamber cleaning means or shield for preventing deposits
Abstract
本发明提供改进的用于等离子加工系统的上电极,其中有关带有连接至上电极的沉积罩的电极板的设计和制作有利地提供对上电极腐蚀充分小的加工气体的气体注入,同时提供对室内部的保护。
Description
相关申请的交叉引用
本申请与下列申请有关:与本申请同时提交,代理人备案号为225277US6YA,题为“Method and apparatus for an improved upperelectrode plate in a plasma processing system”,申请号为10/XXX,XXX的共同未决的美国专利申请;与本申请同时提交,代理人备案号为226274US6YA,题为“Method and apparatus for animproved baffle plate in a plasma processing system”,申请号为10/XXX,XXX的共同未决的美国专利申请;与本申请同时提交,代理人备案号为228411US6YA,题为“Method and apparatus for animproved baffle plate in a plasma processing system”,申请号为10/XXX,XXX的共同未决的美国专利申请;与本申请同时提交,代理人备案号为226275US6YA,题为“Method and apparatus for animproved deposition shield in a plasma processing system”,申请号为10/XXX,XXX的共同未决的美国专利申请;与本申请同时提交,代理人备案号为226276US6YA,题为“Method and apparatus for animproved optical window deposition shield in a plasma processingsystem”,申请号为10/XXX,XXX的共同未决的美国专利申请;与本申请同时提交,代理人备案号为226277US6YA,题为“Method andapparatus for an improved bellows shield in a plasma processingsystem”,申请号为10/XXX,XXX的共同未决的美国专利申请。所有这些申请的全部内容通过引用方式结合在本文中。
技术领域
本发明涉及一种等离子加工系统的改进部件,更具体地说涉及一种等离子加工系统中用以导入加工气体并保护室内部的带有沉积罩的上电极。
背景技术
半导体工业中集成电路(IC)的制造通常在从衬底去除材料和将材料沉积到衬底必需的等离子体反应器内采用等离子体产生和辅助表面化学。通常地,通过加热电子至足以保持与所供应生产气体的电离碰撞的能量,在等离子体反应器内于真空条件下形成等离子体。此外,加热的电子可具有足以保持离解(dissociative)碰撞的能量,从而在预定条件下(例如室压、气体流速等)选择特定组的气体以生产适合在室内执行特定工艺(例如从衬底去除材料的蚀刻工艺或添加材料至衬底的沉积工艺)的带电物质和化学活性物质群。
尽管对于在衬底表面执行等离子加工系统的功能(即材料蚀刻、材料沉积等)而言形成带电物质(离子等)和化学活性物质群是必要的,加工室内部其它部件表面暴露至物理和化学活性等离子体,并最终可能腐蚀。等离子加工系统中暴露部件的腐蚀可导致等离子加工性能的逐步退化并最终导致系统的完全失效。
为最小化因暴露至加工等离子体所遭受的损害,已知保持暴露至加工等离子体的等离子加工系统的部件涂有一种防护阻挡层。例如,由铝制作的部件可氧化而产生氧化铝表面层,该表面层更耐等离子体。在另一例子中,可消耗或可替换部件,例如由硅、石英、氧化铝或碳化硅制成的部件,可插入加工室以保护频繁更换将产生更大成本的更昂贵部件的表面。此外,最好选择使引入到加工等离子体并可能引入到在衬底上形成的器件中的有害污染、杂质等最小化的表面材料。
在这两种情况下,由防护阻挡层的完整性或防护阻挡层制作的完整性导致的防护层的不可避免失效,以及可替换部件的消耗特性要求等离子加工系统的频繁维护。这种频繁维护可产生与等离子加工停机时间和新的等离子加工室部件相关的成本,该成本可能过大。
发明内容
本发明提供一种改进的用于等离子加工系统的带有沉积罩的上电极,其中所述上电极的设置和制作有利地处理上述缺点。
本发明的一个目标是提供包含电极板和沉积罩的改进的上电极。该电极板包含连接电极板至上部组件的第一表面,与第一表面相对的包含设置为面对等离子加工系统中加工等离子体的等离子体表面和与等离子加工系统配合的配合面的第二表面,以及外边。所述沉积罩包含连接至电极板并设置为从其中延伸的圆柱壁。该圆柱壁包含与电极板的等离子体表面邻接的内表面,与电极板的配合面邻接的外表面,和远端表面,其中远端表面可包含远端前面。
所述电极板还可以包括多个注气孔,其中至少一个注气孔包含接收加工气体的进入区和连接加工气体至等离子加工系统的出口区,所述出口区包含注入表面。
所述电极板还可以包括多个接收固定装置的固定接受器,以固定带有沉积罩的电极板至上电极。
所述电极板还可以包括一个或更多接收固定装置的固定通孔,以固定带有沉积罩的电极板至等离子加工系统。
所述电极板还可以包括连接至第一表面、设置为接收加工气体并分配加工气体至多个注气孔的充气腔。
所述电极板还可以包括连接至电极板第一表面并设置为密封电极板与上部组件的第一密封部件、连接至电极板第一表面并设置为密封电极板与上部组件的第二密封部件和连接至电极板第一表面并设置为密封一个或更多固定通孔与上部组件的一个或更多第三密封部件。
所述电极板还可以包含诊断口和连接至电极板的第一表面并设置为密封诊断口与上电极的第四密封部件。该诊断口可包括进孔和包含内表面的出孔。
本发明的另一目标是提供一种带有沉积罩的电极板,该沉积罩中包含能够通过沉积罩进入加工空间的开口。该开口可包含第一开口表面、第二开口表面和配合面,其中配合面可包含一个或更多包含固定面的螺纹孔。
所述电极板还可以包含在面对加工等离子体的带有沉积罩的电极板的多个暴露面上形成的防护阻挡层。
本发明的另一目标是带有沉积罩的电极板的暴露面包含电极板的第二表面的等离子体表面、沉积罩的圆柱壁的内表面以及沉积罩的远端表面的远端前面。此外,所述暴露面可包含多个注气孔中出口区的注入表面、诊断口中出孔的内表面、开口的第一开口表面以及除沉积罩中开口的固定面之外的配合面。
本发明提供一种制造等离子加工系统的上电极的带有沉积罩的电极板的方法,该方法包括以下步骤:制作带有沉积罩的电极板;对带有沉积罩的电极板进行阳极氧化以在带有沉积罩的电极板上形成表面阳极氧化层;加工带有沉积罩的电极板上的暴露面以去除表面阳极氧化层;以及在暴露面上形成防护阻挡层。本发明也可选地包括加工除充气腔之外的电极板的第一表面、第一密封部件、第二密封部件、一个或更多第三密封部件、第四密封部件和远端配合面。
本发明提供另一种制造等离子加工系统的上电极的带有沉积罩的电极板的方法,该方法包括以下步骤:制作带有沉积罩的电极板;遮蔽带有沉积罩的电极板的暴露面以防止表面阳极氧化层的形成;对带有沉积罩的电极板进行阳极氧化以在带有沉积罩的电极板上形成表面阳极氧化层;去除暴露面的遮蔽;以及在暴露面上形成防护阻挡层。本发明也可选地包括遮蔽其它非暴露面(例如除充气腔之外的电极板的第一表面、第一密封部件、第二密封部件、一个或更多第三密封部件、第四密封部件和远端配合面)。
本发明提供另一种制造等离子加工系统的上电极的带有沉积罩的电极板的方法,该方法包括以下步骤:制作带有沉积罩的电极板;和在暴露面上形成防护阻挡层。
本发明也可以包括一种组合加工和遮蔽步骤以制备接收防护阻挡层的暴露面,并随后在暴露面上形成防护阻挡层。例如,可在阳极氧化之前遮蔽两个暴露面,阳极氧化之后两个暴露面可被加工成四个暴露面,这些暴露面上可形成防护阻挡层。
附图说明
从以下联系附图的本发明实施例的详细说明中,本发明的这些和其它优点将变得更清楚和更容易理解,其中:
图1表示如本发明的一种实施方式的包含包括带有沉积罩的电极板的上电极的等离子加工系统的简化框图;
图2表示如本发明的一种实施方式的用于等离子加工系统的带有沉积罩的电极板的平面图;
图3表示如本发明的一种实施方式的用于等离子加工系统的带有沉积罩的电极板的剖面图;
图4表示如本发明的一种实施方式的用于等离子加工系统的带有沉积罩的电极板的放大剖面图;
图5表示如本发明的一种实施方式的用于等离子加工系统的带有沉积罩的电极板中的注气孔的分解图;
图6表示如本发明的一种实施方式的用于等离子加工系统的带有沉积罩的电极板中的诊断口的出口的分解图;
图7A表示如本发明的一种实施方式的等离子加工系统的沉积罩的开口的放大图;
图7B表示如本发明的一种实施方式的等离子加工系统的沿开口的沿长轴方向的放大剖面图;
图8表示如本发明的另一种实施方式的等离子加工系统的开口的配合面和固定面的剖面图;
图9表示如本发明的一种实施方式的制造用于等离子加工系统的带有沉积罩的电极板的方法;
图10表示如本发明的另一种实施方式的制造用于等离子加工系统的带有沉积罩的电极板的方法;
图11表示如本发明的一种实施方式的制造用于等离子加工系统的带有沉积罩的电极板的方法。
具体实施方式
本发明提供一种用于等离子加工系统的带有沉积罩的改进的上电极,其中上电极的设置和制作有利地处理已知缺点。
图1表示如本发明的一种实施方式的等离子加工系统1,该系统包含等离子加工室10、上部组件20、上电极22、支撑衬底35的衬底支架30、和连接至真空泵(未显示)以为等离子加工室10提供减压气氛11的排气管40。等离子加工室10可辅助衬底35附近的加工空间12中加工等离子体的形成。可设置等离子加工系统1以加工多种衬底(即200mm衬底、300mm衬底或更大)。
在示例实施方式中,上电极22包含带有沉积罩26(图2)的电极板24(图2)。在其它实施方式中,上部组件20可以至少包含盖子、注气部件以及上电极阻抗匹配网络之一。例如,上电极22可连接至RF源。在另一实施方式中,上部组件20包含盖和上电极22,其中上电极的电位保持与等离子加工室10的电位相同。例如等离子加工室10、上部组件20和上电极22可接地。
例如,等离子加工室10还可以包括光学观察口16。光学观察口16可包含连接至光学窗口沉积罩18的后部的光学窗口17,光学窗口沉积罩18可连接至沉积罩26,而且为了使光学窗口17与光学窗口沉积罩18相连接可以设置光学窗口法兰19。可在光学窗口法兰19与光学窗口17之间、光学窗口17与光学窗口沉积罩18之间、以及光学窗口沉积罩18与等离子加工室10之间提供密封元件,例如O形环。例如,光学观察口16可允许监视加工空间12中加工等离子体的光发射。
例如,衬底支架30还可以包含由连接至衬底支架30和等离子加工室10的波纹管52围绕的垂直移动装置50,波纹管52被设置为在等离子加工室10中从减压气氛11密封垂直移动装置50。此外,举例说来,波纹管罩54可连接至衬底支架30并设置为保护波纹管52不受加工等离子体的影响。例如,衬底支架10还可至少连接至聚焦环60和屏蔽环62之一。此外,挡板64可在衬底支架30的周边附近延伸。
举例说来,衬底35可通过机器人衬底传送系统经槽阀(未显示)和室通孔(未显示)传送入或出等离子加工室10,其中衬底35通过位于衬底支架30内的衬底起模针(lift pin)(未显示)接收并通过位于其中的装置进行机械地平移。一旦从衬底传送系统接收到衬底35,就降低衬底35至衬底支架30的上表面。
例如,衬底35可通过静电夹紧系统固定至衬底支架30。此外,例如,衬底支架30还可包括冷却系统,该系统包括从衬底支架30接收热量并传送热量至热交换器系统(未显示)、或者当加热时从热交换器系统传送热量的循环冷却液流。此外,举例说来,气体可通过背面气体系统传送至衬底35的背面以改进衬底35与衬底支架30之间的气隙导热性。当要求衬底的温度控制处于升高的或降低的温度时可采用这种系统。在其它实施方式中,可包括加热元件,例如电阻加热元件、或热电加热器/冷却器。
在图1所示的实施例中,衬底支架30可包含电极,通过该电极RF功率被连接至加工空间12中的加工等离子体。例如,通过RF功率从RF发生器(未显示)经阻抗匹配网络(未显示)至衬底支架30的传输,衬底支架30可被加上RF电压电偏压。该RF偏压可用于加热电子以形成和保持等离子体。在这种设置中,系统可作为活性离子腐蚀(RIE)反应器操作,其中等离子加工室和上注气电极起到接地面的作用。RF偏压的常规频率范围是1MHz至100MHz,最好为13.56MHz。等离子加工RF系统为本领域的技术人员所熟知。
此外,在加工空间12中形成的加工等离子体可利用平板、电容耦合等离子体(CCP)源、感应耦合等离子体(ICP)源、上述部件的组合,并使用和不使用DC磁系统形成。此外,可利用电子回旋加速器谐振源(ECR)形成加工空间12中的加工等离子体。在另一实施方式中,由Helicon波的发射形成加工空间12中的加工等离子体。
现在参照图2(平面图)和图3(剖面图)所示的本发明的实施例,上电极22包含具有电极板24和沉积罩26的圆柱形元件。电极板24可包含连接上电极22至上部组件20的第一表面82、包含设置为面向等离子加工室10中的加工等离子体的等离子体表面90和上电极22与等离子加工室10的配合面92的第二表面88、以及外边94。沉积罩26可包含连接至电极板24并设置为从电极板24中延伸的圆柱壁70。圆柱壁70可包含与电极板24的等离子体表面90邻接的内表面72、与电极板24的配合面92邻接的外表面74和远端表面76。
图4提供连接至电极板24的沉积罩26的放大图。如图4所示,圆柱壁70的远端表面76还包含远端配合面77和远端前面78,其中远端前面78与圆柱壁70的内表面72邻接。此外,带有沉积罩26的电极板24还可以包括一个或更多设置为延伸圆柱壁70的长度和设置为接收例如螺栓等之类的固定装置(未显示)用以连接上电极22至等离子加工系统1的固定通孔112。每个固定通孔112可包含第一进入固定孔113、第二进入固定孔114、第三进入固定孔115和固定通道116。例如,形成在电极板24内的固定通孔112的数目范围是1至100。理想地,固定通孔112的数目范围是5至20;并且,最好固定通孔112的数目至少为8。
继续参照图2和3,如图5所示,电极板24还包括多个连接至第一表面82和第二表面88的注气孔100,其中每个注气孔100包含接收加工气体的进入区102和连接加工气体至等离子加工室10的出口区104。此外,各注气孔100的内表面可包含注入表面106、第一进入表面107、和第二进入表面108。例如,加工气体可包含例如用于氧化腐蚀的氩、CF4和O2,或者氩、C4F8和O2的气体混合物,或者气体化学物,例如O2/CO/Ar/C4F8、O2/Ar/C4F8、O2/CO/AR/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2。
举例说来,形成于电极板24中的注气孔100的数目范围是1至1000。理想地,注气孔100的数目为5至500;最好地,注气孔100的数目至少为100。此外,举例说来,注气孔的直径范围是0.1至20mm。理想地,该直径范围为0.5至5mm,并且最好该直径范围是0.5至2mm。此外,举例说来,注气孔的长度范围是1至20mm。理想地,该长度范围为2至15mm,并且最好该长度范围是3至12mm。
此外,如图3所示,电极板24包含具有第一表面82的一部分的充气表面82b的充气腔84,充气腔84设置为接收加工气体并分配加工气体至多个注气孔100。
此外,电极板24可包含连接至电极板24连接表面82a并设置为密封电极板24与上部组件20的第一密封部件86a。举例说来,第一密封部件可包括设置为接收O形环的楔形截面或矩形截面。在另一实施方式中,电连接部件(未显示)可与电极板24的连接表面82a集成以在电极板24与上部组件20之间提供改进的电连接。举例说来,该电连接部件可包含真空加工领域的技术人员所熟知的Spirashield(可从Spira Manufacturing Company购买)。
此外,电极板24可包含连接至电极板24连接表面82a并设置为密封电极板24与上部组件20的第二密封部件86b。举例说来,第二密封部件可包括设置为接收O形环的楔形截面或矩形截面。在另一实施方式中,电连接部件(未显示)可与电极板24的连接表面82a集成以在电极板24与上部组件20之间提供改进的电连接。举例说来,该电连接部件可包含真空加工领域的技术人员所熟知的Spirashield(可从Spira Manufacturing Company购买)。
此外,电极板24可包含连接至电极板24连接表面82a并设置为密封一个或更多固定通孔112与上部组件20的一个或更多第三密封部件86c。举例说来,第二密封部件可包括设置为接收O形环的楔形截面或矩形截面。在另一实施方式中,电连接部件(未显示)可与电极板24的连接表面82a集成以在电极板24与上部组件20之间提供改进的电连接。举例说来,该电连接部件可包含真空加工领域的技术人员所熟知的Spirashield(可从Spira Manufacturing Company购买)。
电极板24还可以包括多个用于接收例如螺栓的固定装置(未显示)的固定接收器110,以固定电极板24至上部组件20。例如,形成于电极板24内的固定接收器110的数目范围是1至100。理想地,固定接收器110的数目为5至20;并且最好固定接收器110的数目至少为8。
如图2所示,电极板24还可以包含诊断口120和连接至电极板24连接表面82a并设置为密封诊断口120与上部组件20的第四密封部件122。如图6所示,诊断120可包括进孔124和包含与等离子体表面90邻接的内表面128的出孔126。类似地,举例说来,第二密封部件可包括设置为接收O形环的楔形截面或矩形截面。诊断口120可用于连接诊断系统(未显示)与等离子加工室10的减压气氛11。举例说来,该诊断系统可包含压力计。
现在参照图1、图2和图3,举例说来,沉积罩26还可以包含开口130以提供通过沉积罩26至加工空间12的途径。在本发明的一种实施方式中,在沉积罩26中形成开口130以提供至少一个室衬套(liner)塞(未显示)或如图1所示的光学窗口沉积罩的插入。关于进一步的细节,参见作为本文参考资料的与本申请书同时提交,代理人备案号为226276US6YA,题为“Method and apparatus for animproved optical window deposition shield in a plasma processingsystem”,申请号为10/XXX,XXX的共同未决的美国专利申请书。此外,开口130不在沉积罩26中形成。
图7A和7B分别表示沉积罩中的开口130的放大图和开口130的沿长轴方向的剖面图。如图7B所示,开口130还可包含连接至沉积罩26的内表面72的第一开口表面132、连接至沉积罩26的外表面74的第二配合面134、和连接至第一开口表面132与第二开口表面134的配合面136。此外,配合面136至少可包含一个用于接收螺纹紧固件(未显示)的螺纹孔,以至少将一个室塞(未显示)或光学窗口沉积罩(未显示)连接至沉积罩26。
如图8所示,配合面136还包含紧邻螺纹孔138并在螺纹孔138中延伸的固定表面140。此外,举例说来,开口130的宽度(沿长轴方向)范围可为1至100mm。理想地,该宽度范围为10至40mm,并且最好该宽度至少为25mm。此外,举例说来,开口130的高度(沿短轴方向)范围可为1至100mm。理想地,该高度范围为10至40mm,并且最好该高度至少为15mm。
如图2-8所示,多个暴露面145可包含电极板24第二表面88的等离子体表面90(图3)、沉积罩26(图4)圆柱壁70的内表面72以及沉积罩26(图4)远端表面76的远端前面78。此外,暴露面145可包含多个注气孔100出口区104的注入表面106(图5)、诊断口120(图6)出孔126的内表面128、开口130(图8)的第一开口表面132以及除沉积罩(图8)开口的固定表面140之外的配合面136。此外,暴露面包含带有沉积罩26的电极板24上的所有表面。
现在参照图2-8,带有沉积罩26的电极板24还包括在带有沉积罩26的电极板24的暴露面145上形成的防护阻挡层150。在本发明的一种实施方式中,防护阻挡层150可包含一种包括例如Al2O3之类的铝氧化物的化合物。在本发明的另一实施方式中,防护阻挡层150可包含Al2O3和Y2O3的混合物。在本发明的另一实施方式中,防护阻挡层150可包含至少一种III族元素(元素周期表的第III列)和一种镧系元素。在本发明的另一实施方式中,III族元素至少可包含钇、钪和镧之一。在本发明的另一实施方式中,镧系元素至少可包含铈、镝、铕之一。在本发明的另一实施方式中,形成防护阻挡层150的化合物至少可包含氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、和Dy2O3之一。
在本发明的一种实施方式中,在带有沉积罩26的电极板24上形成的防护阻挡层150包含最小厚度,其中该最小厚度可指定为至少沿一个暴露面145不变。在另一实施方式中,该最小厚度可沿暴露面145变化。此外,该最小厚度也可在暴露面的第一部分上不变而在暴露面的第二部分上可变。例如,在曲面上、角上或孔中可产生厚度变化。举例说来,最小厚度的范围是0.5至500微米。理想地,该最小厚度的范围为100至200微米;并且最好该最小厚度至少为120微米。
图9表示如本发明的一种实施方式的制造图1所示用于等离子加工系统的上电极的带有沉积罩的电极板的方法。流程图300以制造带有沉积罩的电极板(例如,具有参照图2-8所述的板和罩的特征的板和罩)的310开始。制造带有沉积罩的电极板至少可包含加工、铸造、抛光、锻造和研磨一种工艺。举例说来,可按照机械制图给出的说明书,利用包括铣床、车床等的常规技术加工上述各部件。利用例如铣床或车床加工部件的技术为加工技术人员所熟知。举例说来,可由铝制造带有沉积罩的电极板。
在320中,带有沉积罩26的电极板24被阳极氧化以形成表面阳极氧化层。举例说来,当由铝制造带有沉积罩26的电极板24时,表面阳极氧化层包含氧化铝(Al2O3)。阳极氧化铝部件的方法为表面阳极氧化领域的技术人员所熟知。
在330中,利用标准加工技术从暴露面去除表面阳极氧化层。在相同加工步骤中,或在单独加工步骤中,也可以加工(例如制作在加工表面上至少提供良好机械或电接触之一的平面或露面)其他表面(例如除充气腔之外的电极板的第一表面、第一密封部件、第二密封部件、一个或更多第三密封部件、第四密封部件和沉积罩的远端配合面)。
在340中,在暴露面145上形成防护阻挡层150(如上所述)。可利用陶瓷喷涂领域的技术人员所熟知的(热)喷涂技术形成包含例如氧化钇的防护阻挡层。在另一实施方式中,形成防护阻挡层还可包含抛光热喷涂层的步骤。举例说来,抛光热喷涂层可包含将砂纸应用到被喷涂表面。
图10表示如本发明的另一种实施方式的制造图1所示用于等离子加工系统的上电极的带有沉积罩的电极板的方法。流程图400以制造带有沉积罩26的电极板24的410开始。制造带有沉积罩的电极板至少可包含加工、铸造、抛光、锻造和研磨一种工艺。举例说来,可按照机械制图给出的说明书,利用包括铣床、车床等的常规技术加工上述各部件。利用例如铣床或车床加工部件的技术为加工技术人员所熟知。举例说来,可由铝制造带有沉积罩的电极板。
在420中,遮蔽暴露面150以防止其上表面阳极氧化层的形成。表面遮蔽和去遮蔽的技术为表面涂层和表面阳极氧化领域的技术人员所熟知。在相同遮蔽步骤中,或在单独遮蔽步骤中,也可以遮蔽(例如保持在被加工表面处提供良好机械或电接触至少之一的平面或露面)其他表面(例如除充气腔之外的电极板的第一表面、第一密封部件、第二密封部件、一个或更多第三密封部件、第四密封部件和沉积罩的远端配合面)。
在430中,带有沉积罩的电极板被阳极氧化以在剩余的未被遮蔽表面上形成表面阳极氧化层。举例说来,当由铝制造带有沉积罩的电极板时,表面阳极氧化层包含氧化铝(Al2O3)。阳极氧化铝部件的方法为表面阳极氧化领域的技术人员所熟知。
在440中,暴露面145被去遮蔽,并在暴露面145上形成防护阻挡层150。可利用陶瓷喷涂领域的技术人员所熟知的(热)喷涂技术形成包含例如氧化钇的防护阻挡层。在另一实施方式中,形成防护阻挡层还可包含抛光热喷涂层的步骤。举例说来,抛光热喷涂层可包含将砂纸应用到被喷涂表面。
图11表示如本发明的另一种实施方式的制造图1所示用于等离子加工系统的带有沉积罩的电极板的方法。流程图500以510开始,510制造带有沉积罩26的电极板24。制造带有沉积罩的电极板至少可包含加工、铸造、抛光、锻造和研磨一种工艺。举例说来,可按照机械制图给出的说明书,利用包括铣床、车床等的常规技术加工上述各部件。利用例如铣床或车床加工部件的技术为加工技术人员所熟知。举例说来,可由铝制造带有沉积罩的电极板。
在520中,在电极板的暴露面145上形成防护阻挡层150(如上所述)。可利用陶瓷喷涂领域的技术人员所熟知的(热)喷涂技术形成包含例如氧化钇的防护阻挡层。在另一实施方式中,形成防护阻挡层还可包含抛光热喷涂层的步骤。举例说来,抛光热喷涂层可包含将砂纸应用到被喷涂表面。
在本发明的另一实施方式中,利用遮蔽和加工的混合工艺制备适当数目的使用防护阻挡层150保护的表面。例如,可遮蔽电极板的第二表面的等离子体表面以防止在其上形成阳极氧化层,同时在阳极氧化之后加工多个注气孔的出口区的注入表面以呈现暴露面。
尽管为形成暴露面145上的防护阻挡层150不必要,但也可能加工已经形成阳极氧化层的其他非暴露表面或在执行阳极氧化之前遮蔽其他非暴露表面(例如,为了提供部件之间的电或机械连接的露面)。这种表面可包括密封或配合部件的表面。
尽管以上仅详细说明了本发明的某些实施例,本领域的技术人员将容易理解在实质上不偏离本发明的新教义和优点的前提下可对实施例做许多修改。因此,所有这些修改打算包括入本发明的领域内。
Claims (78)
1. 一种等离子加工系统的上电极,该电极包括:
电极板,该电极板包含连接所述上电极至上部组件的第一表面、包含等离子体表面和将所述电极板与所述等离子加工系统相配合的配合面的第二表面、外边、以及多个连接至所述第一表面和所述第二表面的注气孔;
连接至所述电极板的沉积罩,所述沉积罩包含具有与所述等离子体表面邻接的内表面、与所述配合面邻接的外表面、和远端表面的圆柱壁,其中所述远端表面包含远端前面;
一个或多个固定通孔,连接到所述电极板的所述第一表面和所述远端表面的远端配合表面,并被设置为将所述电极板和所述沉积罩连接至所述等离子加工系统;及
连接至所述上电极的暴露面的防护阻挡层,所述暴露面包含所述等离子体表面、所述内表面和所述远端前面。
2. 根据权利要求1的上电极,其中所述电极板还包括连接至所述第一表面、设置为接收加工气体和设置为分配所述加工气体至所述多个注气孔的充气腔。
3. 根据权利要求1的上电极,其中所述电极板还至少包含连接至所述第一表面并设置为密封所述电极板至所述上电极的第一密封部件和第二密封部件之一。
4. 根据权利要求1的上电极,其中所述电极板还包含连接诊断系统至所述等离子加工系统的诊断口和密封所述诊断口与所述上电极的第四密封部件。
5. 根据权利要求1的上电极,其中所述防护阻挡层包含Al2O3。
6. 根据权利要求1的上电极,其中所述防护阻挡层包含Al2O3和Y2O3的混合物。
7. 根据权利要求1的上电极,其中所述防护阻挡层包含化合物,该化合物至少包含III族元素和镧系元素之一。
8. 根据权利要求7的上电极,其中所述III族元素至少包含钇、钪和镧之一。
9. 根据权利要求7的上电极,其中所述镧系元素包含铈、镝、铕的至少之一。
10. 根据权利要求1的上电极,其中所述防护阻挡层至少包含Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、和Dy2O3之一。
11. 根据权利要求1的上电极,其中所述注气孔包含进入区和出口区,其中所述出口区包含注入表面。
12. 根据权利要求1的上电极,其中所述一个或多个固定通孔的每一个包含第三密封部件以密封所述一个或多个固定通孔与所述上部组件。
13. 根据权利要求1的上电极,其中所述防护阻挡层包含最小厚度,并且所述最小厚度至少沿一个所述暴露面不变。
14. 根据权利要求1的上电极,其中所述防护阻挡层包含可变厚度,所述可变厚度的范围为0.5微米至500微米。
15. 根据权利要求1的上电极,其中至少一个注气孔的直径至少为0.1mm。
16. 根据权利要求1的上电极,其中至少一个注气孔的长度至少为1.0mm。
17. 根据权利要求11的上电极,其中所述暴露面包括所述多个注气孔的所述注入表面。
18. 根据权利要求4的上电极,其中所述暴露面包含所述诊断口的内表面。
19. 根据权利要求1的上电极,其中所述沉积罩还包含开口以通过所述沉积罩进入加工空间。
20. 根据权利要求19的上电极,其中所述开口包含第一开口表面、第二开口表面和第二配合面。
21. 根据权利要求20的上电极,其中所述第二配合面包含至少一个螺纹孔和与之连接的固定表面。
22. 根据权利要求20的上电极,其中所述暴露面还包含所述开口的所述第一开口表面,和所述沉积罩中所述开口的所述第二配合面。
23. 根据权利要求21的上电极,其中所述暴露面还包含所述开口的所述第一开口表面,和除所述沉积罩中所述开口的所述固定表面之外的所述第二配合面。
24. 根据权利要求1的上电极,其中所述上电极还包含:
具有连接至所述第一表面(82)和所述等离子体表面(90)的内表面(128)的诊断口(120);和
位于所述第一表面(82)上、围绕所述诊断口(120)、并设置为接收密封的第四密封部件(122)。
25. 根据权利要求24的上电极,其中所述暴露面还包含所述连接至所述第一表面(82)和所述等离子体表面(90)的内表面(128)。
26. 根据权利要求1的上电极,其中所述上电极还包含连接在所述外表面(74)与所述内表面(72)之间的开口(130)。
27. 根据权利要求26的上电极,其中所述开口(130)包含连接至内表面(72)的第一开口表面(132)、连接至外表面(74)的第二开口表面(134)和连接至第一开口表面(132)与第二开口表面(134)的第二配合面(136)。
28. 根据权利要求27的上电极,其中所述第二配合面(136)包含至少一个螺纹孔(138)和与之连接的固定表面(140)。
29. 根据权利要求27的上电极,其中所述暴露面还包含所述第一开口表面(132)和除所述固定表面(140)之外的所述第二配合面(136)。
30. 一种等离子加工室的上电极,该电极包括:
圆柱形部件,该部件具有第一表面(82)、配合面(92)、连接至所述第一表面(82)和所述配合面(92)的外边(94)、连接至所述配合面(92)的外表面(74)、通过远端表面(76)连接至所述外表面(74)的内表面(72)、连接至所述内表面(72)的等离子体表面(90)、及多个固定通孔(112),所述固定通孔连接所述第一表面(82)和所述远端表面(76)的远端配合表面(77),并设置为接收固定设备,
其中所述第一表面(82)包含用于连接所述上电极至所述等离子加工室的连接表面(82a)和充气腔(84),并且所述远端表面(76)包含远端前面(78),所述圆柱形部件还包括多个连接至所述充气腔(84)和所述等离子体表面(90)的注气孔(100);和
连接至所述上电极的暴露面的防护阻挡层,所述暴露面包含所述等离子体表面(90)、所述内表面(72)和所述远端前面(78)。
31. 根据权利要求30的上电极,其中所述上电极还包括至少一个位于所述充气腔(84)外的所述第一表面(82)上并设置为接收密封的密封部件(86a,86b)。
32. 根据权利要求30的上电极,其中所述上电极还包含:
多个密封部件(86c),各密封部件位于所述第一表面(82)上、围绕固定通孔(112)之一、并设置为接收密封。
33. 根据权利要求30的上电极,其中所述上电极还包含多个连接至所述第一表面(82)并设置为接收固定器的固定接收器(110)。
34. 根据权利要求30的上电极,其中各注气孔(100)包含连接至所述充气腔(84)的进入区(102),和出口区(104),所述出口区(104)包含连接至所述等离子体表面(90)的注入表面(106)。
35. 根据权利要求34的上电极,其中所述暴露面包含所述多个注气孔(100)的各注入表面(106)。
36. 根据权利要求30的上电极,该上电极还包含一种金属。
37. 根据权利要求36的上电极,其中所述金属包含铝。
38. 根据权利要求30的上电极,其中所述防护阻挡层包含化合物,该化合物至少包含III族元素和镧系元素之一。
39. 根据权利要求38的上电极,其中所述III族元素至少包含钇、钪和镧之一。
40. 根据权利要求38的上电极,其中所述镧系元素至少包含铈、镝、铕之一。
41. 根据权利要求30的上电极,其中所述防护阻挡层至少包含Al2O3、Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、和Dy2O3之一。
42. 根据权利要求30的上电极,其中所述第一表面(82)包含阳极氧化层。
43. 根据权利要求30的上电极,其中所述充气腔(84)包含阳极氧化层。
44. 根据权利要求30的上电极,其中所述配合面(92)包含金属表面。
45. 根据权利要求30的上电极,其中所述防护阻挡层包含最小厚度,并且所述最小厚度至少沿一个所述暴露面不变。
46. 根据权利要求30的上电极,其中所述防护阻挡层包含可变厚度,所述可变厚度的范围为0.5微米至500微米。
47. 根据权利要求30的上电极,其中至少一个注气孔(100)的直径至少为0.1mm。
48. 根据权利要求30的上电极,其中至少一个注气孔(100)的长度至少为1.0mm。
49. 一种制造等离子加工室的上电极的方法,所述方法包括:
制作圆柱形部件,该部件具有第一表面(82)、配合面(92)、连接至所述第一表面(82)和所述配合面(92)的外边(94)、连接至所述配合面(92)的外表面(74)、通过远端表面(76)连接至所述外表面(74)的内表面(72)、连接至所述内表面(72)的等离子体表面(90),及多个固定通孔(112),所述固定通孔连接至所述第一表面(82)和所述远端表面(76)的远端配合表面(77),并设置为接收固定设备,
其中所述第一表面(82)包含连接所述上电极至所述等离子加工室的连接表面(82a)和充气腔(84),并且所述远端表面(76)包含远端前面(78),所述圆柱形部件还包括多个连接至所述充气腔(84)和所述等离子体表面(90)的注气孔(100);和
在多个所述上电极的暴露面上形成防护阻挡层,其中所述暴露面包含所述等离子体表面(90)、所述内表面(72)和所述远端前面(78)。
50. 根据权利要求49的方法,其中所述上电极还包括至少一个位于所述充气腔(84)外的所述第一表面(82)上并设置为接收密封的密封部件(86a,86b)。
51. 根据权利要求49的方法,其中所述上电极还包含:
多个密封部件(86c),各密封部件位于所述第一表面(82)上、围绕固定通孔(112)之一、并设置为接收密封。
52. 根据权利要求49的方法,其中所述上电极还包含多个连接至所述第一表面(82)并设置为接收固定器的固定接收器(110)。
53. 根据权利要求49的方法,其中各注气孔(100)包含连接至所述充气腔(84)的进入区(102),和出口区(104),所述出口区(104)包含连接至所述等离子体表面(90)的注入表面(106)。
54. 根据权利要求53的方法,其中所述暴露面包含所述多个注气孔(100)的各注入表面(106)。
55. 根据权利要求49的方法,其中所述制作步骤包括用包括金属的材料制作圆柱形部件。
56. 根据权利要求55的方法,其中所述金属包含铝。
57. 根据权利要求49的方法,其中所述防护阻挡层包含化合物,该化合物至少包含III族元素和镧系元素之一。
58. 根据权利要求57的方法,其中所述III族元素至少包含钇、钪和镧之一。
59. 根据权利要求57的方法,其中所述镧系元素至少包含铈、镝、铕之一。
60. 根据权利要求49的方法,其中所述防护阻挡层至少包含Y2O3、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3、和Dy2O3之一。
61. 根据权利要求49的方法,其中所述第一表面(82)包含阳极氧化层。
62. 根据权利要求49的方法,其中所述充气腔(84)包含阳极氧化层。
63. 根据权利要求49的方法,其中所述配合面(92)包含金属表面。
64. 根据权利要求49的方法,其中所述防护阻挡层包含最小厚度,并且所述最小厚度沿至少一个所述暴露面不变。
65. 根据权利要求49的方法,其中所述防护阻挡层包含可变厚度,所述可变厚度的范围为0.5微米至500微米。
66. 根据权利要求49的方法,其中至少一个注气孔(100)的直径至少为0.1mm。
67. 根据权利要求49的方法,其中至少一个注气孔(100)的长度至少为1.0mm。
68. 根据权利要求49的方法,其中所述上电极还包含:
具有连接至所述第一表面(82)和所述等离子体表面(90)的内表面(128)的诊断口(120);和
位于所述第一表面(82)上、围绕所述诊断口(120)、和设置为接收密封的第四密封部件(122)。
69. 根据权利要求68的方法,其中所述暴露面还包含所述连接至所述第一表面(82)和所述等离子体表面(90)的内表面(128)。
70. 根据权利要求49的方法,其中所述上电极还包含连接在所述外表面(74)与所述内表面(72)之间的开口(130)。
71. 根据权利要求70的方法,其中所述开口(130)包含连接至内表面(72)的第一开口表面(132)、连接至外表面(74)的第二开口表面(134)和连接至第一开口表面(132)与第二开口表面(134)的第二配合面(136)。
72. 根据权利要求71的方法,其中所述第二配合面(136)包含至少一个螺纹孔(138)和与之连接的固定表面(140)。
73. 根据权利要求72的方法,其中所述暴露面还包含所述第一开口表面(132)和除所述固定表面(140)之外的所述第二配合面(136)。
74. 根据权利要求49的方法,其中所述方法还包含以下步骤:
阳极氧化所述圆柱形部件以在所述圆柱形部件上形成表面阳极氧化层;和
加工所述圆柱形部件上的所述暴露面以在所述暴露面上形成所述防护阻挡层之前去除所述表面阳极氧化层。
75. 根据权利要求49的方法,其中所述方法还包含以下步骤:
遮蔽所述圆柱形部件上的所述暴露面;
阳极氧化所述圆柱形部件以在所述圆柱形部件上形成表面阳极氧化层;和
在所述暴露面上形成所述防护阻挡层之前去除对所述暴露面的遮蔽。
76. 根据权利要求49的方法,其中所述制作至少包含铸造、抛光、锻造和研磨中的一种工艺。
77. 根据权利要求49的方法,其中所述形成所述防护阻挡层还包括抛光至少一个所述暴露面上的所述防护阻挡层。
78. 根据权利要求49的方法,其中所述暴露面还包含所述电极板和所述沉积罩上剩余的所有表面。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/259,858 US7147749B2 (en) | 2002-09-30 | 2002-09-30 | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US10/259,858 | 2002-09-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1682342A CN1682342A (zh) | 2005-10-12 |
CN100424811C true CN100424811C (zh) | 2008-10-08 |
Family
ID=32029571
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB038222590A Expired - Fee Related CN100424811C (zh) | 2002-09-30 | 2003-09-29 | 等离子加工系统中带有沉积罩的上电极板 |
Country Status (6)
Country | Link |
---|---|
US (2) | US7147749B2 (zh) |
JP (1) | JP4589115B2 (zh) |
KR (1) | KR100739247B1 (zh) |
CN (1) | CN100424811C (zh) |
AU (1) | AU2003274566A1 (zh) |
WO (1) | WO2004030020A2 (zh) |
Families Citing this family (59)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW514996B (en) * | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
JP4602532B2 (ja) * | 2000-11-10 | 2010-12-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100898876B1 (ko) | 2001-03-26 | 2009-05-25 | 다우 글로벌 테크놀로지스 인크. | 불균질 촉매 조성물 |
US20080213496A1 (en) * | 2002-02-14 | 2008-09-04 | Applied Materials, Inc. | Method of coating semiconductor processing apparatus with protective yttrium-containing coatings |
US20080264564A1 (en) | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US7166166B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7204912B2 (en) * | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US6837966B2 (en) * | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
CN1249789C (zh) | 2002-11-28 | 2006-04-05 | 东京毅力科创株式会社 | 等离子体处理容器内部件 |
JP4173389B2 (ja) * | 2003-03-19 | 2008-10-29 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4597972B2 (ja) * | 2003-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 処理部材上に隣接するコーティングを接合する方法。 |
WO2004095532A2 (en) * | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | A barrier layer for a processing element and a method of forming the same |
ZA200602350B (en) * | 2003-09-23 | 2007-09-26 | Thomson Licensing | Method for simulating film grain by mosaicing pre-computed samples |
US20050098106A1 (en) * | 2003-11-12 | 2005-05-12 | Tokyo Electron Limited | Method and apparatus for improved electrode plate |
US20050178331A1 (en) * | 2004-02-13 | 2005-08-18 | Fourtner Lawrence C. | Electrode assembly and method for producing an electrode plate |
US20060081337A1 (en) * | 2004-03-12 | 2006-04-20 | Shinji Himori | Capacitive coupling plasma processing apparatus |
US8483288B2 (en) | 2004-11-22 | 2013-07-09 | Thomson Licensing | Methods, apparatus and system for film grain cache splitting for film grain simulation |
US7552521B2 (en) * | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7601242B2 (en) * | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US20060225654A1 (en) * | 2005-03-29 | 2006-10-12 | Fink Steven T | Disposable plasma reactor materials and methods |
US7431788B2 (en) * | 2005-07-19 | 2008-10-07 | Lam Research Corporation | Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system |
KR100897176B1 (ko) * | 2005-07-20 | 2009-05-14 | 삼성모바일디스플레이주식회사 | 유도 결합형 플라즈마 처리 장치 |
JP4972327B2 (ja) * | 2006-03-22 | 2012-07-11 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN101165868B (zh) * | 2006-10-20 | 2010-05-12 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 晶片处理室的内衬及包含该内衬的晶片处理室 |
US7696117B2 (en) * | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
US10242888B2 (en) | 2007-04-27 | 2019-03-26 | Applied Materials, Inc. | Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance |
US10622194B2 (en) | 2007-04-27 | 2020-04-14 | Applied Materials, Inc. | Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance |
US8435379B2 (en) * | 2007-05-08 | 2013-05-07 | Applied Materials, Inc. | Substrate cleaning chamber and cleaning and conditioning methods |
US8367227B2 (en) | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
SE532505C2 (sv) * | 2007-12-12 | 2010-02-09 | Plasmatrix Materials Ab | Förfarande för plasmaaktiverad kemisk ångdeponering och plasmasönderdelningsenhet |
JP5102706B2 (ja) * | 2008-06-23 | 2012-12-19 | 東京エレクトロン株式会社 | バッフル板及び基板処理装置 |
US8617347B2 (en) * | 2009-08-06 | 2013-12-31 | Applied Materials, Inc. | Vacuum processing chambers incorporating a moveable flow equalizer |
JP5198611B2 (ja) | 2010-08-12 | 2013-05-15 | 株式会社東芝 | ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法 |
JP2012221979A (ja) * | 2011-04-04 | 2012-11-12 | Toshiba Corp | プラズマ処理装置 |
JP5782293B2 (ja) * | 2011-05-10 | 2015-09-24 | 東京エレクトロン株式会社 | プラズマ生成用電極およびプラズマ処理装置 |
JP5879069B2 (ja) * | 2011-08-11 | 2016-03-08 | 東京エレクトロン株式会社 | プラズマ処理装置の上部電極の製造方法 |
TWI719473B (zh) * | 2011-10-05 | 2021-02-21 | 美商應用材料股份有限公司 | 對稱電漿處理腔室 |
US9947512B2 (en) * | 2011-10-25 | 2018-04-17 | Lam Research Corporation | Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber |
CN103377867A (zh) * | 2012-04-14 | 2013-10-30 | 靖江先锋半导体科技有限公司 | 一种刻蚀电极机构中的上电极 |
EP2829583B1 (en) | 2013-07-22 | 2016-03-16 | Itoh Optical Industrial Co., Ltd. | Hard coating composition |
US9911579B2 (en) | 2014-07-03 | 2018-03-06 | Applied Materials, Inc. | Showerhead having a detachable high resistivity gas distribution plate |
JP6714978B2 (ja) * | 2014-07-10 | 2020-07-01 | 東京エレクトロン株式会社 | プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法 |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
JP6486215B2 (ja) * | 2015-06-17 | 2019-03-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US11572617B2 (en) | 2016-05-03 | 2023-02-07 | Applied Materials, Inc. | Protective metal oxy-fluoride coatings |
US10340171B2 (en) | 2016-05-18 | 2019-07-02 | Lam Research Corporation | Permanent secondary erosion containment for electrostatic chuck bonds |
US11069553B2 (en) * | 2016-07-07 | 2021-07-20 | Lam Research Corporation | Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity |
US10910195B2 (en) | 2017-01-05 | 2021-02-02 | Lam Research Corporation | Substrate support with improved process uniformity |
US20180327892A1 (en) | 2017-05-10 | 2018-11-15 | Applied Materials, Inc. | Metal oxy-flouride films for chamber components |
US10723915B2 (en) | 2018-11-26 | 2020-07-28 | Itoh Optical Industrial Co., Ltd. | Hard coating composition |
US20210066050A1 (en) * | 2019-08-28 | 2021-03-04 | Applied Materials, Inc. | High conductance inner shield for process chamber |
USD973609S1 (en) | 2020-04-22 | 2022-12-27 | Applied Materials, Inc. | Upper shield with showerhead for a process chamber |
US20210335581A1 (en) * | 2020-04-22 | 2021-10-28 | Applied Materials, Inc. | Preclean chamber upper shield with showerhead |
TW202203319A (zh) * | 2020-06-24 | 2022-01-16 | 日商東京威力科創股份有限公司 | 基板處理裝置 |
US11380575B2 (en) | 2020-07-27 | 2022-07-05 | Applied Materials, Inc. | Film thickness uniformity improvement using edge ring and bias electrode geometry |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
CN1154644A (zh) * | 1995-12-15 | 1997-07-16 | 日本电气株式会社 | 等离子体工艺设备的腔体腐蚀 |
US5891350A (en) * | 1994-12-15 | 1999-04-06 | Applied Materials, Inc. | Adjusting DC bias voltage in plasma chambers |
US5952060A (en) * | 1996-06-14 | 1999-09-14 | Applied Materials, Inc. | Use of carbon-based films in extending the lifetime of substrate processing system components |
US6383964B1 (en) * | 1998-11-27 | 2002-05-07 | Kyocera Corporation | Ceramic member resistant to halogen-plasma corrosion |
JP2002151473A (ja) * | 2000-11-13 | 2002-05-24 | Tokyo Electron Ltd | プラズマ処理装置及びその組立方法 |
Family Cites Families (153)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US644083A (en) * | 1899-07-15 | 1900-02-27 | Fred C Leavitt | Sash holder and lock. |
US4310390A (en) | 1977-08-10 | 1982-01-12 | Lockheed Corporation | Protective coating process for aluminum and aluminum alloys |
US4357387A (en) | 1981-08-20 | 1982-11-02 | Subtex, Inc. | Flame resistant insulating fabric compositions prepared by plasma spraying |
JPS5857491A (ja) | 1981-09-30 | 1983-04-05 | Sony Corp | 緑色螢光体の製造方法 |
JPH065155B2 (ja) | 1984-10-12 | 1994-01-19 | 住友金属工業株式会社 | 窯炉の炉壁補修装置 |
US4593007A (en) | 1984-12-06 | 1986-06-03 | The Perkin-Elmer Corporation | Aluminum and silica clad refractory oxide thermal spray powder |
US4612077A (en) | 1985-07-29 | 1986-09-16 | The Perkin-Elmer Corporation | Electrode for plasma etching system |
US4842683A (en) | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US4877757A (en) | 1987-07-16 | 1989-10-31 | Texas Instruments Incorporated | Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma |
US5441048A (en) * | 1988-09-08 | 1995-08-15 | Sudor Partners | Method and apparatus for determination of chemical species in perspiration |
JPH0329324A (ja) * | 1989-06-26 | 1991-02-07 | Fujitsu Ltd | 半導体装置の製造方法 |
DE69015715T2 (de) | 1989-07-11 | 1995-08-17 | Sony Corp | Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür. |
US5334462A (en) * | 1989-09-08 | 1994-08-02 | United Technologies Corporation | Ceramic material and insulating coating made thereof |
US5556501A (en) | 1989-10-03 | 1996-09-17 | Applied Materials, Inc. | Silicon scavenger in an inductively coupled RF plasma reactor |
US5126102A (en) | 1990-03-15 | 1992-06-30 | Kabushiki Kaisha Toshiba | Fabricating method of composite material |
GB2242443B (en) | 1990-03-28 | 1994-04-06 | Nisshin Flour Milling Co | Coated particles of inorganic or metallic materials and processes of producing the same |
JPH0423429A (ja) * | 1990-05-18 | 1992-01-27 | Mitsubishi Electric Corp | 半導体装置のプラズマ処理装置及びプラズマ処理方法 |
US5180467A (en) | 1990-08-08 | 1993-01-19 | Vlsi Technology, Inc. | Etching system having simplified diffuser element removal |
US5074456A (en) | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
JPH05198532A (ja) * | 1992-01-22 | 1993-08-06 | Hitachi Chem Co Ltd | プラズマエッチング装置用電極板 |
JPH05287521A (ja) * | 1992-04-09 | 1993-11-02 | Tel Varian Ltd | スパッタ装置 |
WO1993024275A1 (en) | 1992-06-01 | 1993-12-09 | Ice Blast International Ltd. | Particle blasting utilizing crystalline ice |
KR100276093B1 (ko) | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | 플라스마 에칭방법 |
DE69330719T2 (de) | 1992-12-28 | 2002-07-04 | Nippon Zeon Co | Gegenstand mit harter beschichtung und verfahren zu seiner herstellung |
US5362335A (en) * | 1993-03-25 | 1994-11-08 | General Motors Corporation | Rare earth coating process for aluminum alloys |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5891253A (en) | 1993-05-14 | 1999-04-06 | Applied Materials, Inc. | Corrosion resistant apparatus |
US5551190A (en) | 1993-05-19 | 1996-09-03 | Ohi Seisakusho Co., Ltd. | Slide door driving system |
US5614055A (en) | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
US5484752A (en) | 1993-11-12 | 1996-01-16 | Ube Industries, Ltd. | Ceramic composite material |
JP3308091B2 (ja) * | 1994-02-03 | 2002-07-29 | 東京エレクトロン株式会社 | 表面処理方法およびプラズマ処理装置 |
US5798016A (en) | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5680013A (en) * | 1994-03-15 | 1997-10-21 | Applied Materials, Inc. | Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces |
US5900103A (en) | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US5685914A (en) * | 1994-04-05 | 1997-11-11 | Applied Materials, Inc. | Focus ring for semiconductor wafer processing in a plasma reactor |
US5651723A (en) | 1994-04-13 | 1997-07-29 | Viratec Thin Films, Inc. | Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings |
US5521790A (en) | 1994-05-12 | 1996-05-28 | International Business Machines Corporation | Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization |
KR100331053B1 (ko) | 1994-05-17 | 2002-06-20 | 가나이 쓰도무 | 플라즈마처리장치및플라즈마처리방법 |
US5641375A (en) | 1994-08-15 | 1997-06-24 | Applied Materials, Inc. | Plasma etching reactor with surface protection means against erosion of walls |
US5885356A (en) | 1994-11-30 | 1999-03-23 | Applied Materials, Inc. | Method of reducing residue accumulation in CVD chamber using ceramic lining |
EP0722919B1 (en) | 1995-01-19 | 1999-08-11 | Ube Industries, Ltd. | Ceramic composite |
US5759360A (en) | 1995-03-13 | 1998-06-02 | Applied Materials, Inc. | Wafer clean sputtering process |
US6296740B1 (en) | 1995-04-24 | 2001-10-02 | Si Diamond Technology, Inc. | Pretreatment process for a surface texturing process |
US5534356A (en) | 1995-04-26 | 1996-07-09 | Olin Corporation | Anodized aluminum substrate having increased breakdown voltage |
TW323387B (zh) | 1995-06-07 | 1997-12-21 | Tokyo Electron Co Ltd | |
JP3208044B2 (ja) | 1995-06-07 | 2001-09-10 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP3192370B2 (ja) * | 1995-06-08 | 2001-07-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3164200B2 (ja) | 1995-06-15 | 2001-05-08 | 住友金属工業株式会社 | マイクロ波プラズマ処理装置 |
JP2814370B2 (ja) * | 1995-06-18 | 1998-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE19529627C1 (de) | 1995-08-11 | 1997-01-16 | Siemens Ag | Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung |
EP0777258A3 (en) | 1995-11-29 | 1997-09-17 | Applied Materials Inc | Self-cleaning plasma processing reactor |
US5894887A (en) | 1995-11-30 | 1999-04-20 | Applied Materials, Inc. | Ceramic dome temperature control using heat pipe structure and method |
US6373573B1 (en) | 2000-03-13 | 2002-04-16 | Lj Laboratories L.L.C. | Apparatus for measuring optical characteristics of a substrate and pigments applied thereto |
US5985102A (en) | 1996-01-29 | 1999-11-16 | Micron Technology, Inc. | Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using |
US5955182A (en) | 1996-02-05 | 1999-09-21 | Kabushiki Kaisha Toshiba | Heat resisting member and its production method |
JPH09235662A (ja) | 1996-02-28 | 1997-09-09 | Nittetsu Hard Kk | 溶射皮膜の形成方法 |
CN1074689C (zh) | 1996-04-04 | 2001-11-14 | E·O·帕通电子焊接研究院电子束工艺国际中心 | 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法 |
US6108189A (en) | 1996-04-26 | 2000-08-22 | Applied Materials, Inc. | Electrostatic chuck having improved gas conduits |
CA2205817C (en) | 1996-05-24 | 2004-04-06 | Sekisui Chemical Co., Ltd. | Treatment method in glow-discharge plasma and apparatus thereof |
US5892278A (en) | 1996-05-24 | 1999-04-06 | Dai Nippon Printingco., Ltd. | Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same |
US5820723A (en) | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
JP3241270B2 (ja) | 1996-06-25 | 2001-12-25 | 日本政策投資銀行 | 熱電変換装置 |
JPH1018043A (ja) * | 1996-07-03 | 1998-01-20 | Applied Materials Inc | プラズマ蒸着システム用スロット付rfコイル |
US5885402A (en) | 1996-07-17 | 1999-03-23 | Applied Materials | Diagnostic head assembly for plasma chamber |
US5904778A (en) | 1996-07-26 | 1999-05-18 | Applied Materials, Inc. | Silicon carbide composite article particularly useful for plasma reactors |
US5882411A (en) | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US6120640A (en) | 1996-12-19 | 2000-09-19 | Applied Materials, Inc. | Boron carbide parts and coatings in a plasma reactor |
US6301004B1 (en) | 2000-05-31 | 2001-10-09 | Lj Laboratories, L.L.C. | Apparatus and method for measuring optical characteristics of an object |
US5925228A (en) | 1997-01-09 | 1999-07-20 | Sandia Corporation | Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material |
JPH10214819A (ja) * | 1997-01-28 | 1998-08-11 | Sumitomo Metal Ind Ltd | プラズマエッチング用電極板 |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US5843239A (en) | 1997-03-03 | 1998-12-01 | Applied Materials, Inc. | Two-step process for cleaning a substrate processing chamber |
US5900064A (en) | 1997-05-01 | 1999-05-04 | Applied Materials, Inc. | Plasma process chamber |
US5851343A (en) | 1997-05-16 | 1998-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Protective shield around the inner edge of endpoint window in a plasma etching chamber |
US5994662A (en) | 1997-05-29 | 1999-11-30 | Applied Materials, Inc. | Unique baffle to deflect remote plasma clean gases |
US6143646A (en) | 1997-06-03 | 2000-11-07 | Motorola Inc. | Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation |
JP3707229B2 (ja) | 1997-06-27 | 2005-10-19 | コニカミノルタビジネステクノロジーズ株式会社 | 電子写真感光体およびこれを用いた電子写真画像形成装置 |
JP3362113B2 (ja) | 1997-07-15 | 2003-01-07 | 日本碍子株式会社 | 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法 |
JPH1136076A (ja) | 1997-07-16 | 1999-02-09 | Tokyo Electron Ltd | Cvd成膜装置およびcvd成膜方法 |
US6161500A (en) | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
US6066729A (en) * | 1997-11-18 | 2000-05-23 | Yamamoto Chemicals, Inc | Photothermal conversion material |
US6106625A (en) | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
KR100258984B1 (ko) * | 1997-12-24 | 2000-08-01 | 윤종용 | 건식 식각 장치 |
JP4217299B2 (ja) | 1998-03-06 | 2009-01-28 | 東京エレクトロン株式会社 | 処理装置 |
US6129808A (en) | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
KR100265288B1 (ko) | 1998-04-22 | 2000-10-02 | 윤종용 | 반도체소자 제조용 식각장치의 배플 |
JP4037956B2 (ja) | 1998-04-28 | 2008-01-23 | 東海カーボン株式会社 | チャンバー内壁保護部材 |
JP3810039B2 (ja) | 1998-05-06 | 2006-08-16 | キヤノン株式会社 | ステージ装置 |
US6246479B1 (en) | 1998-06-08 | 2001-06-12 | Lj Laboratories, L.L.C. | Integrated spectrometer assembly and methods |
US6182603B1 (en) | 1998-07-13 | 2001-02-06 | Applied Komatsu Technology, Inc. | Surface-treated shower head for use in a substrate processing chamber |
US6335293B1 (en) | 1998-07-13 | 2002-01-01 | Mattson Technology, Inc. | Systems and methods for two-sided etch of a semiconductor substrate |
US6123791A (en) | 1998-07-29 | 2000-09-26 | Applied Materials, Inc. | Ceramic composition for an apparatus and method for processing a substrate |
US6389506B1 (en) | 1998-08-07 | 2002-05-14 | Cisco Technology, Inc. | Block mask ternary cam |
JP4162773B2 (ja) | 1998-08-31 | 2008-10-08 | 東京エレクトロン株式会社 | プラズマ処理装置および検出窓 |
US6170429B1 (en) * | 1998-09-30 | 2001-01-09 | Lam Research Corporation | Chamber liner for semiconductor process chambers |
US6123804A (en) | 1999-02-22 | 2000-09-26 | Applied Materials, Inc. | Sectional clamp ring |
US6221202B1 (en) | 1999-04-01 | 2001-04-24 | International Business Machines Corporation | Efficient plasma containment structure |
JP3911902B2 (ja) * | 1999-04-16 | 2007-05-09 | 東京エレクトロン株式会社 | 処理装置及び金属部品の表面処理方法 |
US6444083B1 (en) * | 1999-06-30 | 2002-09-03 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof |
US6387817B1 (en) | 1999-09-07 | 2002-05-14 | Agere Systems Guardian Corp. | Plasma confinement shield |
JP4285853B2 (ja) | 1999-09-08 | 2009-06-24 | 東京エレクトロン株式会社 | 処理方法 |
US6296716B1 (en) | 1999-10-01 | 2001-10-02 | Saint-Gobain Ceramics And Plastics, Inc. | Process for cleaning ceramic articles |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
US6265757B1 (en) | 1999-11-09 | 2001-07-24 | Agere Systems Guardian Corp. | Forming attached features on a semiconductor substrate |
JP3510993B2 (ja) | 1999-12-10 | 2004-03-29 | トーカロ株式会社 | プラズマ処理容器内部材およびその製造方法 |
TW514996B (en) * | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6362888B1 (en) | 1999-12-23 | 2002-03-26 | Lj Laboratories, L.L.C. | Spectrometer assembly |
US6519037B2 (en) | 1999-12-23 | 2003-02-11 | Lj Laboratories, Llc | Spectrometer having optical unit including a randomized fiber optic implement |
JP3567855B2 (ja) | 2000-01-20 | 2004-09-22 | 住友電気工業株式会社 | 半導体製造装置用ウェハ保持体 |
JP4342069B2 (ja) * | 2000-02-14 | 2009-10-14 | 生化学工業株式会社 | α1,4−ガラクトース転移酵素およびそれをコードするDNA |
WO2001068323A1 (de) * | 2000-03-15 | 2001-09-20 | Preising Paul Eric | Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile |
TW503449B (en) | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
JP4422295B2 (ja) | 2000-05-17 | 2010-02-24 | キヤノンアネルバ株式会社 | Cvd装置 |
TWI290589B (en) * | 2000-10-02 | 2007-12-01 | Tokyo Electron Ltd | Vacuum processing device |
US6413578B1 (en) | 2000-10-12 | 2002-07-02 | General Electric Company | Method for repairing a thermal barrier coating and repaired coating formed thereby |
US20020090464A1 (en) * | 2000-11-28 | 2002-07-11 | Mingwei Jiang | Sputter chamber shield |
US20040081746A1 (en) * | 2000-12-12 | 2004-04-29 | Kosuke Imafuku | Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment |
US6630201B2 (en) * | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US6533910B2 (en) | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US7128804B2 (en) * | 2000-12-29 | 2006-10-31 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof |
US6613442B2 (en) | 2000-12-29 | 2003-09-02 | Lam Research Corporation | Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof |
US6805952B2 (en) | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
US6790242B2 (en) * | 2000-12-29 | 2004-09-14 | Lam Research Corporation | Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof |
US6537429B2 (en) | 2000-12-29 | 2003-03-25 | Lam Research Corporation | Diamond coatings on reactor wall and method of manufacturing thereof |
CN1220989C (zh) | 2001-02-07 | 2005-09-28 | 株式会社新王磁材 | 制造铁基稀土磁体用合金材料的方法 |
US6830622B2 (en) * | 2001-03-30 | 2004-12-14 | Lam Research Corporation | Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof |
US6811651B2 (en) | 2001-06-22 | 2004-11-02 | Tokyo Electron Limited | Gas temperature control for a plasma process |
US6527911B1 (en) | 2001-06-29 | 2003-03-04 | Lam Research Corporation | Configurable plasma volume etch chamber |
KR100431660B1 (ko) | 2001-07-24 | 2004-05-17 | 삼성전자주식회사 | 반도체 장치의 제조를 위한 건식 식각 장치 |
US20030029563A1 (en) * | 2001-08-10 | 2003-02-13 | Applied Materials, Inc. | Corrosion resistant coating for semiconductor processing chamber |
US6849306B2 (en) * | 2001-08-23 | 2005-02-01 | Konica Corporation | Plasma treatment method at atmospheric pressure |
KR100440500B1 (ko) | 2001-12-07 | 2004-07-15 | 주식회사 코미코 | 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법 |
US6776873B1 (en) | 2002-02-14 | 2004-08-17 | Jennifer Y Sun | Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers |
US6864516B2 (en) * | 2002-02-28 | 2005-03-08 | Advanced Micro Devices, Inc. | SOI MOSFET junction degradation using multiple buried amorphous layers |
GB2386907B (en) * | 2002-03-27 | 2005-10-26 | Isle Coat Ltd | Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process |
US7311797B2 (en) * | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
US6852433B2 (en) | 2002-07-19 | 2005-02-08 | Shin-Etsu Chemical Co., Ltd. | Rare-earth oxide thermal spray coated articles and powders for thermal spraying |
KR100460143B1 (ko) * | 2002-08-02 | 2004-12-03 | 삼성전자주식회사 | 반도체 제조설비용 프로세스 챔버 |
JP3776856B2 (ja) * | 2002-09-13 | 2006-05-17 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US7204912B2 (en) * | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US6837966B2 (en) | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7166166B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US20040060779A1 (en) * | 2002-10-01 | 2004-04-01 | Charles Kreger | Distance compensating shim for clutch/brake and method of determining same |
US6806949B2 (en) | 2002-12-31 | 2004-10-19 | Tokyo Electron Limited | Monitoring material buildup on system components by optical emission |
US6894769B2 (en) * | 2002-12-31 | 2005-05-17 | Tokyo Electron Limited | Monitoring erosion of system components by optical emission |
JP2004241203A (ja) | 2003-02-04 | 2004-08-26 | Hitachi High-Technologies Corp | プラズマ処理室壁処理方法 |
CN100418187C (zh) * | 2003-02-07 | 2008-09-10 | 东京毅力科创株式会社 | 等离子体处理装置、环形部件和等离子体处理方法 |
US7029536B2 (en) * | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
-
2002
- 2002-09-30 US US10/259,858 patent/US7147749B2/en not_active Expired - Lifetime
-
2003
- 2003-09-29 KR KR1020057005442A patent/KR100739247B1/ko active IP Right Grant
- 2003-09-29 CN CNB038222590A patent/CN100424811C/zh not_active Expired - Fee Related
- 2003-09-29 JP JP2004539386A patent/JP4589115B2/ja not_active Expired - Fee Related
- 2003-09-29 AU AU2003274566A patent/AU2003274566A1/en not_active Abandoned
- 2003-09-29 WO PCT/IB2003/004923 patent/WO2004030020A2/en active Application Filing
-
2006
- 2006-10-23 US US11/584,525 patent/US7566379B2/en not_active Expired - Fee Related
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
US5891350A (en) * | 1994-12-15 | 1999-04-06 | Applied Materials, Inc. | Adjusting DC bias voltage in plasma chambers |
CN1154644A (zh) * | 1995-12-15 | 1997-07-16 | 日本电气株式会社 | 等离子体工艺设备的腔体腐蚀 |
US5952060A (en) * | 1996-06-14 | 1999-09-14 | Applied Materials, Inc. | Use of carbon-based films in extending the lifetime of substrate processing system components |
US6383964B1 (en) * | 1998-11-27 | 2002-05-07 | Kyocera Corporation | Ceramic member resistant to halogen-plasma corrosion |
JP2002151473A (ja) * | 2000-11-13 | 2002-05-24 | Tokyo Electron Ltd | プラズマ処理装置及びその組立方法 |
Also Published As
Publication number | Publication date |
---|---|
JP4589115B2 (ja) | 2010-12-01 |
WO2004030020A2 (en) | 2004-04-08 |
US20040060661A1 (en) | 2004-04-01 |
WO2004030020A3 (en) | 2004-09-16 |
JP2006501609A (ja) | 2006-01-12 |
KR100739247B1 (ko) | 2007-07-12 |
CN1682342A (zh) | 2005-10-12 |
AU2003274566A1 (en) | 2004-04-19 |
US7566379B2 (en) | 2009-07-28 |
AU2003274566A8 (en) | 2004-04-19 |
US7147749B2 (en) | 2006-12-12 |
US20070034337A1 (en) | 2007-02-15 |
KR20050053710A (ko) | 2005-06-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100424811C (zh) | 等离子加工系统中带有沉积罩的上电极板 | |
CN100466153C (zh) | 等离子加工系统中用于改进的沉积罩的方法和设备 | |
CN100380564C (zh) | 用于等离子体处理系统中的改进的折流板的方法和设备 | |
CN100367446C (zh) | 用于等离子体工艺系统中的光学窗口淀积屏蔽 | |
US7566368B2 (en) | Method and apparatus for an improved upper electrode plate in a plasma processing system | |
US7678226B2 (en) | Method and apparatus for an improved bellows shield in a plasma processing system | |
CN1682339B (zh) | 用于等离子体工艺系统中的改进的挡板的方法和装置 | |
CN1849691A (zh) | 包括改进聚焦环的方法和装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20081008 Termination date: 20150929 |
|
EXPY | Termination of patent right or utility model |