CN100460805C - 散射对齐法在平版压印中的应用 - Google Patents

散射对齐法在平版压印中的应用 Download PDF

Info

Publication number
CN100460805C
CN100460805C CNB038224569A CN03822456A CN100460805C CN 100460805 C CN100460805 C CN 100460805C CN B038224569 A CNB038224569 A CN B038224569A CN 03822456 A CN03822456 A CN 03822456A CN 100460805 C CN100460805 C CN 100460805C
Authority
CN
China
Prior art keywords
template
substrate
alignment
alignment mark
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB038224569A
Other languages
English (en)
Other versions
CN1997869A (zh
Inventor
M·P·C·瓦茨
I·姆克麦基
S·V·斯里尼瓦桑
B-J·乔伊
R·D·弗伊欣
N·E·苏马克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN1997869A publication Critical patent/CN1997869A/zh
Application granted granted Critical
Publication of CN100460805C publication Critical patent/CN100460805C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • B29C59/022Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing characterised by the disposition or the configuration, e.g. dimensions, of the embossments or the shaping tools therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • B29C59/026Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing of layered or coated substantially flat surfaces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

Abstract

介绍了用平版压印工艺在基底上形成图案的方法。在平版压印工艺中,先将液体分布到基底上,然后使模板与液体接触,并固化液体,其中固化液体包含在模板上形成的任何图案的印记。在一种实施方式中,用散射法使模板与预先在基底上形成的层对齐。

Description

散射对齐法在平版压印中的应用
发明领域
本发明涉及平版压印的方法和系统。更具体地说,本发明涉及微米和纳米尺度平版压印工艺的方法和系统。
发明背景
当前多数微电子器件是用光学平版印刷术制造的。但是,人们相信这些方法在分辨率上正在接近极限。亚微米尺度的平版印刷已经成为微电子工业的关键工艺。生产商利用亚微米平版印刷技术可以满足呼声日高的对芯片电路小型化和密集化的要求。可以预期,微电子工业所追求的结构将小至50nm左右,甚至更小。此外,纳米平版印刷在光电子和磁性存储领域的应用也浮出水面。例如,光子晶体和亿万字节/平方英寸的高密度印花磁性存储器需要尺度小于100nm的平版印刷技术。
为制造尺寸小于50nm的结构,光学平版印刷技术可能要利用波长非常短的光(例如,约13.2nm)。在这种短波长下,许多普通的材料不再透光,因而成像系统通常要用复杂的反光器件制造。此外,要在这些波长下获得具有足够输出强度的光源很困难。这种系统的设备和工艺极其复杂,在费用上是一大障碍。人们还确信,高分辨率电子束平版印刷技术虽然非常精确,但速度太慢,无法付诸大规模商业应用。
人们已经对几种平版压印技术进行了探索,以适用于低成本大规模生产代替传统的高分辨率成图的照相平版印刷技术。各种平版压印技术比较相似,它们都用具有一定形貌的模板在基底膜上复制表面浮雕图案。一种平版压印的形式是众所周知的热浮雕法。
热浮雕技术面临若干挑战:1)压印浮雕结构通常需要100MPa以上的压力;2)温度必须高于聚合物膜的Tg;3)(基底膜中的)图案限于隔离沟或类似于重复的线和间隔的密集结构。热浮雕不适合印刷分立的突起结构,如线和点,这是因为基底膜温度升高形成的高粘性液体需要极高的压力和极长的时间才能大批移动,从而形成分立结构。这种图案依赖性使热浮雕技术失去吸引力。另外,高温、高压、热膨胀和材料变形对逐层对齐到器件生产所需精度构成严峻的技术挑战。图案对不齐会给应用带来问题,如存储应用中带有图案的磁性介质。读写头对印花介质比特的寻址非常困难,除非图案位置偏差能够保持最小。
发明概述
在一种实施方式中,印花层这样形成,即在印花模板的存在下,固化基底上可通过激发光固化的液体。印花模板位于基底上的预定部分。一般地,基底的预定部分包含预先形成图案的区域。模板与基底借助它们上面的对齐标记对齐。
在一种实施方式中,印花模板与基底隔开一定距离。印花模板包含一个对齐标记。模板对齐标记包括一个衍射光栅,它与对应的基底对齐标记相匹配。本体上装有散射对齐系统,用该系统可以分析模板衍射光栅与基底衍射光栅的对齐情况。用光以基本上垂直于基底平面的角度照射模板对齐标记和基底对齐标记,可以完成对齐操作。测定模板和基底对齐标记上反射的非零级光,所述光测定包括分析多个波长的光强度。对在不同波长下读取的光强度值求平均,以此确定平均对齐误差。平均对齐误差可用来调整模板相对于基底的位置,然后再形成印花层。
在另一种实施方式中,印花模板与基底隔开一定距离。印花模板包含一个对齐标记。模板对齐标记包括一个衍射光栅,它与对应的基底对齐标记相匹配。用两个光束以基本上不垂直于基底平面的角度照射模板对齐标记和基底对齐标记,可以完成对齐操作。测定模板和基底对齐标记上反射的零级光,光测定值包括分析多个波长的光强度。对在多个波长下读取的光强度值求平均,以此确定平均对齐误差。平均对齐误差可用来调整模板相对于基底的位置,然后再形成印花层。
在另一种实施方式中,印花模板与基底隔开一定距离。印花模板包含一个对齐标记。模板对齐标记包括一个衍射光栅,它与对应的基底对齐标记相匹配。用两束入射光以基本上不垂直于基底平面的角度照射模板对齐标记和基底对齐标记,可以完成对齐操作。测定模板和基底对齐标记上反射的非0级的光,光测定值包括分析多个波长的光强度。对在不同波长下读取的光强度值求平均,以此确定平均对齐误差。平均对齐误差可用来调整模板相对于基底的位置,然后再形成印花层。
附图简述
结合附图阅读以下详细描述后,将能更好地理解本发明的其他目标和优点:
图1所示为平版压印系统的一种实施方式;
图2所示为平版压印系统的外壳;
图3所示为平版压印系统上配备的平版压印头的一种实施方式;
图4所示为压印头的透视图;
图5所示为压印头的分解图;
图6所示为第一弯曲件的透视图;
图7所示为第二弯曲件的透视图;
图8所示为第一和第二弯曲件结合在一起的透视图;
图9所示为压印头预校正系统上配备的精细定向系统的透视图;
图10所示为预校正系统的剖面图;
图11所示为弯曲系统的示意图;
图12所示为平版压印系统的运动台和压印头的透视图;
图13所示为液体给料系统的示意图;
图14所示为压印头的透视图,压印头上连有光源和照相机;
图15和16所示为液滴与一部分模板之间的界面的侧视图;
图17所示为模板的第一种实施方式的剖面图,该模板将液体限制在模板周缘;
图18所示为模板的第二种实施方式的剖面图,该模板将液体限制在模板周缘;
图19A—D所示为模板与基底上的液体接触的一系列步骤的剖面图;
图20A—B分别是含有许多图案区和边界的模板的顶视图和剖面图;
图21所示为与压印头的预校正系统相连的刚性模板支撑系统的透视图;
图22所示为与X-Y运动系统相连的压印头;
图23A—F所示为阴模平版压印工艺的剖面图;
图24A—D所示为带有转移层的阴模平版压印工艺的剖面图;
图25A—D所示为阳模平版压印工艺的剖面图;
图26A—C所示为带有转移层的阴模平版压印工艺的剖面图;
图27A—D所示为阳模和阴模组合平版压印工艺的剖面图;
图28所示为装在模板和基底上的光学对齐测定装置的示意图;
图29所示为确定模板与基底对齐情况的示意图,它利用对齐标记依次进行观察和再聚焦;
图30所示为确定模板与基底对齐情况的示意图,它利用对齐标记和偏振滤光片;
图31所示为由偏振线形成的对齐标记的顶视图;
图32A—C所示为施涂在基底上的可固化液体图案的顶视图;
图33A—C所示为固化后从基底上取下模板的示意图;
图34所示为电场平版印刷基底上的模板的实施方式;
图35A—D所示为利用与模板接触形成纳米结构的工艺的第一种实施方式;
图36A—C所示为不与模板接触形成纳米结构的工艺的第一种实施方式;
图37A—B所示为包含位于非传导基底上的连续印花传导层的模板;
图38所示为含有基底倾斜模块的运动台;
图39所示为包含精细定向系统的运动台;
图40所示为基底载体的示意图;
图41所示为平版压印系统的示意图,它包含位于基底载体下方的压印头;
图42所示为模板和基底的运动角度;
图43所示为基于干涉仪的定位探测器的示意图;
图44所示为基于干涉仪的定位探测器的透视图;
图45所示为印花模板的剖面图,该模板包含由边界围起的对齐标记;
图46A—D所示为离轴对齐方法的示意图;
图47A—E所示为θ对齐法的俯视图;
图48A所示为含有衍射光栅的对齐靶的顶视图;
图48B所示为衍射光栅的剖面图;
图48C所示为含有衍射光栅的对齐靶的顶视图,衍生光栅具有不同的间隔;
图49所示为散射系统的示意图,该系统用于分析多波长N级散射光;
图50所示为散射系统的示意图,该系统用于分析通过光学元件的多波长N级衍射光;
图51所示为散射系统的示意图,该系统用于分析非垂直角的零级散射光;
图52所示为散射系统的示意图,该系统用于分析通过光学元件的非垂直角的零级散射光;
图53所示为散射系统的示意图,该系统用于分析通过光纤系统的非垂直角的零级散射光;
图54所示为散射系统的示意图,该系统用于分析通过光纤系统的非垂直角的N级散射光。
尽管本发明可具有各种改进形式和替代形式,附图仍通过实例的方式示出了一些具体实施方式,并在下面进行详细叙述。但应当理解,这里的附图和详述无意将本发明限制在所介绍的特定形式上,相反,本发明覆盖所有的改进形式、等价形式和替代形式,只要它们符合本发明附属权利要求所确定的主旨和范围。
发明详述
这里所述实施方式总体上涉及生产小型器件的系统、装置和相关工艺。更具体地,这里所述实施方式涉及平版压印的系统、装置和相关工艺。例如,这些实施方式可用于在基底,如半导体晶片上压印小于100nm的结构。应当理解,这些实施方式也可用于生产其他类型的器件,包括但不限于:用来存储数据的印花磁介质、微型光学器件、微电机系统、生物测试器件、化学测试和反应器件以及X-射线光学器件。
平版压印工艺采用含有图像作为表面形貌的模板,在基底上复制高分辨率(小于50nm)图像。平版压印可在微电子器件、光学器件、MEMS、光电子器件、用于存储的印花磁介质等的生产中用来在基底上形成图案。在制备三维结构如微小透镜和T-门结构时,平版压印技术优于光学平版印刷技术。平版压印系统的组件要适当加工,以适应重复操作的工艺,其中平版印刷系统包含模板、基底、液体和其他任何能够影响系统物理性质的材料,所述物理性质包括但不限于表面能、界面能、Hamacker常数、范德华力、粘度、密度、不透明度等。
平版压印的方法和系统在Willson等题为“Step and Flash ImprintLithography”的美国专利6334960中有过讨论,该专利在此引为参考。平版压印的其他方法和系统还见述于登记于2001年7月17日题为“Method and Systemof Automatic Fluid Dispensing for Imprint Lithography Processes”的美国专利申请09/908455;登记于2001年7月16日题为“High Resolution Overlay AlignmentMethods and Systems for Imprint Lithography”的美国专利申请09/907512;登记于2001年8月1日题为“Methods for High Precision Gap Orientation SensingBetween a Transparent Template and Substrate for Imprint Lithograph”的美国专利申请09/920341;登记于2001年8月21日题为“Flexure Based Macro MotionTransaction Stage”的美国专利申请09/934,248;登记于2001年10月27日题为“High Precision Orientation Alignment and Gap Control Stages for ImprintLithography Processes”的美国专利申请09/698317;Voison登记于2001年10月12日题为“Template Design for Room Temperature,Low Pressure Micro-andNano-Imprint Lithography”的美国专利09/976681;登记于2002年5月1日题为“Methods of Manufacturing a Lithography Template”的美国专利10/136188;Willson等登记于2001年5月16日题为“Methods and System for FabricatingNanoscale Patterns in Light Curable Compositions Using an Electric Field”的美国专利申请;以上所有专利在此均引为参考。其他方法和系统见述于以下出版物,它们均参考引用于此:“Design of Orientation Stages for Step and Flash ImprintLithography”,B.J.Choi.,S.Johnson,M.Colburn,S.V.Sreenivasan,C.G.Willson,将发表于J.of Precision Engeering;“Large area high density quantizedmagnetic disks fabricated using nanoimprint lithography”,W.Wu,B.Cui,X.Y.Sun,W.Zhang,L.Zhuang and S.Y.Chou.,J.Vac Sci Technol B 16(6)3825-3829,Nov-Dec 1998;“Lithographically-induced Self-assembly of Periodic PolymerMicropillar Arrays”,S.Y.Chou,L.Zhuang,J Vac Sci Tech B 17(6),3197-3202,1999和“Large Area Domain Alignment in Block Copolymer Thin Films UsingElectric Fields”,P.Mansky,J.DeRouchey,J.Mays,M.Pitsikalis,T.Morkved,H.Jaeger and T.Russell,Macromolecules 13,4399(1998)。
图1所示为平版压印系统3900的一种实施方式。系统3900包含压印头3100。压印头3100安装在压印头支架3910上。压印头3100的结构适合安放印花模板3700。印花模板3700包含许多凹陷部位,它们形成了要压印到基底上的特征图案。压印头3100或运动台3600的结构还适合在使用中让印花模板3700相对于要压印的基底来回移动。系统3900还包含运动台3600。运动台3600安装在运动台承载板3920上。运动台3600的结构适合安放基底,并使基底相对于运动台承载板3920基本上水平运动。系统3900还包含与压印头3100相连的光固化系统3500。激发光系统3500的结构适合产生固化光,让所产生的固化光通过与压印头3100相连的印花模板3700。固化光包括波长合适、能够固化可聚合液体的光。固化光包括紫外光、可见光、红外光、X-射线和电子束。
压印头支架3910通过桥连支架3930连接到运动台承载板3920。以这种方式,压印头支架3910、运动台承载板3920和桥连支架3930在此统称为系统“体”。该系统体的部件由热稳定材料制成。热稳定材料的热膨胀系数在室温(如25℃)小于约10ppm/℃。在一些实施方式中,结构材料的热膨胀系数小于约10ppm/℃,或小于1ppm/℃。这样的材料的例子包括碳化硅,一些铁合金,包括但不限于:一些钢和镍的合金(如以
Figure C03822456D0012102941QIETU
商品名购得的合金),和一些钢、镍和钴的合金(如以SUPER 
Figure C03822456D0012102941QIETU
商品名购得的合金)。这样材料的另外的例子包括但不限于:陶瓷。运动台承载板3920和桥连支架3930连接到支架台3940。支架台3940对系统3900的部件提供无振动支撑。支架台3940将系统3900与环境振动(如由于操作,其他机器等)隔开。运动台和振动隔开支架台都可从Nw\ewport Corporation of Irvine,California购得。##
这里所用“X轴”是指穿过两桥连支架3930之间的轴,这里所用“Y轴”是指与X轴正交的轴。这里所用“X-Y平面”是指由X轴和Y轴所确定的平面。这里所用“Z轴”是指从运动台承载板3920到压印头支架3910之间的轴,它正交于X-Y平面。一般地,压印过程涉及基底或压印头沿着X-Y平面移动,直到基底相对于印花模板到达合适的位置。模板或运动台沿着Z轴移动时,印花模板将到达与基底表面上放置的液体相接触的位置。
系统3900可置于图2所示外壳3960中。外壳3960包裹平版压印系统3900,对平版印刷组件提供热屏障和空气屏障。外壳3960包含可移动入口面板3962,当面板移动到“开”的位置时,可接触压印头和运动台,如图2所示。当处于“关”的位置时,系统3900的组件至少部分与环境隔开。入口面板3962还可用作隔热屏障,减少房间温度的变化对外壳3960中组件温度的影响。外壳3960包含控温系统。控温系统是用来控制外壳3960中组件温度的。在一种实施方式中,控温系统可防止外壳3960中的温度波动超过约1℃。在某些实施方式中,控温系统可防止温度波动超过约0.1℃。在一种实施方式中,可用自动调温器或其他测温装置结合一个或多个风扇来维持外壳3960中的温度基本恒定。
外壳3960上也可以配置各种用户界面。计算机控制的用户界面3964可安装在外壳3960上。用户界面3964可显示操作参数、诊断信息、工作进度和其他与所包容的压印系统3900的功能有关的信息。用户界面3964还可接收操作指令,改变系统3900的操作参数。外壳3960上还可配一个台面3966。操作者可在平版压印过程中利用台面3966放基底、模板和其他设备。在某些实施方式中,台面3966可包含一个或多个凹槽3967,用来盛放基底(例如用来放半导体晶片的圆形凹槽)。台面3966还可包含一个或多个盛放模板的凹槽3968。
还可以包含其他组件,具体取决于平版压印系统要完成的工艺。例如,对于半导体加工,包含但不限于自动晶片装填器、自动模板装填器和盒式装填器界面(均未示出)的设备可配在平版压印系统3900上。
图3所示为压印头3100的局部实施方式。压印头3100包含预校正系统3109和与预校正系统相连的精细定向系统3111。模板支架3130与精细定向系统3111相连。模板支架3130可支撑模板3700,并将它连接到精细定向系统3111上。
参见图4,圆盘形弯曲环3124构成预校正系统3109的一部分,它与压印头外壳3120相连。压印头外壳3120连接到带有导杆3112a、3112b的中间框架3114上。在一种实施方式中,可使用三个导杆(背部导杆在图4中看不见)支撑外壳3120。通过中间框架3114连接到相应的导杆3112a、3112b上的滑块3116a、3116b用来帮助外壳3120上下移动。圆盘形基板3122连接到外壳3120的底部。基板3122可连接到弯曲环3124上。弯曲环3124支撑精细定向系统组件,所示系统组件包含第一弯曲件3126和第二弯曲件3128。弯曲件3126和3128的操作与结构将在下面详加讨论。
图5所示为压印头3700的分解图。如图5所示,促动器3134a、3134b和3134c固定在外壳3120中,并连接到基板3122和弯曲环3124上。在操作中,促动器3134a、3134b和3134c的运动控制着弯曲环3124的运动。促动器3134a、3134b和3134c的运动可用于粗预校正。在某些实施方式中,促动器3134a、3134b和3134c可沿外壳3120等间距分布。促动器3134a、3134b、3134c和弯曲环3124共同构成预校正系统。促动器3134a、3134b和3134c可让弯曲环3124沿Z轴平移,从而精确控制间隙。
压印头3100还包含可对模板3700进行精确定向控制的机制,使模板与基底表面之间的方向正确对齐,并且它们之间的间隙保持均匀。在一种实施方式中,分别用第一和第二弯曲件3126和3128实现对齐和控制间隙。
图6和7分别为第一和第二弯曲件3126和3128的实施方式的更详细情况。如图6所示,第一弯曲件3126包含许多与对应的刚性体3164和3166相连的弯曲接头3160。弯曲接头3160可带有凹口,使刚性体3164和3166绕着中枢轴运动,所述中枢轴位于弯曲接头最薄的剖面上。弯曲接头3160和刚性体3164一起形成臂3172,而另一个接头3160和刚性体3166一起形成臂3174。臂3172和3174与第一弯曲框架3170相连,并且延伸出去。第一弯曲框架3170有一个孔3182,它可让固化光(例如紫外光)通过第一弯曲件3126。在所示实施方式中,四个弯曲接头3160让第一弯曲框架3170绕着第一定向轴3180运动。但应当理解,实现所需控制采用的弯曲接头可多可少。第一弯曲件3126通过第一弯曲框架3170与第二弯曲件3128连接,如图8所示。第一弯曲件3126还包含两个连接件3184和3186。连接件3184和3186有两个孔,使连接件可用任何合适的紧固件连接到弯曲环3124上。连接件3184和3186通过图中所示臂3172和3174连接到第一弯曲框架3170上。
第二弯曲件3128包含一对臂3202和3204,它们从第二弯曲框架3206伸出来,如图7所示。弯曲接头3162和刚性体3208一起构成臂3202,而另一个弯曲接头3162和刚性体3210一起构成臂3204。弯曲接头3162带有凹口,使刚性体3210和3204绕着中枢轴运动,所述中枢轴位于弯曲接头最薄的剖面上。臂3202和3204与模板载体3130相连,并且延伸出去。模板载体3130可承载至少一部分印花模板。模板载体3130也有一个孔3212,它可让固化光(例如紫外光)通过第二弯曲件3128。在所示实施方式中,四个弯曲接头3162可让模板载体3130绕着第二定向轴3200运动。但应当理解,实现所需控制采用的弯曲接头可多可少。第二弯曲件3128还包含托架3220和3222。托架3220和3222包含孔,使得托架可固定到第一弯曲件3126的某些位置上。
在一种实施方式中,第一弯曲件3126和第二弯曲件3128如图8所示连接起来,形成精细定向部件3111。托架3220和3222连接到第一弯曲框架3170上,这样第一弯曲件3126的第一定向轴3180和第二弯曲件3128的第二定向轴3200基本上彼此正交。在这种结构下,第一定向轴3180和第二定向轴3200在中枢点3252上相交,所述中枢点大约位于模板载体3130中印花模板3700的中央区域。第一和第二弯曲件连接后,在使用中可对印花模板3700进行精确对位和控制间隙。虽然第一和第二弯曲件在图中是分离的元件,但应当理解,第一和第二弯曲件可由一单个机加工成的部件形成,其中弯曲件集成在一起。弯曲件3126和3128通过表面结合连接在一起,因而印花模板3700只绕着中枢点3252运动,而基本上没有“摆动”和其他运动,这些运动在平版压印中会破坏压印特征。精细定向部分使模板表面上的横向运动可忽略不计,使垂直于模板表面的扭曲运动可忽略不计,因为弯曲接头的高结构刚度有选择地受到约束。使用上述弯曲件的另一个优点是,它们不会产生过多微粒,特别是与摩擦接头相比。这给平版压印过程带来了好处,因为微粒会中断这种过程。
图9所示为与预校正系统相连的组装精细定向系统。印花模板3700位于模板载体3130里面,该模板载体是第二弯曲件3128的一部分。第二弯曲件3128与第一弯曲件3126相连,并基本上与之正交。第一弯曲件3124通过连接件3186和3184与弯曲环3124相连。弯曲环3124与基板3122相连,如上所述。
图10所示为预校正系统从剖面3260看去的剖面图。如图10所示,弯曲环3124通过促动器3134与基板3122相连。促动器3134包含与力探测器3135相连的端部3270,所述探测器与弯曲环3124接触。在使用中,促动器3134推动端部3270相对于弯曲环3124来回运动。端部3270向着弯曲环3124的运动会引起弯曲环变形,使精细定向系统沿着Z轴向基底平移。端部3270远离弯曲环移动时,弯曲环可回复到其初始形状,并且在操作过程中使精细定向台远离基底移动。
在典型的压印工艺中,模板位于与精细定向系统相连的模板夹上,如前面各图所示。使模板与基底表面上的液体接触。在模板愈来愈靠近基底的过程中,压缩基底上的液体,使液体对模板产生阻力。该阻力通过精细定向系统转移到弯曲环3124上,如图9和10所示。施加在弯曲环3124上的阻力又转移到促动器3134上。施加在促动器3134上的阻力可用力传感器3135测定。力传感器3135可与促动器3134连接,因而对使用过程中施加在促动器3134上的阻力可进行测定并加以控制。
图11所示为弯曲模型,总的标记为3300,用它可帮助理解精细去连接定向阶段的操作原理,如这里所述精细定向部分。弯曲模型3300可包含四个平行接头:接头1、2、3和4,它们在其标称和旋转结构中提供四棒连接体系。线3310表示接头1和2的对位轴。线3312表示接头3和4的对位轴。角α1代表通过模板3700中央的垂直轴与线3310之间的夹角。角α2代表通过模板3700中央的垂直轴与线3312之间的夹角。在某些实施方式中,角α1和α2这样选择,使合适的定位轴(或定向轴)基本上位于模板3700的表面上。对于精细定向变化,接头2和3之间的刚性体3314可绕着点C所示轴转动。刚性体3314可代表第二弯曲件3128的模板载体3130。
精细定向系统在与之相连的模板表面产生纯翻转运动,而基本上没有横向运动。用弯曲臂可以使精细定向系统在不需要侧边运动或转动的方向具有较高刚度,而在需要定向运动的方向具有较低的刚度。因此,精细定向系统允许模板载体,进而允许模板绕着模板表面上的中枢点转动,同时在垂直于模板和平行于模板的方向提供足够的阻力,使模板相对于基底保持恰当的位置。在这种方式下,采用被动定向系统使模板处于平行方向。术语“被动”是指运动在没有使用者或编程控制器干预的情况下发生,即系统通过使模板与液体接触来自动校正到恰当的方向。也可以采用替代实施方式,即用马达控制弯曲臂的运动,产生主动弯曲。
精细定向台的运动可通过与液体的直接或间接接触来驱动。如果精细定向台是被动式的,则在一种实施方式中,它对两个定向轴具有最强的柔顺性。两个定向轴彼此正交,并位于精细定向台上的压印件的压印表面上。对于对称压印件,两个正交扭转柔量的设定值相同。若模板不平行于基底,被动精细定向系统可调整模板的方向。当模板与基底上的液体接触时,弯曲件可补偿模板上形成的不均匀液压。这种补偿极少或不会过头。此外,上述精细定向台能使模板和基底在足够长的时间内基本保持平行,以便液体发生固化。
压印头3100安装在图1所示压印头支架3910上。在此实施方式中,压印头安装后就始终保持固定位置。在使用中,沿X-Y平面的所有运动都由运动台3600进行到基底。
运动台3600是用来支撑要压印的基底的,并在使用中使基底沿X-Y平面运动。在某些实施方式中,运动台能够使基底移动长达数百毫米的距离,精度至少为±30nm,较佳地约为±10nm。在一种实施方式中,运动台包含基底卡盘3610,它与卡盘架3620相连,如图12所示。卡盘架3620绕着摩擦支承系统或非摩擦支承系统上的基座3630运动。在一种实施方式中,采用包含空气支承的非摩擦支承系统。在一种实施方式中,卡盘架3620借助空气层(即“空气支承”)悬浮在运动台基座3630上。可用磁或真空系统为空气支承水平提供配重力,使之平衡。基于磁或真空的系统可从很多厂家购买,任何一种系统都可用于平版压印工艺。适用于平版压印工艺的运动台的一个例子是NewportCorporation,Irvine(美国加州)生产的Dynam YX运动台。运动台还可包含类似于校正台的翻转台,使基底大致与X-Y运动平面保持水平。它还可包含一种或多种θ台,使基底上的图案向着X-Y运动轴。
系统3900还包含液体给料系统,它可用来将可固化液体施涂到基底上。液体给料系统与系统本体相连。在一种实施方式中,液体给料系统与压印头3100相连。图3所示为液体给料系统的液体给料头2507,它从压印头3100的盖子3127伸出来。液体给料系统的各种组件可安装在压印头3100的盖子3127上。
图13是液体给料系统的示意图。在一种实施方式中,液体给料系统包含液体容器2501。液体容器2501是用来盛放可光固化液体。液体容器2501通过进液导管2502与泵2504相连。进液阀2503位于液体容器2501和泵2504之间,控制液体在进液导管2502中的流量。泵2504通过出液导管2506与液体给料头2507相连。
液体给料系统是用来精确控制输送到下面基底上的液体量的。在一种实施方式中,可用压电阀作为泵2504来完成对液体的控制。压电阀可购自LeeCompany,Westbrook,CT。在使用中,通过进液导管2502将可固化液体吸到泵2504中。当基底正确安装在下面后,开动泵2504,将预定量的液体压到出液导管2506。然后通过液体给料头2507将液体喷到基底上。在这种实施方式中,液体流量是由泵2504控制的。泵从开启态到关闭态的快速切换可控制输送到给料头2507中的液体量。泵2504的送料体积约小于1μl。适当操纵泵2504,可以液滴或连续图案的方式将液体分散到基底上。使泵在开启态和关闭态之间快速循环,就能以液滴方式给料。将泵开启,使基底在液体给料头下方移动,即可在基底上产生液体流。
在另一种实施方式中,可用液体给料头2507控制液体量。在这种系统中,泵2504可用来将可固化液体供给液体给料头2507。体积可精确控制的小液滴用液体给料促动器分发。液体给料促动器的例子包括微型螺线管阀或压力促动给料器。压力促动给料器可购自MicroFab Technologies,Inc.,Plano,TX。液体给料促动器安装在液体给料头中,控制液体的给料。液体给料促动器可提供约50—1000pL液体/滴。带有液体给料促动器的系统的优点包括给料速度快,体积控制更精确。液体给料系统还见述于登记于2001年7月17日题为“Methodand System of Automatic Fluid Dispensing for Imprint LithographyProcesses”的美国专利申请09/908455,在此将其引为参考。
模板和基底的位置可用线性编码器(例如暴露线性编码器)初步测定。编码器粗测的精度为0.01μm。线性编码器包括与移动物体相连的标尺和与系统本体相连的读数器。标尺可由各种材料形成,包括玻璃、玻璃陶瓷和钢。标尺包括标记数码,读数器读取后即可确定移动物体的相对或绝对位置。标尺用本领域常用的方法连接到运动台上。读数器与系统本体相连,并通过光学系统连接到标尺上。在一种实施方式中,可以采用暴露线性编码器。编码器可确定运动台沿单根轴或双轴平面的位置。暴露双轴线性编码器的一个例子是HeidenhainCorporation,Schaumburg,Illinois生产的PP型编码器。一般地,编码器内置于许多市售X-Y运动台中。例如,Newport Corp生产的Dynam YX运动台在系统中内置了双轴编码器。
模板沿Z轴的大致位置也可用线性编码器粗测。在一种实施方式中,可用暴露线性编码器测定模板的位置。在一种实施方式中,编码器的标尺与压印头的预校正环相连。或者,标尺可直接连接到模板载体3130上。读数器与系统本体相连,并通过光学系统连接到标尺上。模板沿Z轴的位置用编码器测定。
在某些实施方式中,在平版压印工艺中,模板和基底位置的测定精度要求小于100nm。由于高分辨率半导体工艺中的印花模板上的许多特征结构小于100nm,这种控制对于各种结构的恰当定位是非常重要的。在一种实施方式中,精确位置可用干涉仪(例如激光干涉仪)测定。
图42所示为可在平版压印过程中测定的转轴和运动。沿Xw轴、Yw轴和Zw轴测定基底位置。基底的转动也可沿X轴(αw)、Y轴(βw)和Z轴(θw)测定。类似地,模板位置可沿X、Y和Z轴测定。模板的转动也可沿X轴(αT)、Y轴(βT)和Z轴(θT)测定。为使模板与基底对齐,X、Y和Z坐标以及α、β和θ角要匹配。
可用线性编码器测定模板和基底的X轴、Y轴和Z轴位置。但是,这些编码器一般无法提供绕这些轴的旋转信息。在一种实施方式中,可用干涉仪测定模板和基底的X轴、Y轴和Z轴位置以及旋转角α、β和θ。基于干涉仪的位置测定系统见图43。干涉仪系统4300包含第一个三轴激光干涉仪4310和第二个三轴激光干涉仪4320。镜片4330和4335与基底和/或模板相连。镜片4330和4335分别与第一和第二激光干涉仪通过光学系统相连。镜片4330位于模板和/或基底的一部分上,垂直于模板和/或基底上放置镜片4335的那一面。如图43所示,它可同时测定5个维度。第一激光干涉仪4310可测定基底和/或模板沿X轴的位置和旋转角β和θ。第二激光干涉仪4320可测定基底和/或模板沿Y轴的位置和旋转角α和θ。
平版压印系统3900中使用的基于干涉仪的位置探测器4400的一种实施方式示于图44。位置探测器4400安装在系统3900本体的一部分上。例如,位置探测器可安装在本体的支架3930上。在一种实施方式中,位置探测器4400包含四个干涉仪。在一种实施方式中,这些干涉仪是激光干涉仪。差分干涉仪和绝对干涉仪都可以采用。两个干涉仪4410和4415可用来测定模板的位置。另两个干涉仪4420和4425可用来测定基底的位置。在一种实施方式中,所有的干涉仪都是三轴干涉仪。用这种四干涉仪装置可测定模板和基底的5维运动(例如X和Y位置,以及α、β和θ旋转)。激光器4430为干涉仪提供光。来自激光器的光通过光学组件4440(注:不是所有的光学元件都编了号)进入干涉仪4410、4415、4420和4425。光学组件包括分束器和镜面系统,它们将光从激光器导入干涉仪。干涉仪系统和合适的光学系统可从多种来源购买。
在一种实施方式中,空气规3135可与压印头3100连接,如图3所示。空气规3135用于确定运动台上的基底是否与参考平面大致平行。这里所谓“空气规”是指测定流向表面的空气流的压力的装置。当将基底置于空气规3135出口处时,基底离空气规3135出口的距离将影响空气规感受到的压力。一般地,基底离空气规越远,压力越小。
在这种结构中,空气规3135可用来测定由于基底与空气规之间距离的变化而引起的压力差。沿基底表面移动空气规315,空气规可测定空气规与基底表面在不同测量位点上的距离。通过比较空气规和基底各测量点之间的距离可确定基底相对空气规的平面度。基底上至少3个位点与空气规之间的距离可用来确定基底是否是平面。如果所测距离基本上相同,则可认为它是平面。如果基底与空气规之间测定的距离存在明显差异,则表明基底与空气规之间的非平面关系。这种非平面关系可能因基底不是平面或基底倾斜引起。在使用之前,可将倾斜的基底校正过来,使基底与模板建立平面关系。合适的空气规可从Senex Inc.购买。
在使用空气规时,要将基底或模板置于空气规的量程之内。基底可以通过压印头的Z轴运动或运动台的Z轴运动向空气规移动。
在平版压印工艺中,可光固化液体涂布在基底表面上。使印花模板与可光固化液体接触,用激发光照射可光固化液体。这里所谓“激发光”是指可引起化学变化的光。激发光包括紫外光(例如波长在约200—400nm之间的光)、光化光、可见光或红外光。一般地,任何波长的、能引起化学变化的光都可归为激发光。化学变化可表现为各种形式。化学变化可包括但不限于任何引起聚合物反应或交联反应的化学反应。在一种实施方式中,激发光在到达组合物之前通过模板。在这种方式下,可光固化液体固化后,形成与模板上形成的结构互补的结构。
在某些实施方式中,激发光源3500是紫外光源,它能产生波长在约200—400nm之间的光。激发光源3500与模板通过光学系统相连,如图1所示。在一种实施方式中,激发光源3500靠近压印头3100。压印头3100包含镜面3121(如图4所示),它将来自激发光源的光反射到印花模板上。光通过压印头3100本体上的孔,由镜面3121反射到印花模板3700上。在这种方式下,激发光源辐照印花模板时,它不在压印头3100中。
多数激发光源在使用中产生大量的热。如果激发光源3500太靠近压印系统3900,光源发出的热将辐射到压印系统本体上,引起本体局部温度上升。因为多数金属受热膨胀,压印系统本体局部温度升高将引起本体膨胀。这种膨胀会影响压印系统制备小于100nm的特征结构时的精度。
在一种实施方式中,激发光源与本体要有足够的距离,使得激发光源3500与压印头3100之间所夹的空气对系统本体起隔热作用,使之与激发光源3500所产生的热隔开。图14所示为与压印头3100通过光学系统相连的激发光源3500。激发光源3500包括光学系统3510,它将光源发出的光投向压印头3100。光从光学系统3510经过孔3123进入压印头3100,然后由安装在压印头中的镜片3121反射到连接在压印头3110上的模板上(如图4所示)。在这种方式下,光源与系统本体隔热。合适的光源可购自OAI Inc.,Santa Clara CA。
压印头3910和/或运动台3920上可以连接一个或多个光学测量装置。一般地,光学测量器件是能够使模板相对于要测定的基底定位和/或定向的模板。
现在看图14,其中利用模板进行光学成像的系统3800通过光学系统连接到压印头上。光学成像系统3800包含光学成像装置3810和光学系统3820。在一种实施方式中,光学成像装置3810是CCD显微镜。光学成像系统3800通过压印头以光学方式连接到模板上。当基底位于印花模板下面时,光学成像系统3800还以光学方式连接到基底上。光学成像系统3800可用来测定印花模板与这里所述下方基底之间的位置差。在一种实施方式中,镜片3121(如图4所示)可在压印头中移动。在对位或光学检查过程中,镜片3121移动到光学成像系统的光路之外。
在使用光学对位装置期间,将基底或模板放在光学成像系统的量程(例如视场)之内。基底向光学成像系统的移动可通过压印头的Z轴移动或运动台的Z轴移动完成。
压印头上可以连接其他光学成像装置,用于观察离轴位置上的基底。离轴位置在这里定义为不在激发光源光路上的位置。离轴光学成像系统3830连接到图14所示压印头3100上。离轴光学成像系统3830包含光学成像装置3832和光学系统3834。在一种实施方式中,光学成像装置3810是CCD显微镜。离轴成像系统3830用于扫描基底,而无需将模板置于光路中。离轴光学成像系统3830可用于这里所述离轴对齐过程。此外,离轴光学成像系统3830可用来对模板和基底进行粗对齐,而利用模板的光学成像系统3800用来对模板和基底进行精细对齐。压印头3100上可连接其他离轴光学系统。图12所示为连接到压印头3100上的另一种离轴光学系统3840。
运动台上可连接另一个光学成像装置,用以观察模板。模板光学成像系统3850与图12所示运动台3600相连。模板光学成像系统3850包含光学成像装置3852和光学系统3854。在一种实施方式中,光学成像装置3852是CCD显微镜。模板光学成像系统3850用来扫描模板表面,而不必透过模板扫描。模板光学成像系统3830可用于这里所述离轴对齐工艺。
应当理解,光学成像系统可安置在这里所述另一种系统实施方式中。例如,在另一种系统实施方式中,光学成像系统可连接到用于移动压印头的运动台上。在这些实施方式中,基底安装在基底载体上,所述载体还包含光学成像装置。
如前所述,在平版压印过程中,将可光固化液体放在基底上,使模板与液体接触。可固化液体是低粘性液态单体溶液。合适的溶液的粘度约为0.01—100cps(25℃下测定)。高分辨率(例如小于100nm)结构尤其需要低粘性。低粘性还能使缝隙封闭起来更快。此外,低粘性可使液体在低压下填注空隙区的速度更快。具体说,在小于50nm的范围内,溶液的粘性应当等于或小于约30cps,最好小于约5cps(在25℃测定)。
其他平版印刷技术碰到的许多问题在平版压印工艺中用低粘性可光固化液体能够得到解决。利用低粘性光敏液体,用低粘性可光固化液体成图能解决热浮雕技术碰到的每个问题。用厚的刚性透明模板还有可能更便于逐层对齐。刚性模板对液体激发光和对齐标记测定光一般均能通过。
可固化液体可由各种可聚合材料组成。一般地,可采用任何可光聚合材料。可光聚合材料可包含各种单体和光引发剂的混合物。在某些实施方式中,可固化液体可包含一种或多种市售阴模光致抗蚀剂材料。光致抗蚀剂材料的粘性可通过用合适的溶剂稀释来降低。
在一种实施方式中,合适的可固化液体包括单体、硅烷基化单体和引发剂。还可加入交联剂和二甲基硅氧烷衍生物。单体包括但不限于丙烯酸酯和甲基丙烯酸酯单体。单体的例子包括但不限于丙烯酸丁酯、丙烯酸甲酯、甲基丙烯酸甲酯或它们的混合物。单体约构成可固化液体的25—50wt%。据信,单体能确保引发剂在可固化液体中有足够的溶解性。人们还相信,单体在使用时为下面的有机转移层提供粘着力。
可固化液体还包含硅烷基化单体。硅烷基化单体一般是含有硅基的可聚合物化合物。硅烷基化单体的类型包括但不限于硅烷丙烯酰基和硅烷甲基丙烯酰基衍生物。具体的例子包括甲基丙烯氧基丙基三(三甲基硅氧基)硅烷和(3-丙烯氧基丙基)三(三甲基硅氧基)硅烷。硅烷基化单体的含量为25—50wt%。可固化液体还包含二甲基硅氧烷衍生物。二甲基硅氧烷衍生物的例子包括但不限于(丙烯氧基丙基)甲基硅氧烷二甲基硅氧烷共聚物、丙烯氧基丙基甲基硅氧烷均聚物和丙烯氧基封端的聚二甲基硅氧烷。二甲基硅氧烷衍生物的含量约为0—50wt%。据信,硅烷基化单体和二甲基硅氧烷衍生物可赋予固化液体高抗氧蚀刻性。此外,硅烷基化单体和二甲基硅氧烷衍生物据信能降低固化液体的表面能,因而能提高模板从表面剥离的能力。这里所述硅烷基化单体和二甲基硅氧烷衍生物均可购自Gelest,Inc。
任何能引发自由基反应的材料均可用作引发剂。对于可固化材料的光激发固化,引发剂宜为光引发剂。引发剂的例子包括但不限于α-羟基酮(例如Ciba-Geigy Specialty Chemical Division生产的1-羟基环己基苯基酮,商品名为Irgacure184)和氧化酰基膦引发剂(例如Ciba-Geigy Specialty Chemical Division生产的氧化(2,4,6-三甲基苯甲酰)膦,商品名为Irgacure 819)。
可固化液体还可包含交联剂。交联剂是包含两个或多个可聚合基团的单体。在一种实施方式中,多官能硅氧烷衍生物可用作交联剂。多官能硅氧烷衍生物的例子有1,3-二(3-甲基丙烯氧基丙基)-四甲基二硅氧烷。
在一种实施方式中,可固化液体可包含50wt%丙烯酸正丁酯和50wt%(3-丙烯氧基丙基)三(三甲基硅氧基)硅烷的混合物。在此混合物中可加入3wt%Irgacure 819和Irgacure 184的混合物以及5wt%交联剂1,3-二(3-甲基丙烯氧基丙基)-四甲基二硅氧烷。此混合物的粘性在约25℃测定时小于30cps。
在另一种实施方式中,可固化液体可由单体、光致生酸剂和光致生碱剂。单体的例子包括但不限于酚醛聚合物和环氧树脂。光致生酸剂是用激发光处理时可释放酸的混合物。所产生的酸能催化单体的聚合反应。本领域的普通技术人员熟知这种生酸衍生物,具体用什么生酸衍生物取决于单体和所需固化条件。一般地,所选生酸衍生物对第一波长λ1的辐射敏感,该波长的光在某些实施方式中在可见光或近紫外光(近UV)范围。例如,在某些实施方式中,所选第一波长λ1约为400nm或更长。单体中也可加入生碱剂。光致生碱剂可阻止模板界面附近的单体固化。光致生碱剂对第二波长λ2的辐射敏感,但对第一波长λ1的辐射呈惰性或基本呈惰性。此外,所选第二波长的辐射主要在单体靠近它与模板界面的表面上吸收,不会进入可固化液体很深。例如,在某些实施方式中,可以使用对在深UV范围内的波长为λ2的辐射敏感的生碱添加剂,换句话说,该辐射的波长约在190—280nm范围内。
根据一种实施方式,将包含单体、光致生酸剂和光致生碱剂的可固化液体沉积到基底上,使模板与可固化液体接触。然后几乎同时用具有第一波长λ1的光和具有第二波长λ2的光辐照可固化液体。或者,可先用具有第二波长λ2的光辐照固化液体,然后用具有第一波长λ1的光辐照。用具有第二波长λ2的光辐照可固化液体会在靠近与模板的界面处产生过量的碱。过量的碱可中和用具有第一波长λ1的光辐照可固化液体时产生的酸,从而防止酸固化可固化液体。由于具有第二波长λ2的光进入可固化液体比较浅,辐照产生的碱仅能抑制处于或靠近与模板的界面处的可固化液体的固化。剩余的可固化液体通过用波长较长(λ1)的光辐照来固化,该波长的光穿透可固化液体。题为“Planarization of Non-Planar Surfaces in Device Fabrication”的美国专利6218316描述了涉及此工艺的其他细节,该专利在此引为参考。
在另一种实施方式中,可固化液体可包含光敏剂,光敏剂受到例如深UV光辐照时会分解产生一种或多种气体,如氢(H2)、氮(N2)、一氧化二氮(N2O)、三氧化硫(SO3)、乙炔(C2H2)、二氧化碳(CO2)、氨(NH3)或甲烷(CH4)。可用具有第一波长λ1的光,如可见光或近UV光固化可固化液体,而深UV光(λ2)可用来产生前述一种或多种气体。产生的气体可在靠近固化液体与模板界面处产生局部压力,从而便于模板与固化液体的分离。关于此工艺,美国专利6218316有更详细的介绍,该专利在此引为参考。
在另一种实施方式中,可固化液体可由单体组成,单体固化后可形成聚合物,而该聚合物受光照射后分解。在一种实施方式中,将含两条取代碳链的聚合物沉积在基底上。模板与可固化液体接触后,用具有第一波长λ1(例如大于400nm)的光和具有深UV范围内的第二波长λ2的光辐照可固化液体。第一波长的光用来固化可固化液体。当用具有第二波长λ2的光辐照可固化液体时,取代碳原子发生断裂。由于深UV光没有深入可固化液体,仅有液体与模板界面附近的聚合物发生分解。发生分解的固化液体表面有利于固化液体与模板的分离。还可以采用有利于光分解聚合物的其他官能团。关于此工艺,美国专利6218316有更详细的介绍,该专利在此引为参考。
在各种实施方式中,生产平版压印模板的工艺包括但不限于:光学平版印刷工艺、电子束平版印刷工艺、离子束平版印刷工艺、X射线平版印刷工艺、远紫外线平版印刷工艺、扫描探针平版印刷工艺、离子集束铣印工艺、干涉平版印刷工艺、外延生长工艺、薄膜沉积工艺、化学蚀刻工艺、等离子体蚀刻工艺、离子铣印工艺、活性离子蚀刻工艺或它们的组合。制备印花模板的方法见述于Voisond的美国专利申请10/136188,登记于2002年5月1日,题目为“Methods of Manufacturing a Lithography Template”,该专利申请在此引为参考。
在一种实施方式中,平版压印模板基本上能透过激发光。模板包含具有下表面的本体。模板下表面上还包含许多伸向本体上表面的凹陷。凹陷可以具有任何适当的尺寸,但通常至少有一部分凹陷的特征尺寸约小于250nm。
关于平版压印工艺,需要注意模板的耐用性和它的剥离特性。在一种实施方式中,模板由石英形成。其他材料也可用来形成模板,包括但不限于:碳化锗硅、氮化镓、锗化硅、蓝宝石、砷化镓、外延硅、多晶硅、栅极氧化物、二氧化硅或它们的组合。模板还可包含用于形成可探测特征如对齐标记的材料。例如,可探测特征可由SiOx形成,其中x小于2。在某些实施方式中,x约为1.5。在另一种实例中,可探测特征可由硅化钼形成。SiOx和硅化钼均能透射用来固化可聚合液体的光。但是,这两种材料均基本上不能透射可见光。用这些材料可在模板上形成对齐标记,而不会干扰下面基底的固化。
如前所述,可用表面处理材料处理模板,在模板表面上形成一个薄层。优化表面处理过程,产生低表面能涂层。用这种涂层可制备平版压印用压印模板。经过处理的模板与未经处理的模板相比,具有所需的剥离特性。未经处理的模板表面具有约65达因/厘米或更高的表面自由能。这里介绍的处理过程能产生耐用性很高的表面处理层。表面处理层的耐用性使得模板可用于许多次压印,而不必更换表面处理层。在某些实施方式中,表面处理层可将下表面25℃的表面自由能减少到低于约40达因/厘米,在某些情况下小于约20达因/厘米。
在一种实施方式中,表面处理层通过烷基硅烷、氟代烷基硅烷或氟代烷基三氯硅烷与水的反应产物形成。此反应在印花模板表面上形成硅烷基化涂层。例如,十三氟-1,1,2,2-四氢辛基三氯硅烷与水的反应产物可形成硅烷基化表面处理层。表面处理层可用液相过程或气相过程形成。在液相过程中,基底浸泡在前体与溶剂形成的溶液中。在气相过程中,前体通过惰性载气输送。要获得液相处理用完全无水的溶剂可能比较困难。处理过程中体相里的水可能导致块状沉淀,它会对涂层的最终质量或覆盖率造成不利影响。在汽相过程的一种实施方式中,将模板置于真空室中,然后循环吹扫真空室,除去过量的水。但一些吸附水保留在模板表面上。但是据信,还是需要少量水以引发表面反应,形成涂层。据信,该反应可用下式表示:
R-SiCl3+3H2O=>R-Si(OH)3+3HCl
为促进反应,要通过控温装置将模板加热到所需反应温度。然后将前体加入反应室历一预定时间。对具体的前体和模板基底组合,需要调整反应参数,如模板温度、前体浓度、流体形状等。通过控制这些条件,可以控制表面处理层的厚度。为最大程度减小表面处理层对特征尺寸的影响,需要将表面处理层的厚度保持在最小。在一种实施方式中,形成单层表面处理层。
在一种实施方式中,模板下表面上的凹陷至少有两种不同的深度。图20A和20B分别示出了有两种不同深度的凹陷的印花模板的顶视图和剖面图。参见图20A和20B,模板包含一种或多种印花区域401。在这种实施方式中,第一种较浅的深度是图20B所示模板印花区中凹陷的深度。印花区包含模板成图过程中复制的区域。印花区位于模板边缘407所限定的区域中。外部区域409是指从任何印花区的外边缘到模板边缘的区域。外部区域的深度显著大于印花区凹陷的深度。模板周缘在这里定义为由外部区域409所限度的印花区。如图20A所示,由模板所限定的区域内有四个印花区。外部区域409将印花区与模板边缘407分开。模板的“周缘”是指印花区的边403a、403b、403c、403d、403e、403f、403g和403h。
印花区可由边界区域405彼此分开。边界区域是位于印花区之间的凹陷,这些凹陷比印花区的凹陷深。如下所述,边界区域和外部区域均能抑制流体在印花区之间或印花区周缘以外流动。
模板的结构根据所用平版印刷工艺的类型选择。例如,阳模平版压印用模板有这样一种结构,它有利于在基底上形成不连续膜。在一种实施方式中,这样形成模板12,使得一种或多种结构相对于用来形成印花区的结构而言比较深,如图15所示。在使用中,放置模板12时可使它与基底20具有所需的空间位置关系。在这种实施方式中,模板12的下表面536与基底20之间的间隙(h1)比凹陷表面534与基底20之间的间隙(h2)小得多。例如,h1可小于约200nm,而h2可大于约10000nm。当模板与基底20上的液体40接触时,液体40离开凹陷表面534下面的区域,填充下表面536与基底20(如图16所示)之间的间隙。据信,表面能和毛细作用力一起将液体从较大的凹陷拉到较窄的区域。随着h1减少,模板12施加在液体上的力可克服拉动下表面536上的液体的毛细作用力。这些力可使液体分散到凹陷表面534下面的区域。抑制液体分散到凹陷532中的最小h1称作“最小膜厚”。此外,随着h1增加,毛细作用力减小,最终使液体分散到更深的凹陷区。毛细作用力足以抑制液体流到更深凹陷区的最大h1称作“最大膜厚”。
如图17和18所示,在各种实施方式中,要这样形成模板12,它能抑制基底20上的可固化液体流到模板12的周缘412以外。在图17所示实施方式中,高h1从基底20测到浅凹陷表面552。浅凹陷表面552伸到模板12的周缘。因此,模板边缘形成高h2,与高h1相比是无穷大。在图18所示实施方式中,模板12的外部边缘上形成深凹陷。高h2是基底20与深凹陷表面554之间的距离。高h1同样是基底20与浅凹陷表面552之间的距离。在任何一种实施方式中,高h2均比高h1大得多。如果h1足够小,在施涂固化剂的过程中,可光固化液体保留在模板12与基底20之间的间隙中。深凹陷部分特别适用于在这里所述的分步重复过程中限制液体。
在一种实施方式中,模板12和基底20均有一个或多个对齐标记。对齐标记可用于对齐模板12和基底20。例如,可用一个或多个光学成像装置(例如显微镜、照相机、成像阵列等)确定对齐标记是否对齐。
在某些实施方式中,模板上的对齐标记可基本上透过激发光。或者,对齐标记基本上不透过对齐标记检测光。对齐标记检测光和用于其他测量和分析的光在这里称作“分析光”。在一种实施方式中,分析光包括但不限于:可见光和/或红外光。对齐标记可由不同于本体材料的材料形成。例如,对齐标记可由SiOx形成,其中x约为1.5。在另一个实例中,对齐标记可由硅化钼形成。或者,对齐标记可包含许多蚀刻在本体表面上的线。所述线基本上能使激发光发生散射,但在分析光下可产生分析标记。
在各种实施方式中,上述一种或多种深凹陷可完全透过模板本体,在模板上形成孔。这种孔的优点是,它们能确保每个孔的h2远大于h1。另外,在某些实施方式中,可向孔施加高压气体或真空。液体固化后,也可以对一个或多个孔施加高压气体或真空。例如,在固化之后的剥离过程中,可利用高压气体分离模板和固化液体。
在一种实施方式中,印花模板中可形成一个或多个对齐标记。如这里所述,模板中形成的对齐标记可用来使模板与基底上的印花区域对齐。含有对齐标记的模板的一种实施方式示于图45。印花模板4500包含印花区4510、对齐标记4520和对齐标记印花区4530。边界4540和4542分别将对齐标记4520与印花区4510和4512分开。边界4540和4542的深度显著大于对齐标记的深度。当模板4500与可光固化液体4560接触时,液体分散到印花区4510和4512中,但因受到边界的抑制而无法扩散到对齐标记4520所在区域,如图45所示。
在测定对齐情况时,使可光固化液体保留在对齐区域外部具有一定的好处。在典型的对齐过程中,要透过模板对下面基底上的对齐标记(例如对齐标记4550)进行光学测定,以确定对齐标记是否对齐。在对齐测定过程中,模板与基底之间存在的液体可能影响光学测定。一般地,液体的折射指数大致类似于模板材料。通过将液体保留在对齐区域之外,可简化光学对齐技术,并可减少对齐系统的光学要求。
当用模板压印要在基底上形成的多层中的一层时,模板最好不仅包含对齐标记,用于与下面的基底对齐,而且包含对齐印花区。如图10所示,对齐标记印花区4530与所施涂的部分可光固化液体接触。在固化过程中,对齐标记印花区4530所确定的对齐标记压印到固化层上。在后续处理中,由对齐标记印花区4530形成的对齐标记可用来帮助模板与基底对齐。
上述平版压印系统可根据下面讨论的替代实施方式进行调整。应当理解,所述任何替代实施方式可合并使用、单独使用或与所述任何其他系统组合使用。
如上所述,压印头包含一个精细定向系统,它能使模板相对于基底“被动”定向。在另一种实施方式中,精细定向系统可包含与弯曲臂相连的促动器。促动器可对精细定向系统进行“主动”控制。在使用中,操作者或编程控制器监控模板相对于基底的方向。接着,操作者或编程控制器通过操纵促动器,可改变模板相对于基底的方向。促动器的运动可引起弯曲臂运动,从而改变模板的方向。在这种方式下,可“主动”精细控制模板相对于基底的位置。主动精细定向系统还见述于美国专利申请09/920341登记于2001年8月1日,题为“Methods for High-Precision Gap Orientation Sensing Between a TransparentTemplate and Substrate for Imprint Lithography”,该专利在此引为参考。
在另一种实施方式中,压印头可包含上述预校正系统。预校正系统包含图21所示弯曲环3124。替换上述精细定向系统,模板支撑系统3125与预校正环相连。与精细定向系统不同,模板支撑系统3125由基本上呈刚性的非柔顺件3127构成。这些组件为模板载体3130中的模板3700提供较为刚性的支撑。在这种实施方式中,精细定位可用运动台而不是模板载体来实现。
在前面的实施方式中,压印头3100与本体在固定位置相连。在一种替代实施方式中,压印头3100可安装在运动系统中,该运动系统允许压印头沿着X-Y平面移动,如图22所示。压印头3100可支撑印花模板,如前面任何一种实施方式所述。压印头3100与运动系统相连,所述运动系统包含压印头卡盘3121和压印运动台3123。压印头3100安装在压印头卡盘3121上。压印头卡盘与压印运动台3123相互作用,使压印头沿着X-Y平面移动。可以采用机械或电磁运动系统。电磁系统依赖于磁铁的使用,使压印头卡盘在X-Y平面运动。一般地,电磁系统将永久磁铁和电磁铁嵌入压印运动台3123和压印头卡盘3121。这些磁铁的吸引力为压印头卡盘3121与压印头运动台3123之间的空气垫所克服,从而产生“空气支承”。压印头卡盘,进而压印头在空气垫上沿着X-Y平面运动。电磁X-Y运动台还见述于美国专利6389702,题为“Method andApparatus for Motion Control”,该专利在此引为参考。在一个机械运动系统中,压印头卡盘连接在运动台上。然后用各种机械方法使运动台移动,沿X-Y平面改变压印头卡盘的位置,进而改变压印头的位置。在这种实施方式中,压印头可包含被动柔顺精细定向系统、促动精细定向系统或刚性模板支撑系统,如这里所述。
压印头3100连接到运动载体上后,基底可安装在静态载体上。因此,在一种替代实施方式中,压印头3100连接到X-Y轴运动台上,如这里所述。基底安装在基本上静止的基底载体上。静态基底载体示于图40。静态基底载体3640包含基座3642和基底卡盘3644。基底卡盘3644用于在平版压印过程中支撑基底。基底卡盘可利用任何合适的方法将基底固定在基底卡盘上。在一种实施方式中,基底卡盘3644可包含一个真空系统,它在基底上形成真空,从而将基底与基底卡盘连接起来。基底卡盘3644与基座3642相连。基座3642与平版压印系统的支架3920相连(见图1)。在使用中,静态基底载体3640保持在载体3920的固定位置上,而压印头的位置不断改变,以达到基底的不同位置。
压印头与运动台相连比基底在运动台上的技术有不少优点。运动台一般依靠空气支承使运动台发生基本上无摩擦的运动。一般地,运动台不能接受沿Z轴施加的显著压力。当沿Z轴对运动台卡盘施加压力时,运动台卡盘的位置将随着此压力稍微改变。在分步重复过程中,面积小于基底的模板用于形成多压印区。基底的运动台较模板大,能够容纳较大的基底。当模板在偏离中心的位置与基底运动台接触时,运动台将发生倾斜,以便经受上升的压力。倾斜压印头可补偿上述倾斜,从而确保正确对齐。但是,如果压印头与运动台相连,沿Z轴施加的所有的力都将集中在模板上,而不管在基底上何处发生压印。这使得对齐操作更容易,还能提高系统的产出效率。
在一种实施方式中,在基底载体上可形成基底倾斜模块,如图38所示。基底载体3650包含与基底倾斜模块3654相连的基底卡盘3652。基底倾斜模块3654与基座3656相连。在一种实施方式中,基座3656与运动台相连,它使基底载体能沿X-Y平面运动。或者,基座3656可与载体(例如,3920)相连,使基底载体就安装在压印系统的固定位置上。
基底卡盘3652可采用任何合适的方法将基底固定在基底卡盘上。在一种实施方式中,基底卡盘3654可包含真空系统,它对基底抽真空,使基底连接到基底卡盘上。基底倾斜模块3654包含与弯曲环载体3660相连的弯曲环3658。许多促动器3662可连接到弯曲环3658和弯曲环载体3660上。通过操纵促动器3662,可改变弯曲环3658的倾斜情况。在一种实施方式中,促动器采用自动或手动差分齿轮机构。在另一种实施方式中,促动器采用偏心辊机构。偏心辊机构通常为基底载体提供比差分齿轮系统更大的垂直刚度。在一种实施方式中,当模板在基底上的液体上施加约1—10磅的力时,基底倾斜模块的刚度能抑制基底的倾斜。具体说来,当通过模板上的液体施加在基底上的压力达到约10磅时,基底倾斜模块允许倾斜不超过5微弧度。
在使用中,可用连接在基底卡盘上的传感器测定基底的倾斜度。基底的倾斜度用促动器3662调整。用这种方式可校正基底的倾斜度。
基底倾斜模块还可包含精细定向系统。包含精细定向系统的基底载体示于图39。为实现精细定向控制,弯曲环3658包含中央凹陷,其中安装有基底卡盘3652。中央凹陷的深度是这样的,基底卡盘3652上的基底上表面基本上与弯曲环3658的上表面相平。精细定向可用促动器3662实现。精细定向可用能够在纳米范围内控制运动的促动器实现。或者,精细定向可用被动方式实现。促动器基本上是柔顺的。当模板与基底表面上的液体接触时,促动器的柔顺性可让基底自动校正倾斜度的各种变化。将基底放在与弯曲环基板相平的位置上,基底—液体界面在使用中可实现精细定向。这样,促动器的柔顺性转移到基底的上表面上,使基底可得到精细定向。
上述系统通常指这种系统,其中可激发光固化液体分布在基底上,然后将基底与模板彼此拉近。但应当理解,上述系统经过调整后,可将激发光固化液体施涂到模板而不是基底上。在这样的一种实施方式中,可将模板放在基底下面。图41所示为系统4100的一种实施方式的示意图,在该系统中,模板放在基底下面。系统4100包含压印头4110和位于其上方的基底载体4120。压印头可用来固定模板3700。压印头可具有类似于前述任何压印头的结构。例如,压印头4110可包含这里所述精细定向系统。压印头连接到压印头载体4130上。压印头可连接到固定位置上,并在使用中基本上保持不动。或者,压印头可安放在运动台上,运动台可使压印头4130在使用中在X-Y平面内运动。
将要压印的基底安装在基底载体4120上。基底载体4120的结构类似于这里所介绍的任何基底载体。例如,基底载体4120可包含前述精细定向系统。基底载体4120可连接到载体4140的固定位置上,在使用中基本保持不动。或者,基底载体4120可以放到运动台上,使基底载体在使用中沿X-Y平面运动。
在使用中,可激发光固化液体放在压印头中的模板3700上。模板可以带有图案,也可是平的,具体取决于操作类型。印花模板可用于阳模、阴模或阳模与阴模组合的平版压印系统,如上所述。
典型的平版压印工艺示于图23A—23F。如图23A所示,模板12与基底20隔开一段距离,从而在模板12与基底20之间形成空隙。模板12包含具有一个或多个所需特征结构的表面,这些特征结构在成图过程中可转移到基底20上。这里所用“特征尺寸”通常是指所需特征结构的宽、长和/或深。在各种实施方式中,所需特征结构可以是模板12表面上形成的凹陷和/或模板表面上形成的导电图形。模板12的表面14可用薄层13处理,以此降低模板的表面能,帮助模板12从基底20上分离开。模板的表面处理层如前所述。
在一种实施方式中,在将模板12相对于基底20移动到所需位置之前,可在基底20上分布物质40。物质40可以是与模板12所需的特征结构相适应的可固化液体。在一种实施方式中,物质40是低粘性液体,在不用高温的情况下至少可部分填充空隙31。低粘性液体还可在不用高压的情况下使模板与基底之间的空隙封闭。这里所用术语“低粘性液体”是指25℃的粘性小于约30厘泊的液体。有关适当选择物质40的其他细节讨论如下。模板12可与可固化液体40相互作用,使液体适应所需形状。例如,可固化液体40可适应图23B所示模板12的形状。可调整模板12的位置,使模板与基底20之间产生所需的空隙。模板12的位置经过调整后,还可与基底适当对齐。
模板12适当定位后,固化物质40以在基底上形成掩蔽层42。在一种实施方式中,物质40可用激发光32固化,形成掩蔽层42。用激发光通过模板12固化液体的情况如图23C所示。液体基本固化后,将模板12从掩蔽层42上取下,在基底20的表面上留下固化的掩蔽层,如图23D所示。掩蔽层42上的图案与模板12上的图案互补。掩蔽层42可包含位于一个或多个所需特征结构之间的“基层”(也称“残余层”)。将模板12从掩蔽层42上分离下来时,要保持所需特征结构的完整,不能将其从基底20的表面上剪切下来或撕下来。关于压印后将模板12从基底20上分离下来的其他细节将讨论如下。
掩蔽层42可通过各种方式加以应用。例如,在某些实施方式中,掩蔽层42可以是功能层。在这些实施方式中,可固化液体40可以固化形成导电层、半导电层、介电层和/或具有所需机械或光学性质的层。在另一种实施方式中,掩蔽层42可在进一步处理基底20的过程中用来覆盖部分基底20。例如,掩蔽层42可在材料沉积过程中用来防止材料在基底的特定部位沉积。类似地,掩蔽层42可用作蚀刻基底20的掩模。为简化下面对掩蔽层42的讨论,在下面的实施方式中只讨论它在蚀刻过程中作为掩模的应用。但应当理解,以下实施方式中所介绍的掩蔽层可用于前述各种过程。
为用在蚀刻过程中,掩蔽层42可用蚀刻工艺蚀刻,直到基底20的某些部分可通过掩蔽层42受到辐照,如图23E所示。也就是说,基层的某些部位可以蚀刻掉。掩蔽层42的某些部位44保留在基底20上,用来防止基底20上一些部分受到蚀刻。掩蔽层42的蚀刻完成后,基底20可用已知的蚀刻工艺进行蚀刻。置于掩蔽层42的某些部分44下面的基底20的对应部分基本上不被蚀刻,而基底20的暴露部分则受到蚀刻。通过这种方式,对应于模板12的图案将转移到基底20上。除去掩蔽层42的剩余部分44,留下印花基底20,如图23F所示。
图24A—24D所示为利用转移层进行的平版压印工艺的一种实施方式。可以在基底20的上表面上形成转移层18。转移层18可由蚀刻性质不同于下面的基底20和/或由可固化液体40形成的掩蔽层的材料形成。也就是说,每一层(例如转移层18、掩蔽层和/或基底20)相对于其他层来说都至少是有选择地进行蚀刻。
掩蔽层42可通过在转移层18的表面上沉积可固化液体形成,并如图23A—23C所示进行固化。掩蔽层42可用作蚀刻转移层18的掩模。用蚀刻工艺蚀刻掩蔽层42,直到部分转移层18通过掩蔽层42暴露,如图24B所示。掩蔽层42的某些部分44保留在转移层18上,可用来防止部分转移层受到蚀刻。对掩蔽层42的蚀刻完成后,可用已知蚀刻方法蚀刻转移层18。置于掩蔽层42的某些部分44下面的转移层18的对应部分基本上不被蚀刻,而转移层18的暴露部分则受到蚀刻。这样,转移层18上就复制了掩蔽层42的图案。
在图24C中,部分44和转移层18的蚀刻部分一起形成掩蔽栈46,可用来防止下面的基底20上的特定部分受到蚀刻。基底20的蚀刻可用已知的蚀刻方法完成(例如等离子体蚀刻方法、活性离子蚀刻方法等)。如图24D所示,掩蔽栈可防止下面的基底20上特定部分受到蚀刻。基底20的暴露部分可继续受到蚀刻,直到达到预定深度。用掩蔽栈作为蚀刻基底20的掩模的好处是,复合栈层可产生高纵横比的掩模(即高大于宽的掩模)。在蚀刻过程中要防止掩蔽部分发生磨损时,宜采用高纵横比掩蔽层。
图23A—23F和图24A—24D所示工艺是阴模平版压印工艺的实例。这里所谓“阴模平版压印工艺”一般是指固化之前可固化液体基本上能适应模板形状的工艺。也就是说,模板在固化液体中形成阴图。如这些图所示,模板的非凹陷部分变成了掩蔽层上的凹陷部分。因此,模板的图案是要转移到掩蔽层上图案的阴图。
这里所用“阳模平版压印工艺”一般是指掩蔽层中形成的图案是模板图案的镜像的工艺。如下面将要详细讨论的,模板的非凹陷部分变成掩蔽层的非凹陷部分。
典型的阳模平版压印工艺示于25A—25D。如图25A所示,模板12与基底20隔开一段距离,因而它们之间形成了空隙。模板12的表面用薄表面处理层13处理,降低模板表面能,帮助模板12从固化掩蔽层上分离下来。
可固化液体40位于基底20的表面上。模板12与可固化液体40接触。如图25B所示,可固化液体填充模板下表面与基底之间的空隙。与阴模平版压印工艺不同,基底大约位于至少部分模板凹陷下方的区域基本上没有可固化液体40。因此,可固化液体40仍然是基底上的不连续膜,由模板12上至少部分凹陷的位置所限定。模板12适当定位后,固化可固化液体40,在基底上形成掩蔽层42。从掩蔽层42上取下模板12,在基底20的表面上留下固化的掩蔽层,如图25C所示。掩蔽层42的图案与模板12的图案互补。
掩蔽层42可用来防止基底20的某些部分发生蚀刻。掩蔽层42形成后,基底20可用已知的蚀刻工艺进行蚀刻。置于掩蔽层42下面的基底20的某些部分基本上不被蚀刻,而基底20的暴露部分则受到蚀刻,如图25D所示。通过这种方式,模板12的图案复制到基底20上。除去掩蔽层42的剩余部分44,留下印花基底20。
图26A—26C所示为利用转移层进行的阳模平版压印工艺的一种实施方式。可以在基底20的上表面上形成转移层18。转移层18可由蚀刻性质不同于下面的转移层18和/或基底20的材料形成。掩蔽层42可通过在转移层18的表面上沉积可固化液体形成,并如图25A—25C所示进行固化。
掩蔽层42可用作蚀刻转移层18的掩模。掩蔽层42可防止转移层18的某些部分受到蚀刻。转移层18可用已知方式蚀刻。置于掩蔽层42下面的转移层18的某些部分基本上不被蚀刻,而转移层18的暴露部分则受到蚀刻。这样,转移层18上就复制了掩蔽层42的图案。
在图26B中,掩蔽层42和转移层18的蚀刻部分一起形成掩蔽栈46,可用来防止下面的基底20上的特定部分受到蚀刻。基底20的蚀刻可用已知的蚀刻方法完成(例如等离子体蚀刻方法、活性离子蚀刻方法等)。如图26C所示,掩蔽栈可防止下面的基底20上特定部分受到蚀刻。基底20的暴露部分可继续受到蚀刻,直到达到预定深度。
在一种实施方式中,可组合使用阳模和阴模平版压印工艺。组合阳模和阴模平版压印工艺的模板可包含适用于阳模平版印刷的凹陷和适用于阴模平版印刷的凹陷。例如,组合阳模和阴模平版压印所用模板的一种实施方式示于图27A中。如图27A所示,模板12包含下表面566、至少一个第一凹陷562和至少一个第二凹陷564。当模板与可固化液体接触时,第一凹陷562用来产生可固化液体40的不连续部分。第一凹陷的高度(h2)远大于第二凹陷的高度(h1)。
典型的组合平版压印工艺示于图27A—27D。如图27A所示,模板12与基底20隔开一段距离,因而它们之间形成了空隙。至少模板12的下表面566可用薄表面处理层(未示出)处理,降低模板表面能,帮助模板12从固化掩蔽层上分离下来。此外,第一凹陷562和/或第二凹陷564的表面可用薄表面处理层处理。
可固化液体40位于基底20的表面上。模板12与可固化液体40接触。如图27B所示,可固化液体填充模板下表面566与基底20之间的空隙。可固化液体40还填充第一凹陷562。但是,基底大约位于第二凹陷564下方的区域基本上没有可固化液体40。因此,可固化液体40仍然是基底上的不连续膜,包含对应于由第一凹陷562形成的图案的表面形貌。模板12适当定位后,固化可固化液体40,在基底上形成掩蔽层42。从掩蔽层42上取下模板12,在基底20的表面上留下固化的掩蔽层,如图27C所示。掩蔽层42可包含类似于由阴模平版压印形成的掩蔽层的区域568。此外,掩蔽层42可包含一个不含任何掩蔽材料的区域569。
在一种实施方式中,掩蔽层42由蚀刻速率等于或类似于下面的基底的材料组成。对掩蔽层42进行蚀刻,以大致相同的速率除去掩蔽层和基底。这样,模板的多层图案可转移到基底上,如图27D所示。该工艺也可用其他实施方式所述转移层来完成。
也可组合使用阳模和阴模平版印刷工艺在模板的多个区域成图。例如,基底可包含许多需要成图的区域。如图27C所示,具有不同深度的凹陷区的模板包含两个成图区568,中间用“边界”区域569隔开。边界区569防止液体流到模板成图区外面去。
这里所用“分步重复”工艺是指用比基底小的模板在基底上形成许多成图区。分步重复压印工艺包括在部分基底上沉积可光固化液体,使固化液体中的图案与基底上原有的图案对齐,将模板压到液体中,固化液体,使模板与固化液体分开。从基底上取下模板后,可在固化液体中留下具有模板形貌的图像。由于模板小于基底的总表面积,只有部分基底包含成图固化液体。该工艺的“重复”部分包括在基底的另一个地方沉积可光固化液体,然后使印花模板与基底对齐,并与可固化液体接触。用激发光固化可固化液体,形成第二个固化液体区。该工艺可连续重复进行,直到大部分基底成图。分步重复工艺可结合阳模、阴模或阳模/阴模压印工艺进行。分步重复工艺可用前述任何设备的实施方式进行。
与其他技术相比,分步重复平版压印工艺具有若干优点。这里所述分步重复工艺基于使用低粘性可光固化液体和刚性透明模板的平版压印工艺。模板能透过液体激发光和对齐标记检测光,因而能够实现逐层对齐。对于具有多级装置的规模生产平版压印工艺,能高分辨率逐层对齐(例如低至最小特征尺寸(“MFS”)的1/3)是很有利的。
在制备模板时,有多种因素会引起扭曲误差。如果用分步重复工艺,则每一步只处理部分基底。每步处理的区域大小应当足够小,使图案的变形小于MFS的1/3。因而在高分辨率平版压印中,需要采用分步重复成图法。这也是多数光学平版印刷工具是分步重复系统的原因。同样,如前所述,CD变化小,缺陷检查/修复少,也有利于小区域的处理。
为保持低工艺成本,平版印刷设备具有足够高的生产能力也很重要。生产能力要求对每块区域的成图时间构成严格限制。从生产能力的角度看,可光固化的低粘性液体非常有吸引力。这些液体流动快,适当填充模板与基底之间的空隙所需时间短,并且平版印刷能力与图案无关。这种低压、室温处理方法非常适合高产率,同时保持了逐层对齐的长处。
虽然早先的发明对低粘性可光固化液体成图有所阐述,但它们没有提到与分步重复工艺的结合。在光平版印刷和热浮雕工艺中,在成图之前要将膜旋涂到基底上,并烘硬。若将此方法用于低粘性液体,有三个主要问题。由于低粘性液体容易去湿且无法保持连续膜的形式,因而难以旋涂。同样,在分步重复工艺中,由于液体挥发,用模板在基底上分布重复操作时,基底上会残留不同量的液体。最后,不细分的光辐射容易扩散到要成图的具体区域之外。容易引起后续区域的局部固化,从而在压印之前就对液体的流动性产生影响。用适合在基底上单个区域分发液体,每次分发一个区域的方法可以解决上面三个问题。但是,重要的是将液体精确限制在特定区域,防止基底上的可用区域受到损失。
一般地,平版印刷是生产器件所用的众多单元工艺中的一种。所有这些工艺的成本,尤其是在多层器件生产中,强烈要求使成图区尽可能彼此尽可能靠近,但又不能使图案相互干扰。这可有效扩大基底的可用区域,因而提高了基底的利用率。此外,平版压印可以“混合—匹配”模式与其他类型的平版印刷工艺(如光学平版印刷)组合使用,不同的平版印刷技术可在同一个设备上产生不同级别的图案。使平版压印工艺适用于其他平版印刷技术是非常有利的。边界区是将基底上两个相邻的区域分开的区域。在最新的光学平版印刷工具中,这种边界可小至50—100微米。边界尺寸通常由分隔成图区的刀片的尺寸限制。随着切割单个芯片的切割刀片越来越薄,这种小边界也有望越来越小。为满足对边界尺寸的苛刻要求,要从成图区排出的任何过量液体所在位置要严格限制并可重复。这样,单个组件可如本发明所述进行加工使之适应重复工艺,其中所述组件包括模板、基底、液体和任何其他能影响系统物理性质的材料,所述物理性质包括但不限于表面能、界面能、Hamacker常数、范德华力、粘度、密度、不透明度等。
如前所述,不连续膜用合适的成图模板形成。例如,含有确定边界的高纵横比凹陷的模板可防止液体流到边界之外。将液体限制在边界之内受到若干因素的影响。如上所述,模板在对液体的限制中发挥作用。此外,模板与液体接触的过程也影响对液体的限制。
图19A—C所示为表面上形成不连续膜的工艺的剖面图。在一种实施方式中,可固化液体40以线或液滴的形式分发到基底20上,如图19A所示。因此,可固化液体40没有覆盖要压印的基底20的全部区域。随着模板12的下表面536与液体40接触,模板对液体的作用力引起液体铺展在基底20的表面上,如图19B所示。一般地,模板对液体的作用力越大,液体在基底上铺展得越开。因此,如果施加了足够的作用力,则液体将跑到模板周缘之外,如图19C所示。通过控制模板施加在液体上的作用力,可将液体控制在模板的预定边界之内,如图19D所示。
施加在液体上的力的大小与基底上分发的液体量和固化过程中模板与基底之间的距离有关。对于阴模平版压印工艺,分发到基底上的流体量应当小于或等于用如下方法确定的体积:基本填满成图模板上的凹陷所需液体的体积,要成图的基底面积,以及固化层的所需厚度。如果固化液体超过此体积,则当模板靠近基底适当距离的时候,液体将从模板边缘溢出。对于阳模平版压印工艺,分发到基底上的液体量应当小于用如下方法确定的体积:固化层所需的厚度(即模板的非凹陷部分与基底之间的距离)和要成图的基底部分的表面积。
对于采用含有一个或多个边界的模板的平版压印工艺,模板非凹陷表面与基底之间的距离应当设定在最小膜厚度与最大膜厚度之间,如前所述。将高度设定在这两个值之间可让合适的毛细作用力将液体限制在模板上边界所划定的区域。此外,层厚还要与成图结构的高度适当可比。如果固化层太厚,固化层中形成的特征结构会在特征结构转移到下面的基底之前就发生腐蚀。因此,最好将体积控制在上述范围内,以便有厚度合适的膜可用。
模板施加在液体上的作用力还受模板与液体的接触速率影响。一般地,模板接触液体的速率越快,施加在液体上的力越大。因此,通过控制模板与液体接触的速率,可适当控制液体在基底表面上的铺展情况。
在平版压印工艺中,使模板相对于基底定位时,所有这些特征都要考虑。以预定方式控制这些参数,可控制液体的流动,使之留在预定区域内。
重叠对齐策略包括测定对齐误差,然后补偿这些误差,使印花模板与基底上所需压印位置精确对齐。根据基底校正模板的位置,对于成图层与基底上任何已形成的层正确对齐是非常重要的。这里所用定位误差通常指模板与基底之间的X-Y定位误差(即沿X轴和/或Y轴的平移)。在一种实施方式中,定位误差经测定后,用透过模板的光学装置校正,如图14所示。
图28所示为透过模板的光学成像系统3800的光学系统3820的示意图(还可参见图14)。光学系统3820将不同平面内的两个对齐标记集中到一个焦点平面上。光学系统3820可利用对应于不同波长的光的焦距变化来确定模板与下面的基底的对齐情况。光学系统3820可包含光学成像装置3810、光源(未示出)和聚焦装置3805。具有不同波长的光可用若干单个光源产生,或用单个宽频段光源,但在成像平面和对齐标记之间插入带通滤光器产生。根据模板3700与基底2500之间的空隙,可选择不同波长的光来调整焦距。在所用的每个波长的光下,每个重叠标记可在成像平面上产生两个图像,如图29所示。当用一特定波长的光时,第一个图像2601是聚焦的清晰像。当用相同波长的光时,第二个图像2602是不在焦距上的像。为消除每个不在焦距上的像,可采用若干方法。
在第一种方法中,用具有第一波长的光照射,光学成像装置3810可接收两个图像。图像如图29所示,总地标记为数字2604。虽然所示图像是方块,但应当理解,任何其他形状都可采用,包括十字形。图像2602对应于基底上的重叠对齐标记。图像2601对应于模板上的重叠对齐标记。当图像2602聚焦时,图像2601就在焦点外。在一种实施方式中,可用图像处理技术消除对应于图像2602的像素的几何数据。因此,基底标记的焦点外图像可以得到消除,只留下图像2601。用相同的程序和第二波长的光,可在光学成像装置3810上形成图像2605和2606。然后消除焦点外图像2606,只留下图像2605。接着将剩下的两个焦点上图像2601和2605合并到单个成像平面2603上,由此测定重叠误差。
第二种方法采用图30所示两个共平面偏振阵列和偏振光源。图30所示为重叠标记2701和正交偏振阵列2702。偏振阵列2702在模板表面上形成或放在表面上。在两个偏振光源下,成像平面上只出现聚焦图像2703(每个图像对应于单个波长和偏振态)。因此,偏振阵列2702将不在焦距上的图像滤掉了。这种方法的一个优点是,它不需要用图像处理技术消除焦点外图像。
基于莫尔图的重叠测定已经用于光学平版印刷工艺。对于平版压印工艺,由于两层莫尔图不在同一平面上但仍然在成像阵列中重叠,要得到两个单独聚焦图像可能比较困难。但是,将模板与基底之间的空隙仔细控制在光学测量工具的焦距深度内,但模板与基底不发生直接接触,这样可同时获得两层莫尔图,同时使聚焦问题减少到最少。据信,基于莫尔图的其他标准重叠策略可直接应用于平版压印工艺。
对于采用可UV固化的液体材料的平版压印工艺,另一个有关重叠对齐的问题是对齐标记的可见性。对于重叠放置误差的测定,采用两个重叠标记,一个在模板上,另一个在基底上。但是,由于模板宜对固化剂透明,模板重叠标记在某些实施方式中是不透明的线。而且,模板重叠标记是模板表面的形貌特征结构。在某些实施方式中,制备标记的材料与制备模板的材料相同。此外,可UV固化的液体的折射率类似于模板材料(例如石英)的折射指数。因此,当可UV固化的液体填充模板与基底之间的空隙时,模板重叠标记将变得非常难以识别。如果模板重叠标记用不透明材料(例如铬)制备,则重叠标记下面可UV固化的液体可能不会受到UV光的恰当辐照。
在一种实施方式中,重叠标记用在光学成像系统3800看得见但不能透过固化光(例如UV光)的模板上。此方法的一种实施方式见图31。在图31中,模板上的重叠标记3102由精细偏振线3101而不是完全不透明的线形成。例如,合适的精细偏振线的宽度约为用作固化剂的激发光波长的1/2—1/4。偏振线3101的线宽应当足够小,使从两条线间通过的激发光充分发生衍射,引起线下所有液体的固化。在这种实施方式中,激发光可根据重叠标记3102的偏振态发生偏振。使激发光偏振后,它能较均匀地辐照所有的模板区域,包括含有重叠标记3102的区域。用来给模板上的重叠标记3102进行定位的光可以是不能固化液体材料的宽带光或特定波长的光。这种光无需使之偏振。偏振线3101基本上不能透过测定光,因而用已安装好的重叠误差测定工具可观察到重叠标记。精细偏振重叠标记用现有技术做在模板上,如电子束平版印刷技术。
在另一种实施方式中,重叠标记用不同于模板的材料形成。例如,选来形成模板重叠标记的材料可以基本上不透光,但能透过用作固化剂的激发光(例如UV光)。例如,SiOx可用作这种材料,其中x小于2。特别地,由SiOx形成的结构基本上不透过可见光,但透过UV固化光,其中x约为1.5。
在一种实施方式中,一个或多个模板对齐标记可用离轴对齐方法来完成对齐。如上所述,系统可包含与压印头和运动台相连的离轴光学成像装置。虽然以下叙述是就基底安装在运动台上的系统而言的,但应当理解,该工艺经改进后,很容易为压印头安装在运动台上的系统所用。此外,应当理解,以下叙述均假定在进行对齐操作之前,放大误差均已得到校正。当材料由于温度变化而发生膨胀或收缩时,就会出现放大误差。校正放大误差的技术见述于美国专利09/907512登记于2001年7月16日,题为“High-Resolution Overlay AlignmentMethods and Systems for Imprint Lithography”,在此将其引为参考。此外,如果在模板成图区域的平面内两个正交方向上放大校正不同,也需要在对齐之前进行放大校正。
图46A-D所示为模板与基底的离轴对齐系统的示意图。压印头3100包含模板3700和离轴成像装置3840。基底4600安装在与运动台3620相连的基底卡盘3610上。运动台3620用来控制基底在基本上平行于模板的方向上的运动。模板光学成像系统3850与运动台3620相连,使光学成像系统与运动台一起运动。系统还包含系统对齐靶4630。系统对齐靶4630连接到系统的固定部分,与光学成像系统光学对齐。系统对齐靶4630可连接到平版压印系统或非移动光学成像系统(例如光学成像系统3840)的本体上。系统对齐靶用作对齐测定的固定参考点。
模板3700和基底4600包含至少一个,最好为两个对齐标记,如图46A所示。在压印工艺中,模板上的对齐标记与基底上的相应对齐标记在基底上的液体固化之前对齐。在一种实施方式中,对齐可用离轴光学成像装置完成。图46A所示为初始态的系统。在此初始态,模板对齐标记未与基底对齐标记对齐。但是,光学对齐系统3840和3850与系统对齐靶4630对齐。因此,每个运动相对于系统中一固定点的起始位置是已知的。
为使模板和基底对齐标记对齐,需要确定对齐标记相对于系统对齐靶的位置。为确定模板对齐标记相对于系统对齐靶的位置,移动运动台3610,直到模板对齐靶处于光学成像装置3850的视场中,如图46B所示。运动台为找到对齐标记(在X-Y平面内)而发生的移动可用来确定模板对齐标记相对于系统对齐靶的位置。基底对齐靶的位置可通过移动运动台3610上的基底,直到基底对齐标记出现在离轴光学成像系统3840的视场中来确定,如图46C所示。运动台为找到对齐标记(在X-Y平面内)而发生的移动可用来确定模板对齐标记相对于系统对齐靶的位置。在一种实施方式中,在确定基底对齐标记的位置之前,可使运动台回复到初始位置(例如图46A所示)。
一旦基底和模板对齐标记的位置确定了,对齐可通过将基底移动到合适的位置来完成。图46D所示为模板和基底的最终对齐状态。
为使模板与基底上的一个区域正确对齐,在基底上相对于模板所选定的位置要使模板与基底上的对齐标记对齐。一般地,在模板上形成两个或多个对齐标记。在基底上同样形成相应的标记。当模板上的对齐标记全部与基底上的对齐标记正确对齐时,可以进行压印工艺。
在一些实施方式中,模板可沿Z轴相对于基底旋转。在这些实施方式中,仅仅通过基底的X-Y运动不可能使模板上的多个对齐标记与基底上相应的对齐标记对齐。为使模板与基底上选定的区域正确对齐,绕Z轴旋转基底(或模板)。这种旋转校正在此称作“θ对齐”。
图47A所示为位于基底4720上面的模板4710的俯视图。模板4710包含至少两个对齐标记,基底4720包含至少两个相应的对齐标记。如果正确对齐,则所有的模板对齐标记应当与所有的相应基底对齐标记对齐。
在进行初始对齐时,将基底(或模板)移动到适当位置,使模板上的至少一个对齐标记与基底上的至少一个对齐标记对齐,如图47B所示。如果不存在任何θ对齐误差(和放大误差),则无需进一步移动基底,其他对齐标记应当能够对齐。但如图47B所示,θ对齐误差将引起模板与基底上的其他对齐标记对不齐。在进一步进行压印之前,可以先进行θ误差校正。
θ误差校正通过绕Z轴(即垂直于纸面上X和Y轴伸出纸外的轴)旋转基底(或模板)完成。基底的旋转允许所有模板和基底对齐标记对齐,如图47C所示。
θ误差可用离轴或透过模板的对齐方法检测(和校正)。如这里所述,离轴对齐技术可确定各种对齐标记相对于固定参考点(例如系统对齐靶)的位置。图47D所示为基底4720上模板4710的俯视图。模板4710包含至少两个对齐标记,基底4720包含至少两个对应的对齐标记。
开始可用离轴成像装置确定两个模板对齐标记和两个基底对齐标记相对于系统对齐靶4730的位置。系统对齐靶4730确定了X参考轴和Y参考轴的顶点。X参考轴和Y参考轴相对于系统对齐靶的方向分别由运动台的X轴运动和Y轴运动确定。模板对齐标记的位置可用于确定通过模板对齐标记的线4740相对于X和Y参考轴的角度。基底对齐标记的位置用于确定通过基底对齐标记的线4750相对于X和Y参考轴的角度。线4740和4750的角度可用标准几何函数确定。线4740和4750相对于X和Y参考轴的角度差代表θ对齐误差。
确定θ误差后,运动台转动适当角度以校正此误差。校正之后,从模板对齐标记画过的线4740和从基底对齐标记画过的线4750相对于X和Y参考轴的角度应当基本上相同。θ误差校正完成后,模板和基底对齐标记通过运动台的X-Y运动进行最后对齐。然后,经过适当对齐的模板和基底可进入压印过程。
在另一种实施方式中,透过模板的对齐方法可用于校正θ误差,使模板与基底对齐。通过模板的对齐技术通过观察模板对齐标记与对应的基底对齐标记的对齐情况来实施。如这里所述,这可利用光学系统完成,用此系统可通过模板观察模板与基底对齐标记。
图47E所示为基底4720上模板4710的俯视图。模板4710包含至少两个对齐标记,基底4720包含至少相应的两个对齐标记。
开始可用透过模板的光学成像装置移动运动台,使第一模板对齐标记与第一基底对齐标记对齐,如图47E所述。第二模板对齐标记和第二基底对齐标记的位置通过移动光学成像装置,使之穿过模板直到发现对齐标记来确定。一旦找到模板标记,可计算假象线4740(模板对齐标记之间)和4750(基底对齐标记之间),用来确定两条线之间的θ角。此角代表θ误差。
在一种实施方式中,第二模板和基底对齐标记的位置通过运动台的移动来确定。一开始对齐第一模板和基底对齐标记,如图47E所示。移动光学成像装置,寻找第二模板对齐标记。找到此标记后,移动运动台,而光学成像装置保留在相同位置,直到第一模板对齐标记回到光学成像装置的视场。监控运动台的运动,用此运动计算第二模板对齐标记相对于第一模板对齐标记的位置。第二模板对齐标记相对于第一模板对齐标记的位置根据X-Y参考平面确定,而X-Y参考平面由运动台的X运动和Y运动的方向确定。用类似的方式可确定第二基底对齐标记相对于第一基底对齐标记的位置。
确定θ误差之后,运动台转动适当角度以校正此误差。θ误差校正完成后,模板和基底对齐标记通过运动台的X-Y运动进行最后对齐。然后,经过适当对齐的模板和基底可进入压印过程。
在另一种实施方式中,离轴和透过模板的对齐方法可同时用来使模板与基底对齐。在这种实施方式中,离轴方法可用来完成第一对齐,而透过模板的对齐方法可用来细调模板与基底的对齐情况。θ校正和X-Y校正均可用这两种技术进行。
上述θ校正对齐方法可用于分步重复工艺。分步重复工艺可通过整体或逐块对齐进行。对于整体对齐,一基底的两块或多块区域包含至少两个对齐标记。离轴或透过模板的对齐在两个或多个区域进行,确定每个区域的θ对齐误差和X-Y对齐误差。任选地,每块区域的对齐都可伴随着压印步骤。然后对每块区域的θ对齐误差和X-Y对齐误差求平均,确定“平均对齐误差”。平均对齐误差可用来确定在基底上的任何一块区域需要进行什么样的校正。
然后,平均对齐误差可用于分步重复过程中。在分步重复过程中,预先确定每块区域的位置,并存储在平版印刷系统的数据库中。在压印过程中,驱动运动台,根据数据库中存储的坐标,使模板指向基底所需的位置。然后根据平均对齐误差,对模板与基底进行对齐校正。可光固化液体可在对齐校正之前或之后放置在基底上。利用激发光固化可光固化液体,然后将模板从固化液体上取下。移动运动台,使模板指向基底的另一部分,重复该过程。
或者,可采用逐块对齐方法。在压印过程中,移动运动台,根据存储在数据库中的坐标,使模板指向基底上的所需区域。基底的每块包含两个或多个对齐标记,它们对应于模板上的对齐标记。然后用离轴对齐方法、透过模板的对齐方法或这些对齐技术的组合,在要压印的具体区域使模板对齐标记与基底对齐标记对齐。可光固化液体可在对齐校正之前或之后放置在基底上。利用激发光固化可光固化液体,然后将模板从固化液体上取下。移动运动台,使模板指向基底的另一部分上方。对基底上的每块区域都进行对齐操作。
在一种实施方式中,可用散射方法进行对齐操作。散射法是用来测定表面对光的散射性的技术。当用来对齐模板与基底时,散射法用采用基底和模板上的衍射光栅。在平版压印中,模板上的对齐标记和基底上的对齐标记彼此隔开的距离可小于200nm。因此,对齐系统可同时观察到两个对齐标记。一般地,对齐标记上的入射光可以预定方式从对齐标记上发生散射,具体取决于对齐标记彼此之间的定向关系。在一种实施方式中,当对齐标记对齐后,可计算光的散射,绘出散射图。在使用中,可通过移动基底或模板,直到来自对齐标记的光散射图像基本上与预定散射图像基本匹配为止。
在用平版压印技术对基底进行成图的过程中,印花模板位于基底的预定部分上。一般地,要压印的基底部分具有预先形成的结构。在压印之前,印花模板需要与基底上预先形成的结构对齐。对于小于100nm的平版压印,模板与基底上的特征结构对齐的精度应当有可能小于约25nm在某些情况下小于约10nm。模板与基底的对齐通常用对齐标记完成。相匹配的对齐标记在基底和模板上形成,并放置在预定位置。如果对齐标记正确对齐了,模板就与基底正确对齐了,然后可进行压印。
一般地,对齐过程可用大功率显微镜进行。这种显微镜可收集对齐标记的图像。使用者分析收集到的图像,改变模板相对于基底的位置,使图像对齐,由此使模板与下面的基底对齐。能使对齐精度小于10nm的大功率显微镜非常昂贵,可能难以应用于平版压印系统。
散射法提供了一种收集图像数据而无需使特征结构成像的技术。一般地,散射工具包括光学硬件如椭率计和反射计,还有装有散射软件应用程序的数据处理单元,该软件用于处理光学硬件收集到的数据。散射工具通常包括分析光源和探测器,它们在位置上可靠近基底和模板上的对齐标记。光源至少可照射对齐标记的部分衍射光栅结构。探测器进行光学测定,如反射光的强度或相位。数据处理单元接收探测器测定的光学数据并进行处理,确定衍射光栅散射掉的光的散射图像。
散射工具可采用单色光、白光、其他波长的光或组合波长的光,它取决于具体的应用。光的入射角也可以变化,同样取决于具体的应用。散射工具分析的光通常包含反射组分(即入射角等于反射角)和散射组分(即入射角不等于反射角)。为方便以下讨论,术语“反射”光包含这两种组分。
当模板上的对齐标记与基底上的对齐标记对齐后,光从表面反射的方式使得它可用反射图像表征。如果模板对齐标记与基底对齐标记没有对齐,用散射工具测得的反射图像(即强度、相位、偏振态等)将不同于标记对齐后所呈现的光反射图像。在使用中,散射工具测定对齐标记的反射图像。如果使用中测定的对齐标记的反射图像存在差异,则表明模板与基底没有对齐。
散射工具的数据处理单元比较测定的反射图像和参考反射图像库中的图像。测定的反射图像与参考反射图像之间的差异可用来确定模板对齐标记与基底对齐标记的对齐情况。或者,当两个光栅对齐后,正常入射光束的散射图样应当是对称的,即+1和-1级应当是相同的,或者两个相反小角度入射光束的任何一级(包括0级)都应当相同。多个波长的对称信号应当相减,将差相加,以此测定对齐情况,去掉晶片或模板,使加和最小。
散射法与光学成像法相比具有若干优点。散射工具的光学要求比光学成像系统少得多。另外,散射法可收集到额外的光学信息(如光的相位和偏振态),这些信息用光学成像装置如显微镜无法收集到。
对齐标记的一个例子示于图48A。对齐标记4800包含在基底4820(例如在其上形成压印层的模板或基底)上形成的许多沟槽4810,它们一起构成衍射光栅(例如4825和4827)。对齐标记4800的剖面示于图48B中。一般地,衍射光栅可通过在基底上蚀刻许多凹槽形成。这些凹槽具有大致相同的宽度和深度,并且均匀隔开。为沿X和Y轴均对齐,至少要用两组衍射光栅。如图48A所示,第一组沟槽4825确定了用于沿第一轴(例如X轴)对齐的衍射光栅。第二组沟槽4827确定了用于沿第二轴(例如Y轴)对齐的衍射光栅。
对齐标记的另一种实施方式示于图48C。为使模板与基底对齐,至少采用了四组衍射光栅。衍射光栅由蚀刻进基底的许多沟槽形成,如上所述。两组衍射光栅4830和4840用于对模板与基底进行粗对齐。粗对齐光栅由许多具有大致相同的宽度和深度且均匀分开的沟槽形成。粗对齐衍射光栅的沟槽彼此隔开的距离约为1—3μm。间距在此范围内的衍射光栅可用来使模板与基底对齐,且精度达到约100nm。衍射光栅4830用来沿第一轴(例如X轴)进行对齐。衍射光栅4840用来沿第二轴(例如Y轴)进行对齐。
在将特征尺寸小于约100nm的结构压印到表面上时,这种精度不足以对不同印花层进行正确定向。其他光栅结构4850和4860可用于精细对齐。精细衍射光栅可由许多宽度和深度大致相同且均匀分开的沟槽形成。精细对齐衍射光栅的沟槽相隔的距离可在约100—1000nm之间。间隔在此范围内的衍射光栅可用来使模板与基底对齐,且其精度达到约5nm。衍射光栅4850用来沿第一轴(例如X轴)进行对齐。衍射光栅4860用来沿第二轴(例如Y轴)进行对齐。
图49所示为用于测定模板对齐标记4910与基底对齐标记4920之间的对齐情况的散射工具的构型图。散射工具产生入射光束4930,将其导向对齐标记,如图所示。入射光束4930的入射方向基本上垂直于模板(或基底)所在平面。入射光束4930可由白光源或任何其他光源产生,只要它能产生多个波长的光。用来产生这种光的光源可安装在这里所述压印系统的压印头中。或者,光源可连接在压印头外面的本体上,光学系统可用来将光导向模板。
当来自光源的光与对齐标记接触时,光将如图49所示发生散射。如本领域所熟知的,光发生散射后,可在不同角度上产生最大光强度。不同的最大强度的光所产生的角度对应于不同衍射级数。一般地,当光从衍射光栅上发生反射时,将产生许多级数。这里所用0级是指沿着与入射光相同的光路反射到回光源的光。如图49所示,沿入射光束4930反射回光源的光属0级。1级光是沿不同于入射角的角度反射的衍射光栅。如图49所示,光线4942和4944代表沿正1级(即+1级)产生的光,光线4952和4954代表沿负1级(即-1级)产生的光。虽然介绍的是+1和-1级的光,当应当理解,其他级数的光(例如N级,其中N大于0)也可以采用。
在使用中,探测器4960收集基底(以及透过模板)反射的光。在一种实施方式中,探测器4960是能够在许多位置同时测定光的性质的阵列探测器。当光从衍射光栅发生散射时,不同波长的光发生不同散射。一般地,所有波长可沿一个衍射级数散射,但是不同波长的光将以稍有差异的角度散射。图49示出了两个不同波长的光如何沿着+1和-1级反射。应当指出,为方便这里的讨论,散射角的差异经过了放大。现在看+1级,光束4942代表红光而光束4944代表蓝光。对于-1级,光束4952代表红光而光束4954代表蓝光。如图所示,红光束和蓝光束接触探测器的不同部位。探测器4960包含光探测元件阵列。光探测元件的尺寸和位置要使它能够分析不同波长的光。如图49所示,红光4942射中的光探测元件不同于蓝光4944。因此,散射工具可同时测定多个波长的光的性质。
测定多个波长的光的散射有一个好处,即可求出相差的平均值。相差由形成衍射光栅的蚀刻沟槽的不规则性引起。例如,如果壁是非平行的,或者沟槽底部是倾斜的,则光散射可能不符合预定模型。这种偏差容易随着用于分析的光的波长变化。例如,在形成沟槽时的加工误差引起的红光偏移多于蓝光。通过在多个波长下读数,各单个数据可求平均,由此产生更精确的对齐导向参数。
如图50所示,在另一种实施方式中,来自对齐标记的反射光可如图49所示发生散射。反射光可利用光学元件5070进行分束,而不依赖于探测器捕捉不同波长的光的分辨率。如上所述,模板对齐标记5010和基底对齐标记5020用入射光5030照射。入射光5030的方向垂直于模板平面。自对齐标记的衍射光栅反射的光沿+1级(5040)和-1级(5050)分析。在此实施方式中,光学元件5070放置在基底与探测器5060之间的光路上。光学元件5070用来衍射因波长不同而出射角度不同的光。例如,光学元件5070可以是衍射光栅(例如作为分光光度计的一部分)或棱镜。棱镜和衍射光栅均能衍射因波长不同而出射角度不同的光。如图50所示,红光的衍射角度不同于蓝光。虽然图50只示出了一个元件,但应当理解,光学元件5070可以由两个不同的元件组成。此外,虽然所示光学元件5070和探测器5060是独立元件,但应当理解,这些元件可以并入单个装置(例如分光光度计)。
或者,光学元件5070也可以是透镜。如果光学元件5070是透镜,光通过透镜时将发生衍射。衍射的程度部分取决于透镜材料的折射率。衍射程度还取决于光的波长。不同波长的光衍射的角度不同。这就导致了所谓的“色差”。可以利用色差促使光分解成不同的波长。在某些实施方式中,可以采用两个透镜,每级光用一个棱镜。
上述散射法可用于平版压印工艺。在一种实施方式中,将预定量的可光固化液体放置在要压印的部分基底上。将印花模板放在靠近基底的地方。通常,模板与基底之间间隔的距离约小于200nm。为确保印花模板与基底上预先形成的结构对齐,让模板对齐靶与基底对齐靶对齐。模板对齐靶包含衍射光栅,因而可以用散射技术进行对齐。模板对齐标记与基底对齐标记的初始对齐可通过标记的光学成像来完成。标记用图像识别软件对齐。这种对齐可达到的精度在约1微米范围之内。
散射法可反复用于对齐操作。在一种实施方式中,对齐标记可包含粗对齐衍射光栅和精细对齐衍射光栅,如图48C所示对齐标记。对齐标记的粗对齐可用粗对齐衍射光栅完成,对齐标记的精细对齐可用精细对齐衍射光栅完成。所有的对齐测定可利用模板与基底之间的可光固化液体完成。如这里所示,可用光学成像装置进行初始对齐。在进行散射之前,可将光学成像装置移到光源与模板之间的光路以外。或者,可调整光源发出的光,使得光学成像装置不在光源与模板之间的光路上。
在一种实施方式中,可使光沿垂直于模板平面的方向射向模板与基底对齐标记。沿+1和-1级散射的光可在多个波长进行分析。+1级散射光的强度与-1级散射光的强度进行对比,如果模板对齐标记与基底对齐标记对齐了,这两个强度在任何给定波长下应当基本上相同。+1和-1级光强度上的差异表明对齐标记可能没有对齐。通过比较在多个波长下未对齐程度,可以得出对齐标记的“平均”不齐程度。
模板与基底对齐标记的平均不齐程度可用来确定需要怎样校正模板相对于基底的位置,以便正确对齐对齐标记。在一种实施方式中,基底位于基底运动台上。根据散射法计算出来的平均不齐程度,适当移动基底,即可完成对齐操作。模板与基底正确对齐后,可以使液体固化,然后从固化的液体上分离模板。
图51所示为用来确定模板对齐标记5110和基底对齐标记5120之间的对齐情况的散射工具的另一种构型。散射工具5100通过测定两个0级基底反射来确定对齐标记的对齐情况。两个光源产生两个入射光束5130和5135,它们射向如图所示对齐标记。入射光束5130和5135的方向基本上不垂直于模板(或基底)平面。入射光束5130和5135可来自白光源或其他任何能产生多波长光的光源。入射光束5130和5135分别通过分束器5192和5194。
当来自光源的光与对齐标记接触时,光就发生上述散射。0级光是沿入射光路反射回光源的光。反射回光源的光进一步为分束器5192和5194反射到探测器5160和5162。在一种实施方式中,探测器5160和5162是能同时在多个位置测定光的性质的阵列探测器。当光在衍射光栅上发生散射时,各波长的光发生不同的散射。一般地,所有波长的光都将沿着某一个衍射级散射,但不同波长的光散射的角度稍有不同,如前所述。应当指出,为方便讨论,这里散射角的差异被放大了。对于入射光束5130,光束5142代表红光而光束5144代表蓝光。对于入射光束5135,光束5152代表红光而光束5154代表蓝光。如图所示,红光束和蓝光束接触探测器的不同部位。探测器5160包含光探测元件阵列。光探测元件的尺寸和位置要便于分析不同波长的光。如图51所示,红光5142与蓝光5144射到不同的光探测器元件上。因此,散射工具可同时测定多个波长的光的性质。使用阵列探测器的另一个好处是晶片或模板方向的任何微小变化或引起级峰位置变化的任何其他机械变化都能探测到,而且其强度可正确测定。
图51所示散射系统的优点是用于对齐的反射信号最强(即0级信号)。一般地,如果入射光垂直于光栅,则光栅对齐方面的差异对于0级光不是很明显。据信,如果用非垂直角度的入射光,则0级光对于光栅没有对齐的情况更敏感。此外,散射系统的光路特点允许光成像装置5180放在系统中央。如这里所述,光成像装置5180可用来对模板和基底对齐标记进行粗对齐。在用散射系统对模板和基底进行对齐时,不需要移动光学成像装置。
在另一种实施方式中,如图52所示,来自对齐标记的反射光可如图51所示发生散射。反射光可利用光学元件5272和5274进行分束,而不依赖于探测器捕捉不同波长的光的分辨率。如上所述,模板对齐标记5210和基底对齐标记5220用入射光5230和5235照射。入射光5230和5235的方向不垂直于模板平面。自对齐标记的衍射光栅反射的光通过用分束器5292和5294反射来沿0级分析。在此实施方式中,光学元件5270和5274放置在基底与探测器5260之间的光路上。光学元件5272和5274用来衍射因波长不同而出射角度不同的光。例如,光学元件5272和5274可以是衍射光栅(例如作为分光光度计的一部分)或棱镜。或者,光学元件5272和5274也可以是有色差效应的透镜。
在另一种实施方式中,如图53所示,来自对齐标记的反射光可如图51所示发生散射。反射光可利用光学元件5372和5374进行分束,而不依赖于探测器捕捉不同波长的光的分辨率。对齐标记反射的光通过分束器5392和5394分别射向光纤光缆5376和5378。光纤光缆将光从压印系统送到光学元件5372和5374。光学元件5372和5374用来衍射因波长不同而出射角度不同的光。例如,光学元件5372和5374可以是衍射光栅(例如作为分光光度计的一部分)或棱镜。或者,光学元件5372和5374也可以是有色差效应的透镜。这种实施方式的一个优点是部分光学系统可同压印系统分离,这可最大程度减小压印系统的尺寸。
图54所示为用来确定模板对齐标记5410和基底对齐标记5420之间的对齐情况的散射工具的另一种构型。两个光源产生两个入射光束5430和5435,它们射向如图所示对齐标记。入射光束5430和5435的方向明显不垂直于模板(或基底)平面。入射光束5430和5435可来自白光源或其他任何能产生多波长光的光源。入射光束5430和5435分别通过分束器5492和5494。
当来自光源的光与对齐标记接触时,光就发生图54所示散射。如图54所示,沿入射光束5430和入射光束5435反射回光源的光是0级光。1级光沿不同于入射角的角度自衍射光栅反射。如图54所示,光线5440代表沿入射光束5430的+1级方向产生的光,光学5450代表入射光束5435的+1级光,而-1级光没有示出。虽然图中示出的是+1级光,但应当理解,其他级数的光(例如N级,其中N大于0)也可以采用。
对齐标记反射的光通过分束器5492和5494分别射向光纤光缆5476和5478。光纤光缆将光从压印系统送到光学元件5472和5474。光学元件5472和5474用来衍射因波长不同而出射角度不同的光。例如,光学元件5472和5474可以是衍射光栅(例如作为分光光度计的一部分)或棱镜。或者,光学元件5472和5474也可以是有色差效应的透镜。
分束器5492和5494允许部分反射光通过分束器。通过分束器的这部分光利用探测器5462和5464分析。光探测器可用来测定所有通过分束器5492和5494的光的总强度。有关光的总强度的数据可用来确定如何校正模板和基底的对齐标记。在一种实施方式中,校正的程度可由平均误差测定值和光强度测定值确定,平均误差测定值通过对N级(例如+1级)反射光进行分光光度分析获得。
应当理解,上述任何实施方式都可以组合起来,形成不同的形式。此外,应当理解,用来确定基底与模板标记对齐情况的光的性质包括光的强度和光的偏振态。
在平版压印工艺的所有实施方式中,都要将液体分发到基底上。虽然下面的叙述是针对在基底上分发液体而言的,但应当理解,当在模板上分发液体时,可以采用相同的液体分发技术。液体分发是需要仔细控制的过程。一般地,要通过控制液体的分发,使预定量的液体分发到基底上恰当的位置。或者,液体的体积也需要控制。恰当的液体体积和液体的恰当位置可同时由这里所述液体分发系统控制。分步重复工艺特别采用组合控制液体体积和液体位置的方法,将成图操作限定在指定区域。
可以采用各种液体分发方式。所述方式包括连续线或液滴形式。在某些实施方式中,基于位移的液体分发器尖端和压印件之间的相对运动可用来在压印件的局部形成基本上连续的液线图案。分发液体和相对运动的平衡速率可用来控制液线的截面尺寸和液线的形状。在分发液体的过程中,分发器尖端固定在基底附近(例如相距数十微米)。连续图案的两个例子示于图32A和32B。图32A和32B所示图案是正弦曲线。但是,其他图案也可以采用。如图32A和32B所示,连续液线图案可用单个分发器尖端2401或多个分发器尖端2042画出。或者,也可以采用图32C所示液滴图案。在一种实施方式中,所采用的液滴图案有一个中央液滴,其体积大于周围的液滴。当模板与液滴接触时,液体就铺满模板的成图区域,如图32C所示。
分发速率vd和压印件的相对横向速度vs有如下关系:
Vd=Vd/td(分发体积/分发时间),                  (1)
vs=L/td(线长/分发时间),                       (2)
vd=aL(其中“a”是线形图案的截面积),           (3)
因此,
vd=avs。                                        (4)
初始线形图案的宽度通常取决于分发器的尖端尺寸。分发器尖端可以固定。在一种实施方式中,液体分发控制器用于控制分发液体的体积(vd)和分发液体的时间(td)。如果vd和td固定而增加液线的长度,则所成图液线的截面高度将降低。增加液线图案的长度可通过提高周期图案的空间频率来实现。图案高度低,则在压印过程中放置的液体量就下降。如果同一分发线连有多个尖端,则形成长线图案的速度比用单个分发尖端快。或者,可利用多个靠得很近的液滴形成体积精确的液线。
液体固化后,可将模板从固化液体上取下。由于模板与基底几乎完全平行,模板、压印层和基底组装起来后可使模板与固化液体之间形成基本上均匀的接触。这种系统可能需要较大的分离力才能将模板从固化液体上分离下来。如果模板或基底是柔性的,在一种实施方式中,可用“剥离方法”进行分离。但是,使用柔性模板或基底对于高分辨率重叠对齐可能不合适。对于石英模板和硅基底,剥离方法可能难以进行。在一种实施方式中,可用“剥—拉”方法将模板从压印层上分离下来。剥—拉方法的一种实施方式示于图33A、33B和33C。
图33A所示为固化后包埋在固化层40中的模板12。物质40固化后,可有意使模板12或基底20倾斜,使模板12与基底20之间产生一个角度3604,如图35B所示。可用预校正台在模板与固化层40之间产生一个倾斜角,其中预校正台连接到模板或基底上。如果倾斜轴靠近模板—基底界面,模板12与基底20之间的相对横向运动在倾斜运动中可能不明显。如果模板12与基底20之间的角度3604足够大,仅靠Z轴运动(即垂直运动)即可将模板12从基底20上分离下来。这种剥—拉方法可保持转移层18和基底20上的所需特征结构44完好无损,不会发生撕裂。
除上述实施方式外,这里所述实施方式包括用电场形成图案结构。用电场形成固化层,在固化层上形成图案,这种方法可用于单步压印或分步重复工艺。
图34所示为模板1200和基底1202的实施方式。在一种实施方式中,模板1200由能透过激发光,从而在激发光的照射下使可光固化液体发生固化的材料形成。由透明材料形成模板1200还允许用成熟的光学技术测定模板1200与基底1202之间的空隙,并测定重叠标记,从而在形成结构的过程中进行重叠对齐和放大校正。模板1200还具有热稳定性和机械稳定性,从而能够形成具有纳米分辨率的图案。模板1200包含导电材料和/或层1204,从而在模板—基底界面上能够产生电场。
在一种实施方式中,可用空白的熔凝氧化硅(例如石英)作为模板1200的基部1206的材料。在基部1206上沉积氧化锡铟(ITO)。ITO可透过可见光和UV光,它是导电材料。ITO可用高分辨率电子束平版印刷工艺成图。模板上可涂敷一个低表面能涂层,如前所述,用于改进模板与聚合组合物之间的剥离特性。基底1202可包含标准晶片材料,如Si、GaAs、SiGeC和InP。可UV固化的液体和/或可热固化的液体可用作可光固化液体1208。在一种实施方式中,可光固化液体1208可旋涂到晶片1210上。在另一种实施方式中,可将预定量的可光固化液体1208以预定图案分发到基底上,如这里所示。在某些实施方式中,转移层1212可放在晶片1210和可光激发液体1208之间。可适当选择转移层1212的材料性质和厚度,以便将在固化液体材料中形成的低纵横比结构转化为高纵横比结构。将ITO连接到电压源1214上,可在模板1200与基底1202之间产生电场。
图35A-D和图36A-C示出了上述工艺的两种实施方式。在每种实施方式中,模板与基底之间所需的均匀间隙可维持不变。可施加所需强度的电场,使可光固化液体1208吸向模板1200的突起部分1216。在图35A-D中,所述间隙和场强使可光固化液体1208与模板1200直接接触并附着到其上面。可用固化剂(例如激发光1218和/或热)固化液体。一旦形成所需结构,模板1200可用本发明所述方法从基底1202上分离下来。
在图36A-C中,所选择的间隙和场强使可光固化液体1208获得与模板1200基本上相同的形貌。获得这种形貌不需要与模板直接接触。可用固化剂(例如激发光1218)固化液体。在图35A-D和图36A-C所示实施方式中,可用后续蚀刻过程除去固化材料1220。如果固化材料1220和晶片1210之间存在转移层1212,还可进一步进行蚀刻,如图35A-D和图36A-C所示。
在另一种实施方式中,图37A示出了导电模板,它包含与非导电基部1502相连的导电部分1504的连续层。如图37B所示,模板的导电部分1504将非导电部分1502彼此隔开。如上所述,模板可用于“阳模”压印工艺。
在某些情况下,用电场可在小于约1秒钟的时间内形成平版印刷图案结构。所述结构的尺寸通常为数十纳米。在一种实施方式中,在电场存在下固化可光固化液体可在基底上产生图案层。控制带有特定的纳米级形貌的模板与基底上可固化液体薄层表面之间的距离(例如在几纳米之内),可产生上述图案。如果所有或部分所需结构是规则分布的重复图案(如点阵),则模板上的图案可能比所需重复结构的尺寸大得多。
在模板与基底之间施加电场,可在模板上复制图案。因为液体和空气(或真空)具有不同的介电常数,且不同位置的电场因模板形貌的存在而不同,所以产生的静电作用力会将各区域的液体向模板吸引。表面张力和毛细压力倾向于稳定膜。在高电场强度下,可光固化液体可能与模板接触,在某些点上自基底去湿。但是,如果静电作用力与毛细作用力相当,则可能附着液体膜,其中毛细作用力用无量纲数Λ量度。静电作用力的大小约为ε E2d2,其中ε是真空介电常数,E是电场强度,d是特征尺寸。毛细作用力的大小约为γd,其中γ是液—气表面张力。这两个因素的比是八=ε E2d/γ。为使界面变形,从而使它附着到上表面上,电场必须使得L约为1。其精确数值取决于板的形貌的细节和液—气介电常数与高度之比,但此值为0(1)。因此,电场大致由E~(γ/ε d)1/2给出。通过组合物的聚合反应,可使此可光固化液体发生原位硬化。可用低能自组装单层膜(例如氟化表面活性剂)处理模板,以利于模板从聚合组合物上的分离。
以上估算的例子如下所述。如果d=100nm,γ=30mJ/m,ε=8.85 x 10-12C2/J·m,则E=1.8 x 108V/m。如果模板间距为100nm,则它相当于板间电势差为18V;如果模板间距为1000nm,则相当于180V。注意特征尺寸d~γ/ε E2,这意味着特征尺寸随着电场强度的平方而下降。因此,对于100和1000nm的板间距,50nm的特征结构将需要25或250V的电压。
可以通过控制电场、模板形貌的设计和模板与液体表面的距离在可光固化液体中产生图案,而不需要与模板表面接触。此技术不需要用机械方法将模板从聚合组合物上分离下来。此技术还消除了在图案中引起缺陷的潜在因素。但是,在模板与液体不接触的情况下,液体可能不会像接触的情况中那样形成尖锐的高分辨率结构。这可以用以下方法解决:先在可光固化的液体中产生结构,其中液体部分限制在给定电场中;接着增加模板与基底之间的空隙,同时增加电场强度,以“拉出”液体,在不接触的情况下形成清晰的结构。
可光固化的液体可沉积在转移层的顶部,如前所述。这种双层工艺可利用电场形成低纵横比、高分辨率结构,接着通过蚀刻工艺得到高纵横比、高分辨率结构。这种双层工艺还可用于“金属剥离工艺”,将金属沉积在基底上,剥离之后,金属留在开始形成的结构的沟槽区域。
采用低粘性可光固化液体,可用电场快速形成图案(例如小于约1秒)该结构还能快速固化。如果能够避免基底和可光固化液体的温度发生变化,则还能避免图案发生变形,这种变形将使得纳米分辨率的逐层对齐过程无法实施。此外,如上所述,有可能在不与模板接触的情况下快速形成图案,从而消除了需要直接接触的压印方法所带来的缺陷。
本专利将某些美国专利和美国专利申请引作参考。但这些美国专利和美国专利申请文本的引用限度为,这些文本与本发明的声明和图示没有冲突。如果存在这种冲突,则这些参考美国专利和美国专利申请中与本发明有冲突的叙述不在本发明中引用。
虽然已经结合各种示范性实施方式对本发明进行了叙述,但这些叙述不对本发明构成任何限制。本领域的技术人员参考以上叙述后,这些示范性实施方式的各种变化形式和组合形式以及本发明的其他实施方式都是显而易见的。因此,附属权利要求包括上述任何变化形式或实施方式。

Claims (28)

1.确定含有模板对齐标记的模板与含有基底对齐标记的基底之间对齐情况的方法,所述方法包括:
将所述模板和所述基底重叠起来;
获得多个对齐测定值;
根据所述多个对齐测定值,鉴别与所述模板对齐标记和所述基底对齐标记的所需空间方向的偏差,确定对齐偏差;以及
根据所述对齐偏差确定平均偏差。
2.权利要求1所述方法,其特征在于它还包括根据从所述平均偏差得到的信息调整所述模板和所述基底之间的位置,得到所述所需的空间方向。
3.权利要求1所述方法,其特征在于获得多个对齐测定值包括在所述模板对齐标记和基底对齐标记上照射具有第一和第二波长的光,所述鉴别还包括根据用一光探测器在所述第一波长的光下收集的所述对齐测定值,确定所述模板对齐标记相对于所述基底对齐标记的第一对齐误差,以及根据用所述光探测器在所述第二波长的光下收集的所述对齐测定值,确定所述模板对齐标记相对于所述基底对齐标记的第二对齐误差。
4.权利要求3所述方法,其特征在于确定平均偏差还包括对所述第一和第二对齐误差求平均值,以确定所述平均偏差。
5.权利要求1所述方法,其特征在于它还包括为所述模板提供具有许多特征结构的第一光栅,每个特征结构与相邻特征结构之间相隔1—3μm的第一距离,用以形成所述模板对齐标记;为所述基底提供具有许多标记的第二光栅,每个标记与相邻标记之间相隔1—3μm的第二距离,用以形成所述基底对齐标记。
6.权利要求1所述方法,其特征在于它还包括为所述模板提供具有许多特征结构的第一光栅,每个特征结构与相邻特征结构之间相隔不到1μm,用以形成所述模板对齐标记;为所述基底提供具有许多标记的第二光栅,每个标记与相邻标记之间相隔不到1μm,用以形成所述基底对齐标记。
7.权利要求1所述方法,其特征在于它还包括为所述模板提供具有许多特征结构的第一光栅,每个特征结构与相邻特征结构之间相隔100—1000nm,含100nm和1000nm,用以形成所述模板对齐标记;为所述基底提供具有许多标记的第二光栅,每个标记与相邻标记之间相隔100—1000nm,含100nm和1000nm,用以形成所述基底对齐标记。
8.权利要求1所述方法,其特征在于它还包括为所述模板提供第一和第二光栅,用以形成所述模板对齐标记,其中所述第一和第二光栅各自包含许多特征结构,所述第一光栅的每个所述特征结构与相邻特征结构相距1—3μm,所述第二光栅的所述特征结构与相邻特征结构相距100—1000nm;为所述基底提供第三和第四光栅,用以形成所述基底对齐标记,其中所述第三和第四光栅各自包含许多标记,所述第三光栅的每个所述标记与相邻标记相距1—3μm,所述第四光栅的所述标记与相邻标记相距100—1000nm。
9.权利要求3所述方法,其特征在于确定所述第一对齐误差还包括探测所述第一波长的+1和-1级光,确定所述第二对齐误差还包括探测所述第二波长的+1和-1级光。
10.权利要求3所述方法,其特征在于确定所述第一对齐误差还包括比较所述第一波长的正n级对齐测定值与所述第一波长的负n级对齐测定值;确定所述第二对齐误差还包括比较所述第二波长的正n级对齐测定值与所述第二波长的负n级对齐测定值。
11.权利要求2所述方法,其特征在于它还包括在所述基底上施涂可光固化液体,使所述可光固化液体与所述模板接触;调整所述位置还包括使所述模板和所述基底处于所需的空间方向,同时保持所述模板与所述可光固化液体之间的接触。
12.权利要求11所述方法,其特征在于它还包括固化所述可光固化的液体,形成固化液体,并将所述模板从所述固化液体上分离下来。
13.权利要求11所述方法,其特征在于调整所述位置还包括调整所述位置时,所述可光固化液体基本上不存在于所述基底对齐标记和所述模板对齐标记重叠的区域。
14.权利要求1所述方法,其特征在于所述模板包含第一和第二两个相反的表面,上面形成了许多凹陷,它们以第一距离从所述第一表面伸向所述第二表面,在所述模板的所述第一表面上形成了许多特征结构。
15.权利要求14所述方法,其特征在于它还包括为所述模板提供边界,所述边界围着所述许多凹陷形成,其中所述边界以第二距离从所述第一表面伸向所述第二表面,所述第二距离大于所述第一距离。
16.权利要求12所述方法,其特征在于从所述固化液体上分离所述模板还包括移动所述模板和所述基底,使它们彼此基本上不平行;移动所述模板和所述基底,使它们彼此分离。
17.权利要求12所述方法,其特征在于它还包括从所述固化液体上分离所述模板之前,照射分离光,所述分离光改变部分所述固化液体的化学组成,降低所述模板与所述固化液体的粘着力。
18.一种平版压印系统,它包含:
本体;
与所述本体相连的台子;
与所述台子相连的基底,所述基底含有基底对齐标记;
与所述本体相连的压印头;
与所述压印头相连的模板,所述模板含有模板对齐标记;
产生具有第一、第二和第三波长的光的光源,所述第一和第二波长的光照射到所述基底和模板对齐标记上;以及
探测自所述基底和模板对齐标记反射的具有第一和第二波长的光并从中得到许多对齐测定值的探测系统,从所述许多对齐测定值鉴别与所需要的所述模板对齐标记和所述基底对齐标记的空间位向的偏差,确定对齐偏差,并根据所述对齐偏差确定平均偏差。
19.权利要求18所述系统,其特征在于它还包含与所述本体相连的液体分发器,用来在所述基底上沉积许多滴可光固化液体,所述可光固化液体对所述第三波长的光有响应,并在第三波长的光照射到时发生固化。
20.权利要求18所述系统,其特征在于所述模板具有相反的第一和第二表面,以及包含许多凹陷的成图区,其中所述凹陷以第一距离从所述第一表面伸到所述第二表面。
21.权利要求18所述系统,其特征在于所述基底和模板对齐标记各包含一个具有许多特征结构的光栅,每个特征结构与相邻特征结构之间相隔1—3μm。
22.权利要求18所述系统,其特征在于所述基底和模板对齐标记各包含一个具有许多特征结构的光栅,每个特征结构与相邻特征结构之间相隔小于1μm。
23.权利要求18所述系统,其特征在于所述基底和模板对齐标记各包含一个具有许多特征结构的光栅,每个特征结构与相邻特征结构之间相隔100—1000nm。
24.权利要求18所述系统,其特征在于所述基底和模板对齐标记各包含具有许多特征结构的第一和第二光栅,所述第一光栅的每个所述特征结构与相邻特征结构之间相隔1—3μm,所述第二光栅的每个所述特征结构与相邻特征结构之间相隔100—1000nm。
25.权利要求18所述系统,其特征在于所述探测系统包含一个探测器,它选自阵列照相机、分光光度计、CCD阵列、双轴干涉仪和五轴干涉仪。
26.权利要求19所述系统,其特征在于它还包含与所述压印头相连的力探测器,用来测定接触所述液滴时施加在模板上的力。
27.权利要求18所述系统,其特征在于它还包含预校正台,所述压印头连接在所述预校正台上。
28.权利要求20所述系统,其特征在于所述模板还包含围绕所述许多凹陷形成的边界,其中所述边界以第二距离从所述第一表面伸向所述第二表面,所述第二距离大于所述第一距离。
CNB038224569A 2002-08-01 2003-07-31 散射对齐法在平版压印中的应用 Expired - Fee Related CN100460805C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/210,785 US7027156B2 (en) 2002-08-01 2002-08-01 Scatterometry alignment for imprint lithography
US10/210,780 2002-08-01
US10/210,785 2002-08-01
US10/210,894 2002-08-01

Publications (2)

Publication Number Publication Date
CN1997869A CN1997869A (zh) 2007-07-11
CN100460805C true CN100460805C (zh) 2009-02-11

Family

ID=31187428

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038224569A Expired - Fee Related CN100460805C (zh) 2002-08-01 2003-07-31 散射对齐法在平版压印中的应用

Country Status (2)

Country Link
US (2) US7027156B2 (zh)
CN (1) CN100460805C (zh)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7432634B2 (en) * 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
WO2002008835A2 (en) * 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
EP1309897A2 (en) * 2000-08-01 2003-05-14 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US8016277B2 (en) * 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
JP2003092246A (ja) * 2001-09-17 2003-03-28 Canon Inc アライメントマーク及びアライメント装置とその方法、及び露光装置、デバイスの製造方法
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
TW200500811A (en) * 2002-12-13 2005-01-01 Molecular Imprints Inc Magnification correction employing out-of-plane distortion of a substrate
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
DE10311855B4 (de) * 2003-03-17 2005-04-28 Infineon Technologies Ag Anordnung zum Übertragen von Informationen/Strukturen auf Wafer unter Verwendung eines Stempels
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
JP4164414B2 (ja) * 2003-06-19 2008-10-15 キヤノン株式会社 ステージ装置
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
JP2005101201A (ja) * 2003-09-24 2005-04-14 Canon Inc ナノインプリント装置
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
JP4478424B2 (ja) * 2003-09-29 2010-06-09 キヤノン株式会社 微細加工装置およびデバイスの製造方法
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050106321A1 (en) * 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US7504268B2 (en) * 2004-05-28 2009-03-17 Board Of Regents, The University Of Texas System Adaptive shape substrate support method
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
WO2005120834A2 (en) * 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
EP1774407B1 (en) * 2004-06-03 2017-08-09 Board of Regents, The University of Texas System System and method for improvement of alignment and overlay for microlithography
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) * 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7474393B2 (en) * 2004-09-13 2009-01-06 Joel C. Wojciechowski Method and apparatus for determining a vertical intensity profile along an illuminating beam
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7344955B2 (en) * 2004-11-19 2008-03-18 International Business Machines Corporation Cut-and-paste imprint lithographic mold and method therefor
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
JP5198071B2 (ja) * 2004-12-01 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド インプリントリソグラフィ・プロセスにおける熱管理のための露光方法
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7762186B2 (en) 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
WO2006116752A2 (en) 2005-04-28 2006-11-02 The Regents Of The University Of California Compositions comprising nanostructures for cell, tissue and artificial organ growth, and methods for making and using same
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7943080B2 (en) * 2005-12-23 2011-05-17 Asml Netherlands B.V. Alignment for imprint lithography
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
JP5306989B2 (ja) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7998651B2 (en) * 2006-05-15 2011-08-16 Asml Netherlands B.V. Imprint lithography
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
JP5027468B2 (ja) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法
JP2010503993A (ja) 2006-09-19 2010-02-04 モレキュラー・インプリンツ・インコーポレーテッド リフトオフ・パターニング向けの向上したエッチング技法
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
US8025829B2 (en) * 2006-11-28 2011-09-27 Nanonex Corporation Die imprint by double side force-balanced press for step-and-repeat imprint lithography
TR201802198T4 (tr) * 2007-01-16 2018-03-21 Koninklijke Philips Nv Bir esnek tabakanın ve bir substratın temas etmesi için yöntem ve sistem.
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
KR101580511B1 (ko) * 2007-12-06 2015-12-28 인테벡, 인코포레이티드 기판의 양면 스퍼터 에칭을 위한 시스템 및 방법
US9006001B2 (en) * 2007-12-24 2015-04-14 Texas Instruments Incorporated Simple scatterometry structure for Si recess etch control
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
CN101520600B (zh) * 2008-02-27 2011-06-01 中国科学院微电子研究所 基于x射线曝光技术制作透光纳米压印模板的方法
JP4542167B2 (ja) * 2008-03-31 2010-09-08 株式会社日立ハイテクノロジーズ 微細構造転写装置
JP5413816B2 (ja) * 2008-06-18 2014-02-12 株式会社ニコン テンプレートの検査方法及び検査装置、ナノインプリント装置、ナノインプリントシステム、並びにデバイス製造方法
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
JP5371349B2 (ja) * 2008-09-19 2013-12-18 キヤノン株式会社 インプリント装置、および物品の製造方法
JP2010080631A (ja) * 2008-09-25 2010-04-08 Canon Inc 押印装置および物品の製造方法
JP2010080630A (ja) * 2008-09-25 2010-04-08 Canon Inc 押印装置および物品の製造方法
US20100078846A1 (en) * 2008-09-30 2010-04-01 Molecular Imprints, Inc. Particle Mitigation for Imprint Lithography
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8628712B2 (en) * 2008-10-27 2014-01-14 Molecular Imprints, Inc. Misalignment management
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
TWI424277B (zh) * 2009-01-19 2014-01-21 Nippon Steel & Sumitomo Metal Corp Vacuum press forming exposure apparatus and exposure method
KR20120001768A (ko) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 패턴드 미디어에서의 아일랜드 대 트랜치 비의 최적화를 위한 공정
US8351036B1 (en) 2009-03-26 2013-01-08 J. A. Woollam Co., Inc. System for naturally adjusting the cross-sectional area of a beam of electromagnetic radiation entered to a focusing means
JP2011009641A (ja) * 2009-06-29 2011-01-13 Toshiba Corp 半導体装置の製造方法及びインプリント用テンプレート
NL2004735A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004681A (en) * 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004932A (en) * 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
US20120128891A1 (en) 2009-07-29 2012-05-24 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film for nanoimprint
US20110028004A1 (en) * 2009-07-30 2011-02-03 Asml Netherlands B.V. Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
JP2011071500A (ja) * 2009-08-31 2011-04-07 Fujifilm Corp パターン転写装置及びパターン形成方法
US20110085968A1 (en) * 2009-10-13 2011-04-14 The Regents Of The University Of California Articles comprising nano-materials for geometry-guided stem cell differentiation and enhanced bone growth
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
JP5671302B2 (ja) 2009-11-10 2015-02-18 富士フイルム株式会社 インプリント用硬化性組成物、パターン形成方法およびパターン
JP2011124346A (ja) * 2009-12-09 2011-06-23 Canon Inc インプリント装置及び物品の製造方法
JP5809409B2 (ja) * 2009-12-17 2015-11-10 キヤノン株式会社 インプリント装置及びパターン転写方法
NL2005434A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv Imprint lithography.
JP5769734B2 (ja) 2010-02-05 2015-08-26 モレキュラー・インプリンツ・インコーポレーテッド 高コントラスト位置合わせマークを有するテンプレート
KR20110092546A (ko) * 2010-02-09 2011-08-18 삼성전자주식회사 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
NL2005975A (en) * 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
US8299584B2 (en) * 2010-03-08 2012-10-30 International Business Machines Corporation Alignment of wafers for 3D integration
NL2006454A (en) 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
TWI538011B (zh) 2010-09-24 2016-06-11 分子壓模公司 經由多階壓印處理之高對比對準標記
US8828297B2 (en) 2010-11-05 2014-09-09 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
KR101970147B1 (ko) 2011-04-25 2019-04-22 캐논 나노테크놀로지즈 인코퍼레이티드 정렬 표시를 위한 광 흡수 재료
JP5864929B2 (ja) * 2011-07-15 2016-02-17 キヤノン株式会社 インプリント装置および物品の製造方法
KR101414830B1 (ko) 2011-11-30 2014-07-03 다이닛뽕스크린 세이조오 가부시키가이샤 얼라이먼트 방법, 전사 방법 및 전사장치
JP5938218B2 (ja) * 2012-01-16 2016-06-22 キヤノン株式会社 インプリント装置、物品の製造方法およびインプリント方法
JP5723337B2 (ja) 2012-09-07 2015-05-27 株式会社東芝 パターン形成方法及びパターン形成装置
KR20140076357A (ko) * 2012-12-12 2014-06-20 삼성전자주식회사 고대비 정렬 마크를 가진 나노임프린트 스탬프 및 그 제조방법
KR20140076947A (ko) * 2012-12-13 2014-06-23 한국전자통신연구원 몰드 구조체 및 이를 이용한 임프린트 리소그래피 방법
US9466324B2 (en) 2013-10-31 2016-10-11 Seagate Technology Llc Bit patterned media template including alignment mark and method of using same
JP6294680B2 (ja) * 2014-01-24 2018-03-14 キヤノン株式会社 インプリント装置、および物品の製造方法
JP6499898B2 (ja) 2014-05-14 2019-04-10 株式会社ニューフレアテクノロジー 検査方法、テンプレート基板およびフォーカスオフセット方法
US9921395B1 (en) 2015-06-09 2018-03-20 J.A. Woollam Co., Inc. Beam focusing and beam collecting optics with wavelength dependent filter element adjustment of beam area
US10209528B1 (en) 2015-06-09 2019-02-19 J.A. Woollam Co., Inc. Operation of an electromagnetic radiation focusing element
US10018815B1 (en) 2014-06-06 2018-07-10 J.A. Woolam Co., Inc. Beam focusing and reflective optics
US10338362B1 (en) 2014-06-06 2019-07-02 J.A. Woollam Co., Inc. Beam focusing and reflecting optics with enhanced detector system
US9746321B2 (en) * 2015-02-11 2017-08-29 University Of South Carolina Optical displacement sensing system utilizing edge diffraction
JP6385299B2 (ja) * 2015-03-03 2018-09-05 株式会社日立ハイテクノロジーズ 液体クロマトグラフ用遠紫外吸光度検出装置
US9797846B2 (en) 2015-04-17 2017-10-24 Nuflare Technology, Inc. Inspection method and template
JP6685821B2 (ja) * 2016-04-25 2020-04-22 キヤノン株式会社 計測装置、インプリント装置、物品の製造方法、光量決定方法、及び、光量調整方法
EP3479069B1 (de) * 2016-07-01 2020-09-16 Physik Instrumente (PI) GmbH & Co. Kg Sensoreinrichtung
CN106547175B (zh) * 2017-01-22 2019-08-09 青岛天仁微纳科技有限责任公司 一种精密对准式纳米压印设备
US10446369B1 (en) * 2017-06-14 2019-10-15 National Technology & Engineering Solutions Of Sandia, Llc Systems and methods for interferometric end point detection for a focused ion beam fabrication tool
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same
JP7100436B2 (ja) * 2017-09-19 2022-07-13 キヤノン株式会社 インプリント装置および物品製造方法
US10409178B2 (en) * 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
JP2020035924A (ja) * 2018-08-30 2020-03-05 キオクシア株式会社 原版
EP3877454A4 (en) * 2018-11-09 2022-11-16 3M Innovative Properties Company NANOSTRUCTURED OPTICAL FILMS AND INTERMEDIATE PRODUCTS
FR3101626A1 (fr) * 2019-10-04 2021-04-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé d'alignement
USD941893S1 (en) * 2020-08-19 2022-01-25 Alltite, Inc. Calibration device for a shaft alignment apparatus
CN112904682B (zh) * 2021-01-22 2023-08-01 西华大学 一种测量倾角和旋转角的光刻对准标记及对准方法
EP4057066A1 (en) * 2021-03-11 2022-09-14 Obducat AB Apparatus and method for surface treatment of an imprint stamp

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600309A (en) * 1982-12-30 1986-07-15 Thomson-Csf Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a divergent radiation source
US5148036A (en) * 1989-07-18 1992-09-15 Canon Kabushiki Kaisha Multi-axis wafer position detecting system using a mark having optical power
US5151754A (en) * 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5465148A (en) * 1992-10-23 1995-11-07 Canon Kabushiki Kaisha Apparatus and method for detecting the relative positional deviation between two diffraction gratings
US5559601A (en) * 1994-01-24 1996-09-24 Svg Lithography Systems, Inc. Mask and wafer diffraction grating alignment system wherein the diffracted light beams return substantially along an incident angle

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US5554336A (en) 1984-08-08 1996-09-10 3D Systems, Inc. Method and apparatus for production of three-dimensional objects by stereolithography
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
JPS61241115A (ja) * 1985-04-19 1986-10-27 Seiko Giken:Kk 圧縮加圧成形可能な樹脂成形用金型
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
ES2103261T3 (es) 1989-04-24 1997-09-16 Siemens Ag Procedimiento para la generacion de estructuras resistentes a la corrosion.
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5072126A (en) * 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JP2796899B2 (ja) 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JPH06183561A (ja) 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
JP2821073B2 (ja) 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
KR0157279B1 (ko) * 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5849209A (en) * 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) * 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6049373A (en) * 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
JP3296239B2 (ja) * 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6248486B1 (en) * 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
JP4846888B2 (ja) * 1998-12-01 2011-12-28 キヤノン株式会社 位置合わせ方法
US6388755B1 (en) * 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6522411B1 (en) * 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
EP1251974B1 (en) * 1999-12-23 2005-05-04 University of Massachusetts Methods for forming submicron patterns on films
TW434686B (en) * 2000-03-01 2001-05-16 United Microelectronics Corp Alignment accuracy measuring cursor with multiple pitches
WO2002008835A2 (en) * 2000-07-16 2002-01-31 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods and systems for imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
EP1309897A2 (en) * 2000-08-01 2003-05-14 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) * 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) * 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US7670770B2 (en) * 2001-07-25 2010-03-02 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
WO2003106693A2 (en) * 2002-01-01 2003-12-24 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600309A (en) * 1982-12-30 1986-07-15 Thomson-Csf Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a divergent radiation source
US5148036A (en) * 1989-07-18 1992-09-15 Canon Kabushiki Kaisha Multi-axis wafer position detecting system using a mark having optical power
US5151754A (en) * 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5465148A (en) * 1992-10-23 1995-11-07 Canon Kabushiki Kaisha Apparatus and method for detecting the relative positional deviation between two diffraction gratings
US5559601A (en) * 1994-01-24 1996-09-24 Svg Lithography Systems, Inc. Mask and wafer diffraction grating alignment system wherein the diffracted light beams return substantially along an incident angle

Also Published As

Publication number Publication date
US20060158651A1 (en) 2006-07-20
US7281921B2 (en) 2007-10-16
CN1997869A (zh) 2007-07-11
US7027156B2 (en) 2006-04-11
US20040021866A1 (en) 2004-02-05

Similar Documents

Publication Publication Date Title
CN100460805C (zh) 散射对齐法在平版压印中的应用
US7070405B2 (en) Alignment systems for imprint lithography
US6916584B2 (en) Alignment methods for imprint lithography
JP5421221B2 (ja) インプリント・リソグラフィの散乱計測アラインメント
CN100365507C (zh) 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6900881B2 (en) Step and repeat imprint lithography systems
US7077992B2 (en) Step and repeat imprint lithography processes
KR100862301B1 (ko) 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템
US6932934B2 (en) Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) Method for imprint lithography using an electric field
CN101710228B (zh) 平版印刷术的工艺和系统
CN100536073C (zh) 图形形成方法及图形形成装置
US20100110434A1 (en) Alignment for Edge Field Nano-Imprinting
KR20030040378A (ko) 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US8231821B2 (en) Substrate alignment

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090211

Termination date: 20090831