CN100505176C - Method and apparatus for detecting endpoint during plasma etching of thin films - Google Patents

Method and apparatus for detecting endpoint during plasma etching of thin films Download PDF

Info

Publication number
CN100505176C
CN100505176C CNB2003801019448A CN200380101944A CN100505176C CN 100505176 C CN100505176 C CN 100505176C CN B2003801019448 A CNB2003801019448 A CN B2003801019448A CN 200380101944 A CN200380101944 A CN 200380101944A CN 100505176 C CN100505176 C CN 100505176C
Authority
CN
China
Prior art keywords
layer
terminating point
ground floor
value
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2003801019448A
Other languages
Chinese (zh)
Other versions
CN1708837A (en
Inventor
布赖恩·K·麦克米林
埃里克·赫德森
杰弗里·马克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1708837A publication Critical patent/CN1708837A/en
Application granted granted Critical
Publication of CN100505176C publication Critical patent/CN100505176C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Abstract

A method for controlling a plasma etch process while etching a layer stack having a first layer disposed above an end-point generating layer is disclosed. The method includes etching through the first layer and at least partially through the end-point generating layer while monitoring an absorption rate of a light beam traversing an interior portion of the plasma processing chamber, wherein the end-point generating layer is selected from a material that produces a detectable change in the absorption rate when etched. The end-point generating layer is characterized by at least one of a first characteristic and a second characteristic. The first characteristic is an insufficient thickness to function as an etch stop layer, and the second characteristic is an insufficient selectivity to etchants employed to etch through the first layer to function as the etch stop layer. The method additionally includes generating an end-point signal upon detecting the detectable change.

Description

In the plasma etching process of film, survey the method and apparatus of terminating point
Background technology
The present invention relates generally to and is used for monitoring and control the method for making the process that integrated circuit (IC) apparatus uses.In particular, the present invention relates to a kind of method that is used for surveying terminating point in the process of plasma etching film lamination (for example dielectric film lamination).
In the manufacturing of integrated circuit, feature is etched in the film lamination and fills different materials to form required circuit.For the ease of understanding, this openly concentrates on as the dual-damascene integration that relates to an etched typical technology of film lamination.Yet, should be appreciated that technology disclosed herein is not limited to dual-damascene integration (dual damascene integration) and can be applied in the etching of any film lamination that needs terminating point.
Usually, dual-damascene integration is used for forming the high-speed line interconnection in the complicated integrated circuit (IC) apparatus.In dual-damascene integration, groove and through hole by low-k (low-κ) material constitutes, fluorosilicate glass (FSG) for example, organic silicate glass (OSG), for example carbonado (BLACK DIAMOND) or coral (CORAL), perhaps the rotary coating organic substance (spin-on organic, SOO), for example SILK or FLARE, and filling copper or other suitable conductor.Copper is used to reduce the impedance of metal interconnecting wires, and low-κ material is used for reducing metal interconnected parasitic capacitance.
Figure 1A shows the dual-damascene stack 100 that forms on copper cash 102.Usually, dual-damascene stack is by the sequence manufacturing of hard mask and interlevel insulator.In the argumentation below, term for example " top " and " following " is used for discussing the spatial relationship of interlayer at this, but does not always represent the direct contact of related interlayer.Shown in should noting to represent or other extra layer of top, the following or interlayer of described layer.And not every described or described layer need be expressed and some or all of may being substituted by other different layers.
For example, dual-damascene stack 100 comprises top hard mask 104, dielectric film stack 106 and diffusion barrier (diffusion barrier also claims diffusion barrier) 108.The hard mask 114 of dielectric film stack 106 comprises low-κ interlevel insulator 110,112 and embedding.Top hard mask 104 protection interlevel insulators (dielectric also claims insulating material) 110 are not subjected to the influence of the chemicals that uses in the photoresist knockout course and can be left in the basket according to target application.Diffusion barrier 108 prevents the unwanted diffusion of the atom between copper 102 and the interlevel insulator 112.Hard mask 114 that embeds and diffusion barrier 108 are respectively as being used for groove and the etched etch stop layer of through hole.
Dual-damascene integration has two kinds of main methods: the method for first etching bath and the method for first etching vias.In the method for etching bath formerly, after in lamination 100, forming groove, in dual-damascene stack 100, form through-hole pattern and etching.Formerly in the method for etching vias, after in lamination 100, forming through hole, in dual-damascene stack 100, form groove pattern and etching.A kind of method that is called the more uncurrent dual-damascene integration of burying through hole or autoregistration mosaic process is included in deposition interlevel insulator 110 and top hard mask 104 makes the etching that the hard mask 114 of embedding forms patterns and a step is finished groove and through hole in lamination 100 before.
Figure 1B-1D shows the dual-damascene process of typical first etching bath.In Figure 1B, the photoresist mask 116 with groove pattern is imposed on the top hard mask 104.By etching bath mask 116, pass top hard mask 104 and interlevel insulator 110, terminate on the hard mask 114 of embedding, in lamination 100, form groove 118.In Fig. 1 C, peeled groove mask (116 among Figure 1B) off, and the photoresist mask 120 that will have a through-hole pattern imposes on top hard mask 104 and the hard mask 114 of the embedding that exposes on.By etching vias mask 120, pass the hard mask 114 and the interlevel insulator 112 of embedding, terminate on the diffusion barrier 108, in lamination 100, form through hole 122.
In Fig. 1 D, the diffusion barrier 108 of exposure has been opened by etching process, and via mask (120 among Fig. 1 C) is peeled off.Copper 124 deposits in groove 118 and the through hole 122, and is polished surperficial equal with groove 118.Groove 118 and through hole 122 are usually by being diffused in the interlevel insulator 110,112 to prevent copper as lining such as the such material of tantalum.In Fig. 1 E, one deck diffusion barrier 125 is deposited on the lamination 100 with covering copper 124.
Fig. 1 F-1I shows the dual-damascene process of first etching vias.In Fig. 1 F, the photoresist mask 126 with through-hole pattern is imposed on the top hard mask 104.By etching vias mask 126, pass the hard mask 114 and the interlevel insulator 112 of top hard mask 104, interlevel insulator 110, embedding, and terminate on the diffusion barrier 108, in lamination 100, form through hole 128.In Fig. 1 G, photoresist mask (126 among Fig. 1 F) is peeled off, and the photoresist mask 130 that will have a groove pattern imposes on the top hard mask 104.By etching bath mask 130, pass top hard mask 104 and interlevel insulator 110, terminate on the hard mask 114 of embedding, in lamination 100, form groove 132.
In Fig. 1 H, the hard mask 114 of the embedding of exposure has been opened by etching process, and groove mask (130 among Fig. 1 G) is peeled off.Copper 134 deposits in through hole 128 and the groove 132, and is polished surperficial equal with groove 132.Through hole 128 and groove 132 are diffused in the interlevel insulator 110,112 to prevent copper by make lining such as the such material of tantalum usually.In Fig. 1 I, one deck diffusion barrier 135 is deposited on the lamination 100 with covering copper 134.
For example, in trench etch, the hard mask 114 of embedding remains in the dielectric film stack 106 usually.When being used as etch stop layer, the hard mask 114 of embedding needs selectivity with etching, means that usually the etching speed of the hard mask 114 of embedding significantly is slower than the etching speed of interlevel insulator 110.Usually, be used as the material of etch stop layer, for example SiN xOr SiC, trend towards having high-dielectric coefficient (κ) value with respect to low-κ interlevel insulator, improved total κ value of dielectric film stack 106.The raising of total κ value of dielectric film stack 106 causes the increase of parasitic capacitance and has damaged the ability that lamination alleviates electric delay.Therefore, wish to eliminate the hard mask 114 that height-κ embeds, or reduce its influence at least parasitic capacitance.Yet etching control is difficult when not having effective etch stop layer.
Controlling etched a kind of method when not having effective etch stop layer is regularly etching (timed-etch).Yet regularly etching may have very low rate of finished products, because it does not solve the variation of introducing material, for example, from a variation that is laminated to the film thickness of next lamination, the difference of the etching speed that material composition causes, and the difference of the etching speed of the identical etch system of essence.
Single or multiple discrete wavelength interferometry are not need etch stop layer to survey the example of the optical diagnostic method of terminating point in etching process.In single wavelength interferometry, the surface of beam-pointing wafer.Then from the signal construction ground of wafer reflection or devastatingly in conjunction with generation PERIODIC INTERFERENCE band.When the predetermined quantity that reaches corresponding to the interference fringe of the thickness of the material that will remove, etching process stops.Usually, the strong reflection from the interface in the dual-damascene structure (or following metallic character) makes that being difficult to the use interferometric method surveys etching end point.In addition, interferometric method has limitation when solve introducing changes in material, because its mensuration is relative variation in the characteristic size opposite with the absolute feature size.
As previously mentioned, need a kind ofly in the etching-film lamination process, to survey terminating point and can obviously not increase the method for total κ value of film lamination.
Summary of the invention
In one embodiment, the present invention relates to the method for control plasma etching process in plasma processing chamber.This method comprises: determine that terminating point generates the thickness of layer; If described terminating point generates the dielectric constant values that dielectric constant (κ) value of layer is less than or equal to described ground floor, the described thickness that then described terminating point generates layer is confirmed as thicker with respect to the thickness of described ground floor, if described terminating point generates the dielectric constant values that the dielectric constant values of layer is higher than described ground floor, the described thickness that then described terminating point generates layer is confirmed as thinner with respect to the described thickness of described ground floor, the substrate that will have lamination (layer stack) is thereon introduced in the plasma processing chamber, and lamination comprises the ground floor that places on the terminating point generation layer.This method also comprises when monitoring the absorptivity of the light beam that passes plasma processing chamber inside, ground floor is passed in etching and the partially-etched at least terminating point that passes generates layer, wherein, terminating point generates layer and is selected from the material that produces the variation of detectable absorptivity when etching.Terminating point generates layer and is characterised in that at least the first feature and second feature are one of at least.First is characterized as described thickness that described terminating point generates layer less than the thickness that is used for described etched applicable etch stop layer, and second is characterized as and is used for etching and passes the selectivity of the etchant of ground floor and be lower than the selectivity that is used for etched applicable etch stop layer.In addition, this method comprises generation terminating point signal when surveying detectable variation.
In another embodiment, the present invention relates to be used at the etched substrate of plasma processing chamber.Substrate comprises ground floor and places the terminating point under the ground floor to generate layer.Terminating point generation layer is formed by the material of the detectable variation of the absorptivity of the light beam that produces the inside of passing described plasma chamber when described terminating point generation layer is etched.Terminating point generates the thickness of the thickness of layer less than applicable etch stop layer, the etched selectivity of carrying out that described terminating point generates layer is lower than described etch stop layer and carries out described etched selectivity, if described terminating point generates the dielectric constant values that dielectric constant (κ) value of layer is less than or equal to described ground floor, the described thickness that then described terminating point generates layer is thicker with respect to the described thickness of described ground floor, if described terminating point generates the described dielectric constant values that the described dielectric constant values of layer is higher than described ground floor, the described thickness that then described terminating point generates layer is thinner with respect to the described thickness of described ground floor.
In another embodiment, this method relates to the terminating point that detects in the etching process.This method comprises: described low-the κ layer in the isotope enrichment of at least two kinds of elements described low-κ layer and do not use etch stop layer; Monitor the concentration of the plasma species in the described plasma processing chamber, described plasma species comprises the compound of the element of at least a element in the described isotope and at least a etching gas; And based on the change of the described concentration of described plasma species, use terminating point to generate layer and generate described terminating point signal, wherein, described terminating point generation layer is characterised in that at least one in first feature and second feature, described first is characterized as described thickness that described terminating point generates layer less than the thickness that is used for described etched applicable etch stop layer, and described second is characterized as and is used for etching and passes the selectivity that described terminating point generates the etchant of layer and be lower than the selectivity that is used for described etched described applicable etch stop layer.
In conjunction with the accompanying drawings, discuss in detail these and other feature and advantage of the present invention in the specific descriptions below.
Description of drawings
The present invention describes by way of example, but is not limited only to this.In the accompanying drawings, similar Reference numeral is represented similar element.Wherein:
Figure 1A shows the dual-damascene stack before forming groove and through hole.
Figure 1B shows the groove that forms in the dual-damascene stack shown in Figure 1A.
Fig. 1 C shows the through hole that forms in the dual-damascene stack shown in Figure 1B.
Fig. 1 D shows groove of having filled copper respectively and the through hole among Figure 1B and Fig. 1 C.
Fig. 1 E shows one deck diffusion barrier that is used for covering copper that is deposited on the lamination shown in Fig. 1 D.
Fig. 1 F shows the through hole that forms in the dual-damascene stack shown in Figure 1A.
Fig. 1 G shows the groove that forms in the dual-damascene stack shown in Fig. 1 F.
Fig. 1 H shows groove of having filled copper respectively and the through hole among Fig. 1 F and Fig. 1 G.
Fig. 1 I shows one deck diffusion barrier that is used for covering copper that is deposited on the lamination shown in Fig. 1 H.
Fig. 2 shows and comprises that according to an embodiment of the invention terminating point generates the film lamination of layer.
Fig. 3 A is the schematic diagram according to the etch system that is equipped with the direct absorptiometry device of single channel of the embodiment of the invention.
Fig. 3 B is the schematic diagram that is equipped with the etch system of the direct absorptiometry device of multichannel according to an embodiment of the invention.
Fig. 3 C is equipped with the schematic diagram that the etch system of absorptiometry device falls in the chamber ring.
Embodiment
Preferred embodiment is with reference to the accompanying drawings described the present invention in detail.In the following description, many special details have been listed so that understand the present invention fully.Yet, it will also be apparent to those skilled in the art that not partly or entirely these specific details the present invention can realize.In other example, do not discuss well-known process steps and/or feature in detail to prevent fuzzy the present invention.With reference to the accompanying drawings with the following description feature and advantage that the present invention may be better understood.
According to an aspect of of the present present invention,, the film lamination generates layer for providing a terminating point.Different with the etch stop layer of prior art, it is not must contain indispensable selectivity or thickness to stop etching that terminating point generates layer.In fact, because use low κ dielectric substance to be based on the important consideration that reduces parasitic capacitance, so can from material, select terminating point to generate layer, and not consider to stop etched etching selectivity and thickness demand with low κ value, identical κ value or high slightly κ value.
In one embodiment of the invention, but terminating point generation layer can have the chemical composition identical with etched layer slightly different stoichiometry (stoichiometry).Stoichiometry only needs with enough different by the sniffer triggering signal when etching arrival terminating point generates layer.In another embodiment of the present invention, one or more isotopes are arranged but terminating point generation layer can have identical chemical composition enrichment (enrich).Isotopic type and isotope concentration of dopant selected with at least enough when etching arrives terminating point and generates layer by the sniffer triggering signal.In another embodiment of the present invention, terminating point generates layer can have and the different chemical composition of etched layer.The difference of the chemical composition of layer is the difference that sniffer can detect, and produces the terminating point signal when terminating point generates layer to arrive in etching.
Different with traditional etch stop layer, terminating point generation layer causes total κ value or other electricity of film lamination and/or the insignificant and/or favourable low disturbance of chemical property to the film lamination.In one embodiment, use direct absorption measurement techniques to finish the etched detection that terminating point generates layer.In various embodiment of the present invention, can use and dispose single channel structure (single-pass configuration) or multi-channel structure (multi-pass configuration) and realize surveying.In another embodiment of the present invention, the etched detection of etch-stop dot generation layer is to use the Wavelength modulation spectroscopy method to finish.In another embodiment, use the Wavelength modulation spectroscopy method to finish the etched detection that terminating point generates layer.Finish the etched detection of etch-stop dot generation layer and also can use Electrical Measurement Technology, emission spectrometry (OES), or other is such as the method based on absorption of fourier transform infrared spectroscopy (FTIR), or technology falls in chamber ring, if it can survey the existence of the byproduct of terminating point generation layer material or material in plasma.
The various embodiments and the advantage thereof that can better understand the present invention with reference to exemplary drawings and following description.Explanation for example, Fig. 2 shows film lamination 200 according to an embodiment of the invention.Film lamination 200 comprises rete (film layer) 202,204.As an example, rete 202,204 can be by the low κ made such as fluorosilicate glass (FSG), organic silicate glass (OSG) or rotary coating (spin-on) organic substance (SOO).The example of OSG comprises carbonado and coral.The example of SOO comprises SILK and FLARE.According to one embodiment of the present of invention, terminating point generates layer 206 and uses such as in the multi-method film deposition process embedding film lamination 200, for example between the rete 202,204.For dual-damascene integration, film lamination 200 can be stacked on the copper cash.
In one embodiment, it is the films that have identical chemical composition with rete 202,204 that terminating point generates layer 206, but stoichiometry is different with rete 202,204 a little.Advantageously, only stoichiometry slightly different (definite intensity of variation depends on the susceptibility of used Detection Techniques and detecting devices) to avoid changing in fact the electricity and/or the chemical characteristic of film lamination 200.For example, rete 202,204 and terminating point generation layer 206 may be by having SiO xC yH zThe OSG of composition makes, and can increase cut (fraction) that terminating point generates one or more C, Si, O and H in the layer 206 and make layer 206 have the stoichiometry slightly different with rete 202,204.
During plasma etching, the gas phase species of monitoring in order to produce the terminating point signal relies on chemical element with different relative scales and the etching gas (etchant gases) in the terminating point generation layer 206.Usually, etching gas comprises oxygen and fluoro-gas.For example, if the chemical element with different relative scales that generates in the layer 206 at terminating point is C and/or H, the variation of CO or HF plasma species (plasma species) concentration can be detected and be used to produce the terminating point signal in etching process so.It should be noted that CO and HF can be observed in plasma before etch-stop dot generation layer 206, but pass or terminating point generates layer 206 when partially-etched at least in that layer 202 is etched, can observe the marked change of the concentration of CO or HF plasma species.
Therefore, pass or terminating point generates the variation that the concentration of plasma species takes place when etched for layer 206 in that layer 202 is etched, just, byproduct.When detecting the variation of concentration, can produce the terminating point signal.Explain that as above this variation can realize somewhat different than the stoichiometry of rete 202 and/or 204 by making stoichiometry that terminating point generates layer 206.
In another embodiment of the present invention, it is the films with chemical element identical with rete 202,204 that terminating point generates layer 206, but enrichment (enrich) has isotope, so that the isotope ratio of this element is to be revised by the nature of this element or typical proportions to obtain basically.Isotopic nature of noting being used for enrichment and selecting or levels typical generate layer at terminating point and can be 0 or non-0 before enrichment.Preferably, consistent with rete by the isotope of enrichment in terminating point generation layer 206, for example, the isotope that generates in the layer 206 at terminating point can be the isotope of the chemical element in the rete 202,204.For example, be by containing SiO if rete 202,204 and terminating point generate layer 206 xC yH zThe OSG of composition makes, and can generate the one or more following isotopes of enrichment in the layer 206 at terminating point so: carbon-13 ( 13C), deuterium ( 2H or D), oxygen-17 ( 17O), oxygen-18 ( 18O), silicon-29 ( 29Si) and silicon-30 ( 30Si).
During plasma etching, the gas phase species of monitoring in order to produce the terminating point signal relies on isotope and the etchant gasses that terminating point generates the enrichment in the layer 206.For example, if 13C and/or D are included in terminating point and generate in the layer 206, so 13CO or DF can be monitored when etch-stop dot generation layer 206, and observing 13Produce the terminating point signal during variation of the concentration of CO or DF.Should be noted that may observe CO and HF before etch-stop dot generation layer 206, can observe when etched but only generate layer 206 at terminating point 13The marked change of the concentration of CO or DF.
In optional embodiment, replace generating layer 206 with isotope-labeled terminating point, but with tagging or be enriched in terminating point and generate the rete 202 of layer 206 top or generate rete 204 below layers 206 at terminating point.In another embodiment, layer can be generated layer 206 with tagging or enrichment and terminating point one of in (202 or 204) and can be ignored together.Embodiment as described above, the concentration of the plasma species of monitoring additives (interest) produces the terminating point signal to determine when.
In the another embodiment of the present invention, it is the films that have with rete 202,204 different chemical compositions that terminating point generates layer 206.Usually, the chemical composition of terminating point generation layer 206 is selected, so that the overall chemical character of film lamination 200 is not by the material change.For example, it can all be to be made by silicate material that rete 202,204 and terminating point generate layer 206, but selects the terminating point different with the silicate material of rete 202,204 to generate the silicate material of layer 206.
For example, if rete 202,204 is all made by OSG or FSG, terminating point generation layer 206 can be used boron phosphorus silicate glass, and (borophosphosilicate, BPSG) or by tetraethyl orthosilicate (orthosilicate, the silicon dioxide that chemical vapour deposition TEOS) forms is made.Under the situation of BPSG, can comprise that the change in concentration of the kind of B-and P-surveys the terminating point of etching process by BF, BH in the monitoring plasma body, PF, PH, BO, PO or other.Under the situation of TEOS, can survey the terminating point of etching process by the variation of the CO concentration in the monitoring plasma body.If rete the 202, the 204th is made by OSG, terminating point generates layer 206 and can be made by FSG, and the variation of the HF concentration in can the monitoring plasma body.
Table 1 has shown that the terminating point for different low-κ electricity dielectric materials generates the example of layer.
Table 1: the terminating point for low-κ electricity dielectric material generates the example of layer
Figure C200380101944D00191
Conform to the principle of the invention, the terminating point of listing in the table 1 generates layer can not cause total κ value of film-stack when being embedded into the film lamination marked change.Except the terminating point of listing in the table 1 generates layer, it is also feasible that other terminating point generates layer.Discuss below and be used to select suitable terminating point to generate the general standard of layer.
Usually, terminating point generates layer 206 and is chosen as and rete 202,204 compatibilities, and just, the present invention does not change the chemistry and/or the physical features of film lamination 200 significantly.The κ value that terminating point generates layer 206 may be lower than, similar or be higher than the κ value of film 202,204 a little.Usually, the κ value of terminating point generation layer 206 is low more good more.
The influence that terminating point generates total κ value of 206 pairs of film lamination 200 of layer is the function that κ value and terminating point generate the thickness of layer 206.If the κ value that terminating point generates layer 206 and the κ value of rete 202,204 are quite or lower, terminating point generates layers 206 and can be manufactured to and thicklyer significantly do not increase total κ value of lamination and survey terminating point reliably with assurance relatively.Yet if terminating point generates the κ value that the κ value of layer 206 is higher than rete 202,204, to generate that layer 206 can be manufactured to be smaller value than approaching to limit its influence to total κ value to terminating point relatively so.Because it is not must be as etch stop layer (just, having necessary selective or thickness to stop etching) that terminating point generates layer 206, required thickness is surveyed in the triggering that terminating point generates layer only to be needed to have by selected Detection Techniques/equipment.
It is different with etch stop layer to emphasize that once more terminating point generates layer 206.For example, terminating point generates layer 206 does not need to have etched selectivity (selectivity to etching), and traditional etch stop layer need have etching selectivity.The terminating point that this situation easily make to be selected generates layer 206 and has the κ value similar or lower to rete 202,204, and this just causes maybe can ignoring the disturbance of total κ value of film lamination 200 is very little.
In addition, when terminating point generation layer 206 was exposed, the feature that is etched in the film 202 on the terminating point generation layer 206 did not stop.On the contrary, can before producing, the terminating point signal remove the terminating point generation layer 206 of exposure fully.This has just reduced and has formed the required number of steps of feature.Under the situation of traditional etch stop layer, stop when being etched in the etch stop layer exposure.In step subsequently, may need to remove the etch stop layer of exposure.
Traditional etch stop layer needs enough thickness usually and compensated etching.In the present invention, do not worry etching.In fact, the terminating point that is necessary etch exposed generates the variation of layer 206 with the concentration that causes detectable accrete plasma species, and it is used for producing the terminating point signal.Do not compensate etching because do not need terminating point to generate layer 206, and can generate layer to terminating point and manufacture thinner and still can produce the terminating point signal than traditional etch stop layer.
The concentration of plasma species or etching byproduct depends on the combination of productivity ratio and loss late.The productivity ratio of accrete plasma species can proceed to terminating point by film 202 in etching and generate layer change in 206 o'clock.In a preferred embodiment, this variation is by using one of a plurality of sensitive detection techniques, and method falls in for example directly absorption, Wavelength modulation spectroscopy method, frequency modulation(FM) spectroscopic methodology, FTIR or chamber ring, monitors that the absorption of accrete plasma species determines.Also can adopt emission spectrometry, although its susceptibility may be lower.
Usually, absorption spectrometry relates to and makes light beam pass etching plasma.It is approaching that the wavelength of light beam will be chosen as one of resonance absorption wavelength with accrete plasma species.Show the variation of additives plasma species concentration as the variation of the result's who absorbs by the additives plasma species beam brightness.When observing this variation, can produce the terminating point signal.
Fig. 3 A shows the etch system 300 of the plasma etching of the in-situ monitoring film lamination that is fit to according to an embodiment of the invention.Notice that etch system 300 only is one and gives an example, the present invention can realize in the how gas ions etcher in office, has nothing to do with the employed technology that excites, keeps and/or control plasma.Typical etch system 300 comprises light source 302, reative cell 304 and photo-detector 306.Reative cell 304 has the window relative with diametric(al) 308,310, and this window is optically transparent in wavelength selected or wavelength region may.Wafer 312 is installed in reative cell 304 inside, between electrode 314,316.Electrode 314 provides voltage by RF power supply (not shown), and electrode 316 ground connection.In other embodiments, top electrodes 316 can be by the RF power supply with identical or different RF frequency power supply.Although Fig. 3 A shows the capacitively coupled plasma reactor device, this technology can be applied in other type of reactor such as inductance coupling high or microwave system.Wafer 312 comprises the film lamination that has such as aforesaid terminating point generation layer, for example electric Jie's film lamination.
When operation, suitable etchant gasses (not shown) supply response chamber 304 also is applied in voltage to form plasma 320 above wafer 312.For the accrete plasma species of in-situ monitoring, control light source 302 refers to go into reative cell 304 with light beam 322 by window 308.Although show among the figure, can be used to guide light beam 322 to arrive windows 308 from light source 302 such as the optical system of collimating lens system or fibre system.Light beam 322 passes through plasma 320 before leaving window 310.The wavelength of light beam 322 selects one of absorbing wavelength with accrete plasma species close.Equally, if there is accrete plasma species in reative cell 304, light beam 322 may be partially absorbed after by plasma 320.Survey the emission light beam of indicating by photo-detector 306 324.
In one embodiment, light source 302 is the temperature control laser diodes that can move in infrared ray, visual and/or UV spectral region.A plurality of accrete kinds have near ultrared absorptive transition (transition) in the plasma etching, and for example HF is at 1.33 μ m, and CO is at 1.567 μ m, and HCI is at 1.747 μ m and O 2At 0.761 μ m.Other kind is CF, CF for example 2And CF 3Fundamental transition with longer wavelength, but can near infrared ray, obtain by surveying the homophonic transition of vibration.
In one embodiment, laser diode 302 produces wavelength or frequency-modulated laser beam.The frequency modulation(FM) spectroscopic methodology use with the absorption line width equal or greater than the modulating frequency of absorption line width, yet the Wavelength modulation spectroscopy method is used the modulating frequency much smaller than the absorption line width.Laser drive current 326 can be produced by low noise current-driven device 328, and the low noise current-driven device responds to the stack of input sawtooth waveform 330 that is produced by signal generator 332 (for example with 100Hz) and the input sine wave shape 334 that is produced by signal generator 336 (for example with 50Hz).Waveform 330,334 before being imported into current driver 328 by circuit 338 additions.
The DC magnitude of laser drive current 326 and the temperature of laser (usually near room temperature, for example between 0-50 ℃) have determined the initial laser wavelength that is used for scanning.The scanning of sawtooth composition is by the optical maser wavelength of absorptive transition.Sine Modulated makes that the phase-sensitive detection of use beam absorption is easier.It is close with the absorptive transition wavelength of accrete plasma species that optical maser wavelength is selected as, and select sawtooth waveform 330 usually, so that the breadth of spectrum line of optical maser wavelength scanning width and monitored spectral characteristic is suitable.
In one embodiment, photo-detector 306 is high-speed photodiode, and laser frequency is locked in the independent rotational component of the vibrational transition of accrete plasma species, makes that the sensitive detection when high background electrical noise exists becomes possibility even.In one embodiment, locking scheme comprises photodiode current 340 is input to lock-in amplifier 342 along the sinusoidal waveform 342 that comes automatic signal generator 336.Lock-in amplifier 342 provides usually and is applied in modulating frequency f or in suitable gain, filtering and the phase-adjusted performance of the phase-sensitive detection of the photodiode current 340 of harmonic wave nf.Then, the output of lock-in amplifier 342 is analyzed by analog to digital converter 344 digitlizations and by computer 346.
When operation, the relative variation of photodiode current 340 is used to determine the variation of absorption and therefore determines the concentration of additives plasma species in the etching process.For example, when the laser of the wavelength 1.33 μ m that run on nominal, can detect the variation of the relative concentration of the HF in reative cell 304 by the relative variation of the photodiode current 340 that detects by photodiode 306.The variation that generates the concentration of the definite HF of layer and etchant gasses or other suitable etching byproduct based on terminating point can be used as the Warning Mark of etch-stop point.
Above-mentioned absorbing detection technology relates to light beam 322 by plasma 320 once, just, and the single channel structure.In order to improve sensitiveness, light beam 322 can pass through plasma more than 320 time, just, and multichannel (or white blood cell) structure.Many these Detection Techniques also have been discussed in publication.For example the author is H.S.Sun, V.Patel, B.Singh, the title of C.K.Ng and E.A.Whittaker is " using the responsive plasma etching terminating point of adjustable diode laser absorption spectrometry to survey " (" Sensitive plasma etchingendpoint detection using tunable diode laser absorption spectroscopy ") (Applied Physics digest, volume 64,21 phases, pp.2279-2781) and the author be " near infrared diode laser monitor molecular species " (" Near-infrared diode lasers monitor molecular species ") (laser focused world of DavidE.Copper and Ramon U.Martinelli, in November, 1992), all are hereby expressly incorporated by reference.
Fig. 3 B shows etch system 347, and wherein two multichannel speculums 348,350 are installed in the outside of reative cell 304 in the mode relative with diameter.Speculum 348,350 is installed in the outside of reative cell 304 to prevent polluting wafer 312 in etching process.Laser diode 302 is with laser beam 351 directional mirrors 348.Laser beam 351 is through speculum 348 and come back reflective between speculum 348,350.Laser beam 351 its between speculum 348,350 back and forth reflex time through plasma 320.Come and go along with each, part laser beam 351 leaves speculum 350.The emitted laser bundle of after laser beam 351 makes predetermined number of channels by plasma 320, surveying at 353 marks by photodiode 306.
Fig. 3 C shows another etch system 355, and wherein reative cell 304 is positioned at optical cavity 352, and this optical cavity is to be made of relative, the high reflective concave surface mirror 354,356 of diameter.During operation, light source 302 is injecting optical cavity 352 with laser beam 358 with the approaching wavelength of the absorptive transition wavelength of accrete plasma species.Usually, laser beam 358 is injected in the optical cavity 352 to continue monitoring the development of accrete plasma species up to detecting the etch-stop point with the cycling rate of selecting.Laser beam 358 comes back reflective repeatedly up to its strength retrogression between speculum 354,356.Replacedly, chamber window 308 and/or chamber window 310 may comprise that reflectance coating on the inner surface reaches the speculum 354 that illustrates and/or the purpose of speculum 356.Process reative cell 304 and plasma 320 in each reflection process of laser beam 358 between speculum 354,356.Part laser beam 358 in optical cavity 352 is along with laser beam 358 comes back reflective to be passed speculum 356 and detected by photo-detector 306 at every turn.Number in the figure is that 360 transmitted beam is presented on the oscilloscope 362.
The strength retrogression of transmitted beam 360 is called as " time falls in ring " in the optical cavity 352 to required time of 1/e of intensity of injection light beam 358.The chamber ring time of falling is the length of optical cavity 352, the function that comes and goes the absorption of passing through time, intrinsic cavity losses magnitude and accrete plasma species of laser beam 358.Fall the time by measuring the chamber ring, can determine the absorption of accrete plasma species.The accuracy that the chamber ring time of measuring of falling has is to the intrinsic cavity losses sensitivity.Therefore to form stable resonant cavity extremely important for optical cavity 352, for example the optical cavity by the multiple embedding of optical match.
In another embodiment, frequency modulation(FM) spectroscopic methodology technology can be used to when finishing trench etch, and more particularly, produces the terminating point signal from " traditional " etch stop layer during dielectric bath etching in plasma etcher.Improved detectivity under a lot of situations at frequency of utilization modulation spectrometry on traditional etch stop layer, guaranteed to be etched in and stop when having more format high throughput.
As previously mentioned, the absorptivity of HF or CO can be determined and be used to produce the terminating point signal when upper caldding layer is passed in etching.Notice in some cases, do not need to be carved into down surface layer (but under if desired can etching surface layer) and obtain the terminating point signal, this is because being exposed to the surface area of the upper caldding layer of etchant is reduced when passing in that upper caldding layer is etched, has therefore changed the composition of the etching byproduct that produces.The variation of etching byproduct composition may be used to produce the terminating point signal.In this case, following surface layer may be represented any different with upper caldding layer layer, as long as the existence of surface layer can be used for can causing when the etchant etching of etching upper caldding layer is put on cover layer the detectable variation of etching byproduct down.Therefore in these cases, terminating point generates layer although can provide independently, and is whether absolute necessary.
For example, certain dual-damascene stack can be used the SiO that places on TEOS or the bpsg layer 2Upper caldding layer.For example, when on TEOS or bpsg layer, forming through hole, can be at SiO 2Etching bath on the layer.Under this kind situation, the concentration of HF or CO can be passed SiO in etching 2Change during upper caldding layer, therefore trigger the generation of terminating point signal.Certainly if desired, below etching proceeds to when TEOS layer or bpsg layer because the variation of the absorptivity that the variation of the concentration of HF or CO causes equally also can be used to produce the terminating point signal.
As another useful applications example, the absorptivity that can monitor HF (or CO) is with generation terminating point signal in low-κ dual damascene applications, for example under the situation when low-κ is placed on another layer.As an example, some dual damascene applications may comprise that the OSG layer is (low-as κ) to be placed on the fsg layer (κ than OSG layer is high slightly).Under this kind situation, can in the OSG layer, form groove, and through hole is placed in the fsg layer.Because electric capacity is more remarkable between the line capacitance specific surface of given level (because less wire spacing), this arrangement is feasible.By the absorptivity of monitoring, (for example: OSG) etched pass or when etching (for example: FSG) proceeds to down surface layer when can detect one deck based on the concentration of CO or HF.OSG be as low-κ material for example, also can adopt other suitable low-κ material.Equally, FSG also be as the place layer of the through hole that forms dual damascene for example, also can adopt other suitable layer.
As previously mentioned, the invention provides a plurality of advantages.A main advantage is to be derived from the use that terminating point generates layer, and when producing the terminating point signal, this layer do not need to have the selectivity of etch stop layer and/or the requirement of thickness.It is advantageous using this terminating point to generate layer, can be designed the electric and/or chemical characteristic of total κ value of pair of films lamination and/or other and does not almost have disturbance because such terminating point generates layer.This is particularly useful in dual-damascene integration, and the increase of total κ value of wherein electric Jie's film lamination can damage the ability that reduces electric delay of lamination.
Another major advantage is that terminating point generates the flexibility that layer thickness is selected, and does not need to compensate etching because terminating point generates layer.This is special advantage in modern high density IC uses, and in the application of the type, characteristic has promoted high-density applications along with narrower design planning and thinner film lamination improve day by day.As long as Detection Techniques of selecting and/or equipment can be surveyed the variation in the etching process, terminating point generates the thickness of layer and/or the difference between etch layer and the terminating point generation layer can reach minimum as required.
In addition, providing terminating point to generate layer may spend less.Can use more less than the process cost of the etch stop layer material that the cost of material is low and/or japanning or placement terminating point generation layer.In some cases, creating the required step of terminating point generation layer lacks than placing the required step of etch stop layer.Therefore, create the time of combiner circuit than lacking and/or spending less.
Although invention has been described with a plurality of different preferred embodiments, within the scope of the invention various changes can be arranged, change or be equal to replacement.Therefore, within the spirit and principles in the present invention all, any change of being done changes or is equal to replacement etc., all should be included within protection scope of the present invention.

Claims (44)

1. method that is used for being controlled at the plasma etching process of plasma processing chamber comprises:
Determine that described terminating point generates the thickness of layer;
The substrate that has lamination is thereon introduced described plasma processing chamber, described lamination comprises the ground floor that is arranged on the terminating point generation layer, if described terminating point generates the dielectric constant values that dielectric constant (κ) value of layer is less than or equal to described ground floor, the described thickness that then described terminating point generates layer is confirmed as thicker with respect to the thickness of described ground floor, if described terminating point generates the dielectric constant values that the dielectric constant values of layer is higher than described ground floor, the described thickness that then described terminating point generates layer is confirmed as thinner with respect to the described thickness of described ground floor;
When the absorptivity of light beam of inside of described plasma processing chamber is passed in monitoring, etching is passed described ground floor and is partially-etchedly at least passed described terminating point and generate layer, wherein, described terminating point generates the material that layer is selected from the detectable variation that produces described absorptivity when etched, described terminating point generation layer is characterised in that at least one in first feature and second feature, described first is characterized as described thickness that described terminating point generates layer less than the thickness that is used for described etched applicable etch stop layer, and described second is characterized as and is used for etching and passes the selectivity that described terminating point generates the etchant of layer and be lower than the selectivity that is used for described etched described applicable etch stop layer; And
When surveying described detectable variations, generation terminating point signal.
2. method according to claim 1, wherein, described ground floor is formed by low-κ material, and the described dielectric constant values of described terminating point generation layer is lower than the described dielectric constant values of described ground floor.
3. method according to claim 1, wherein, described ground floor is formed by low-κ material, the described dielectric constant values of described terminating point generation layer equals the described dielectric constant values of described ground floor, and the described thickness of described terminating point generation layer is confirmed as thicker with respect to the described thickness of described ground floor.
4. method according to claim 1, wherein, described ground floor is formed by low-κ material, and the described dielectric constant values of described terminating point generation layer is higher than the described dielectric constant values of described ground floor.
5. method according to claim 1 wherein, before generating described terminating point signal, is removed described terminating point fully and is generated layer.
6. method according to claim 1, wherein, described terminating point generates the terminating point generation layer material of layer and compares on stoichiometry different with the ground floor material of described ground floor, select described terminating point to generate layer material, with when carrying out etching under the situation one of at least in electrical characteristics that do not depart from described ground floor material and chemical characteristic, produce described detectable variation.
7. method according to claim 1, wherein, except generate at described terminating point make in the layer at least a isotope that described terminating point generates at least a element in the described chemical composition of layer material by enrichment, the chemical composition that the terminating point of described terminating point generation layer generates layer material is identical with the chemical composition of the ground floor material of described ground floor, when the described terminating point of etching generated layer, described a kind of isotopic concentration was enough to produce described detectable variation.
8. method according to claim 7, wherein, described a kind of element is that carbon (C) and described a kind of isotope are 13C.
9. method according to claim 7, wherein, described a kind of element is that hydrogen (H) and described at least a isotope are 2H.
10. method according to claim 7, wherein, described a kind of element be oxygen (O) and described a kind of isotope be oxygen-17 ( 17O) and oxygen-18 ( 18O) a kind of in.
11. method according to claim 7, wherein, described a kind of element be silicon (Si) and described a kind of isotope be silicon-29 ( 29Si) and silicon-30 ( 30Si) a kind of in.
12. method according to claim 1, wherein, the chemical composition that described terminating point generates layer is different with the chemical composition of described ground floor, select described terminating point to generate the described chemical composition of layer, with when carrying out etching under the situation one of at least in electrical characteristics that do not depart from described ground floor and chemical characteristic, produce described detectable variation.
13. method according to claim 1, wherein, direct absorption measurement techniques is used in described detection.
14. method according to claim 13, wherein, multi-channel structure is used in described detection.
15. method according to claim 13, wherein, the single channel structure is used in described detection.
16. method according to claim 1, wherein, described detection frequency of utilization modulation spectrometry.
17. method according to claim 1, wherein, the Wavelength modulation spectroscopy method is used in described detection.
18. method according to claim 1, wherein, Fourier transform infrared spectrometry is used in described monitoring.
19. method according to claim 1, wherein, described detection uses the chamber ring to fall technology.
20. method according to claim 1, wherein, described ground floor is formed by low-κ fluorosilicate glass material.
21. method according to claim 20, wherein, described terminating point generate layer by have than described low-the terminating point generation layer material of the κ value that the κ value of κ fluorosilicate glass material is lower forms.
22. method according to claim 20, wherein, described terminating point generate layer by have with described low-the terminating point generation layer material of the κ value that κ value that κ fluorosilicate glass material is relevant is equal forms.
23. method according to claim 20, wherein, described terminating point generate layer by have than described low-the terminating point generation layer material of the κ value that the κ value of κ fluorosilicate glass material is higher forms.
24. method according to claim 1, wherein, described ground floor is formed by low-κ organic silicate glass material.
25. method according to claim 24, wherein, described terminating point generate layer by have than described low-the terminating point generation layer material of the κ value that the κ value of κ organic silicate glass material is lower forms.
26. method according to claim 24, wherein, described terminating point generate layer by have with described low-the terminating point generation layer material of the κ value that κ value that κ organic silicate glass material is relevant is equal forms.
27. method according to claim 24, wherein, described terminating point generate layer by have than described low-the terminating point generation layer material of the κ value that the κ value of κ organic silicate glass material is higher forms.
28. method according to claim 1, wherein, described ground floor is formed by low-κ rotary coating organic material.
29. method according to claim 28, wherein, described terminating point generate layer by have than described low-the terminating point generation layer material of the κ value that κ value that κ rotary coating organic material is relevant is lower forms.
30. method according to claim 28, wherein, described terminating point generate layer by have with described low-the terminating point generation layer material of the κ value that κ value that κ rotary coating organic material is relevant is equal forms.
31. method according to claim 28, wherein, described terminating point generate layer by have than with described low-the terminating point generation layer material of the κ value that κ value that κ rotary coating organic material is relevant is higher forms.
32. method according to claim 1, wherein, the wavelength of described light beam equals the absorbing wavelength of at least one plasma species relevant with described detectable variation.
33. method according to claim 1, wherein, the described detectable variation of described absorptivity is corresponding to the variation of the CO concentration in the described inside of described plasma processing chamber.
34. method according to claim 1, wherein, the described detectable variation of described absorptivity is corresponding to the variation of the HF concentration in the described inside of described plasma processing chamber.
35. one kind is used for comprising at the etched substrate of plasma processing chamber:
Ground floor, and
Terminating point generates layer, be arranged under the described ground floor, described terminating point generation layer is formed by the material of the detectable variation of the absorptivity of the light beam that produces the inside of passing described plasma chamber when described terminating point generation layer is etched, described terminating point generates the thickness of the thickness of layer less than applicable etch stop layer, the etched selectivity of carrying out that described terminating point generates layer is lower than described etch stop layer and carries out described etched selectivity, if described terminating point generates the dielectric constant values that dielectric constant (κ) value of layer is less than or equal to described ground floor, the described thickness that then described terminating point generates layer is thicker with respect to the thickness of described ground floor, if described terminating point generates the described dielectric constant values that the described dielectric constant values of layer is higher than described ground floor, the described thickness that then described terminating point generates layer is thinner with respect to the described thickness of described ground floor.
36. substrate according to claim 35, wherein, described ground floor is by at least a formation the in fluorosilicate glass and the organic silicate glass, described terminating point generates layer by at least a formation the in boron phosphorus silicate glass, silicon dioxide and the fluorosilicate glass, at least a relevant among described detectable change and the kind that comprises B-and the kind, CO and the HF that comprise P-.
37. substrate according to claim 35, wherein, described ground floor is formed by low-κ organic silicate glass material.
38. substrate according to claim 35, wherein, described ground floor is formed by low-κ rotary coating organic material.
39. one kind is used for detecting the method that terminating point in the process of κ layer is hanged down in etching at plasma processing chamber, described method comprises:
Described low-the κ layer in the isotope enrichment of at least two kinds of elements described low-κ layer and do not use etch stop layer;
Monitor the concentration of the plasma species in the described plasma processing chamber, described plasma species comprises the compound of the element of at least a element in the described isotope and at least a etching gas; And
Change based on the described concentration of described plasma species, use terminating point to generate layer and generate described terminating point signal, wherein, described terminating point generation layer is characterised in that at least one in first feature and second feature, described first is characterized as described thickness that described terminating point generates layer less than the thickness that is used for described etched applicable etch stop layer, and described second is characterized as and is used for etching and passes the selectivity that described terminating point generates the etchant of layer and be lower than the selectivity that is used for described etched described applicable etch stop layer.
40. according to the described method of claim 39, wherein, described isotope comprises at least 13C and D.
41. according to the described method of claim 39, wherein, described plasma species is represented 13Among CO and the DF one of at least.
42. according to the described method of claim 39, wherein, before described enrichment, described low-described isotopic level in the κ layer is zero.
43. according to the described method of claim 39, wherein, before described enrichment, described low-described isotopic level in the κ layer is a non-zero.
44., wherein, change based on the described concentration of described plasma species and to generate described terminating point signal according to the described method of claim 39.
CNB2003801019448A 2002-10-24 2003-10-22 Method and apparatus for detecting endpoint during plasma etching of thin films Expired - Lifetime CN100505176C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US42142202P 2002-10-24 2002-10-24
US60/421,422 2002-10-24
US10/401,114 2003-03-27

Publications (2)

Publication Number Publication Date
CN1708837A CN1708837A (en) 2005-12-14
CN100505176C true CN100505176C (en) 2009-06-24

Family

ID=35581887

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801019448A Expired - Lifetime CN100505176C (en) 2002-10-24 2003-10-22 Method and apparatus for detecting endpoint during plasma etching of thin films

Country Status (1)

Country Link
CN (1) CN100505176C (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463613A (en) * 2014-05-09 2017-02-22 瓦里安半导体设备公司 Apparatus and method for dynamic control of ion beam energy and angle

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101859689B (en) * 2009-04-07 2012-03-07 北京北方微电子基地设备工艺研究中心有限责任公司 Determination method and device for dry cleaning time and plasma processing apparatus
CN104465352B (en) * 2014-11-28 2018-09-04 上海华力微电子有限公司 The method for eliminating polycrystalline silicon residue in polycrystalline silicon etching process
WO2021181545A1 (en) * 2020-03-11 2021-09-16 株式会社日立ハイテク Plasma processing device or plasma processing method
WO2022059440A1 (en) * 2020-09-18 2022-03-24 東京エレクトロン株式会社 Etching method, plasma processing device, and substrate processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463613A (en) * 2014-05-09 2017-02-22 瓦里安半导体设备公司 Apparatus and method for dynamic control of ion beam energy and angle
CN106463613B (en) * 2014-05-09 2019-07-23 瓦里安半导体设备公司 Method, the method and processing equipment of etching device structure of etched substrate

Also Published As

Publication number Publication date
CN1708837A (en) 2005-12-14

Similar Documents

Publication Publication Date Title
US6908846B2 (en) Method and apparatus for detecting endpoint during plasma etching of thin films
US5807761A (en) Method for real-time in-situ monitoring of a trench formation process
CN101165867B (en) Monitoring substrate processing using reflected radiation
US7008803B2 (en) Method of reworking structures incorporating low-k dielectric materials
KR100659163B1 (en) Method and apparatus for improving accuracy of plasma etching process
US20060073619A1 (en) Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
KR20020025717A (en) Etching method
KR100426988B1 (en) end point detector in semiconductor fabricating equipment and method therefore
CN100505176C (en) Method and apparatus for detecting endpoint during plasma etching of thin films
US20060000799A1 (en) Methods and apparatus for determining endpoint in a plasma processing system
TW200409232A (en) Pre-endpoint techniques in photoresist etching
CN100459027C (en) Monitoring substrate processing using reflected radiation
US7439068B2 (en) Plasma monitoring method, plasma processing method, method of manufacturing semiconductor device, and plasma processing system
KR20060124663A (en) Method and apparatus for removing photoresist from a substrate
Bulat et al. Fabrication of waveguides using low‐temperature plasma processing techniques
US20060261036A1 (en) Method for patterning on a wafer having at least one substrate for the realization of an integrated circuit
Xu et al. Near-infrared diode laser hydrogen fluoride monitor for dielectric etch
KR100265998B1 (en) Device for detecting etching end point of semiconductor manufacturing apparatus
KR20010061495A (en) SiBC film for interlayer insulation film in a semiconductor device and method of forming a metal wiring using the same
Bai An experimental study and modeling of transformer-coupled toroidal plasma processing of materials
JP2005079289A (en) Method for detecting end point and method for evaluating film quality
Schott Simultaneous Amplitude-Modulation and Harmonic Frequency-Modulation Mode Locking of Nd: YAG Laser
KR100562627B1 (en) Method of detecting end point of plasma processing and apparatus for the same
Claassen et al. An in situ infrared study on the interaction of oxygen plasmas with Si and fluorine plasmas with SiO2 surfaces
Mansell et al. Gaussian to super-gaussian laser beam intensity profile conversion using glass micro-optic fabricated with reflowed photoresist

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20090624

CX01 Expiry of patent term