CN100530562C - 原子层沉积的电介质层 - Google Patents

原子层沉积的电介质层 Download PDF

Info

Publication number
CN100530562C
CN100530562C CNB2004800121284A CN200480012128A CN100530562C CN 100530562 C CN100530562 C CN 100530562C CN B2004800121284 A CNB2004800121284 A CN B2004800121284A CN 200480012128 A CN200480012128 A CN 200480012128A CN 100530562 C CN100530562 C CN 100530562C
Authority
CN
China
Prior art keywords
layer
hafnium
ald
oxide
body region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800121284A
Other languages
English (en)
Other versions
CN1784773A (zh
Inventor
K·Y·阿恩
L·福尔布斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1784773A publication Critical patent/CN1784773A/zh
Application granted granted Critical
Publication of CN100530562C publication Critical patent/CN100530562C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

一种原子层沉积的电介质层和制备这种电介质层的方法制造了一种具有比利用SiO2获得的等效氧化物厚度薄的可靠的电介质层。通过原子层沉积在衬底表面上沉积铪金属层和通过原子层沉积在该铪金属层上沉积氧化铪层,形成了基本上没有氧化硅的氧化铪电介质层。包含原子层沉积的氧化铪的电介质层是热力学稳定的,使得该氧化铪在处理期间具有与硅衬底或其它结构最小的反应。

Description

原子层沉积的电介质层
相关申请的交叉参考
本申请涉及以下共同指定的美国专利申请,通过参考将它们全部并入这里:
美国申请序列No.10/137,058,代理案号no.303.802US1,标题为:“Atomic Layer Deposition and Conversion,”
美国申请序列No.10/137,168,代理案号no.1303.048US1,标题为:“Methods,Systems,and Apparatus for Atomic-Layer Deposition ofAluminum Oxides in Integrated Circuits,”以及
美国申请序列No.09/797,324,代理案号no.303.717US1,标题为:“Methods,Systems,and Apparatus for Uniform Chemical-VaporDepositions。”
技术领域
本申请一般涉及半导体器件和器件的制备,更具体地涉及电介质层和它们的制备方法。
背景技术
半导体器件产业具有需要提高速度性能、提高其低静态(截止状态)的功率需求以及对于其硅基微电子产品适合宽范围的电源和输出电压需求的驱动的市场。特别地,在晶体管的制备中,有缩小器件如晶体管尺寸的持续压力。最终的目标是制备日益变小和更可靠的集成电路(IC),用于在产品如处理器芯片、移动电话和如动态随机存取存储器(DRAM)的存储器件中使用。用电池给较小的器件频繁地提供动力。还存在缩小电池的尺寸以及延长电池充电之间的时间的压力。这迫使该产业不仅设计较小的晶体管,而且将它们设计成用较低的电源可靠地工作。
当前,半导体产业依赖缩小或依比例确定其基本器件的尺度的能力,其中基本器件主要是硅基金属-氧化物-半导体场效应晶体管(MOSFET)。将这种晶体管的一般结构示于图1中。当以下的论述使用图1来说明现有技术的晶体管时,本领域技术人员将认识到,本主题可以并入图1所示的晶体管中,以形成根据本主题的晶体管。晶体管100制备在一般是硅的衬底110中,但还可以由其它的半导体材料制备。晶体管100具有源区120和漏区130。体区域132位于源区120和漏区130之间,由此体区域132限定了具有沟道长度134的晶体管的沟道。栅电介质140位于具有位于栅电介质140上方的栅极150的体区域132上。虽然栅电介质140可由除了氧化物之外的材料形成,但栅电介质140一般是氧化物,且一般称为栅氧化物。栅极150可由多晶的硅(多晶硅)制备,或者可使用其它的导电材料,如金属。
在制备尺寸较小的且在较低电源上可靠工作的晶体管时,一个设计标准是栅电介质140。用于形成栅电介质的主要依靠是二氧化硅,SiO2。热生长的非晶SiO2层提供了电力和热力稳定的材料,其中SiO2层与下面的Si的界面提供了高质量的界面以及优良的电隔离性能。在一般处理中,在Si上使用SiO2提供了1010/cm2数量级的缺陷电荷密度、接近1010/cm2eV的中间间隙(midgap)界面态密度和范围在15MV/cm内的击穿电压。具有这种质量,需要使用除了SiO2之外的材料不是显而易见的,但对于栅电介质增加的标度和其它要求建立了发现对于栅电介质所使用的其它电介质材料的需要。
发明内容
通过本主题解决了上述的问题,且将通过阅读和研究以下的说明书而理解。用于形成电介质层的方法的实施例,包括在衬底上通过原子层沉积形成金属层,以及在该金属层上通过原子层沉积形成该金属的氧化物层。在一个实施例中,在衬底上通过原子层沉积形成铪层,以及在该铪上通过原子层沉积形成氧化铪层。在一个实施例中,利用至多十个原子层沉积周期形成铪层。在一个实施例中,形成铪层的原子层沉积周期数在约四个周期至约十个周期的范围内。
包含氧化铪的电介质层具有比二氧化硅大的介电常数、较小的漏电流和相对于硅基衬底好的稳定性。相比具有相同物理厚度的氧化硅层,这种电介质层提供了相当薄的等效氧化物厚度。可选地,这种电介质层提供了比具有相同等效氧化物厚度的氧化硅层显著厚的物理厚度。上述实施例包括用于具有包含原子层沉积的氧化铪的电介质层的电容器、晶体管、存储器件和电子系统的结构,以及用于形成这种结构的方法。
自下面的说明和附图,这些和其它方面、实施例、优点和特征将变得显而易见。
附图说明
图1示出了晶体管的常见结构,在该晶体管中可根据本主题的各种实施例形成包含原子层沉积的氧化铪的栅电介质。
图2A示出了根据本主题的各种实施例用于处理包含氧化铪的电介质层的原子层沉积系统。
图2B示出了根据本主题的各种实施例用于处理包含氧化铪的电介质层的原子层沉积系统的气体分布的固定装置。
图3说明了根据本主题的各种实施例,通过原子层沉积处理电介质层的方法实施例的元件的流程图。
图4说明了根据本主题的各种实施例,通过原子层沉积处理包含氧化铪的电介质层的方法实施例的元件的流程图。
图5示出了根据本主题的各种实施例,具有原子层沉积的氧化铪电介质层的晶体管结构的实施例。
图6示出了根据本主题的各种实施例,并入具有原子层沉积的电介质层的器件的个人计算机的实施例。
图7示出了根据本主题的各种实施例,并入具有原子层沉积的电介质层的器件的中央处理单元的实施例的示意图。
图8示出了根据本主题的各种实施例,具有原子层沉积的电介质层的DRAM存储器件的实施例的示意图。
具体实施方式
下面的详细描述指的是借助插图示出了具体方面的附图和其中可进行本主题的实施例。足够详细地描述这些实施例,以使得本领域技术人员能够实施本主题。在不脱离本主题范围的前提下,可利用其它的实施例,且可进行结构、逻辑和电的改变。由于一些公开的实施例可以结合一个或多个其它公开的实施例以形成新的实施例,所以在此公开的各种实施例不必是互斥的。
在以下描述中所使用的术语晶片和衬底包括具有露出表面的、以形成本主题的集成电路(IC)结构的任意结构。术语衬底理解为包括半导体晶片。术语衬底还用于指的是在处理期间的半导体结构,且可包括在上面制备的其它层。晶片和衬底两个都包括掺杂的和未掺杂的半导体、由基半导体或绝缘体支撑的外延半导体层以及本领域技术人员公知的其它半导体结构。术语导体理解为包括半导体,以及将术语绝缘体或电介质定义为包括比称为导体的材料小的导电性的任意材料。
将该申请中所用的术语“水平的”定义为与常规的面或晶片或衬底的表面平行的面,而不管晶片或衬底的取向。术语“垂直的”指的是与如上所定义的水平方向垂直的方向。相对于常规的面或位于晶片或衬底顶表面上的表面来定义介词,如“在......上面”、“侧面的”(如在“侧壁”上)、“较高”、“较低”、“在......上方”和“在......下面”,而不管晶片或衬底的取向。因此,以下的详细描述不起限制作用,且仅由所附的权利要求与命题这种权利要求的等效物的全部范围一起来限定本主题的范围。
图1的栅电介质140当在晶体管中工作时,具有物理的栅电介质厚度和等效的氧化物厚度(teq)。等效的氧化物厚度量化了在表示物理厚度方面的栅电介质140的电性质,如电容。将等效的氧化物厚度teq定义为在忽视漏电流和可靠性因素的前提下,具有与给定电介质相同电容密度的理论的SiO2层的厚度。
沉积在Si表面上作为栅电介质的、厚度为t的SiO2层具有比它的厚度t大的teq。由于耗尽/反型区的形成,该teq由其上沉积了SiO2的表面沟道中的电容产生。该耗尽/反型区会产生比SiO2的厚度t大3至6埃
Figure C20048001212800131
的teq。因此,利用驱动以依比例决定栅电介质等效氧化物厚度在10
Figure C20048001212800132
以下的半导体产业,用于栅电介质的SiO2层的物理厚度需要接近4至7
Figure C20048001212800133
SiO2层的另外特性取决于结合SiO2栅电介质所使用的栅电极。利用常规的多晶硅栅对于SiO2层产生了teq的另外增加。虽然当前在一般的互补金属-氧化物-半导体场效应晶体管(CMOS)技术中没有使用金属栅,但可以通过使用金属栅电极消除该另外的厚度。因此,将来的器件可以朝着约5或更小的物理SiO2栅电介质层设计。SiO2氧化层的这种小厚度产生了另外的问题。
部分地,由于二氧化硅在SiO2-Si基结构中的电隔离性质,所以使用它作为栅电介质。这种电隔离是由于SiO2(8.9eV)相对大的带隙使得它对导电性成为良好的绝缘体。作为栅电介质的材料,带隙的显著缩小将会使它消除。由于SiO2层的厚度减小,所以原子层或SiO2的单层的数量也减小。在某厚度,单层的数量是足够小的,以致SiO2层将没有如较大的或体层相同的完整原子布置。相对于体结构不完整形成的结果,只有一个或两个单层的薄SiO2层不会形成满的带隙。在SiO2栅电介质中没有满的带隙会导致下面的Si沟道和上面的多晶硅栅之间的实际短路。这种不希望的性质对可依比例决定SiO2层的物理厚度设置了限度。由于该单层作用,最小厚度被认为是约7-8
Figure C20048001212800141
因此,对于具有teq小于约10
Figure C20048001212800142
的未来器件,需要考虑除了SiO2之外的电介质用作栅电介质。
对于用作栅电介质的一般电介质层,将电容确定为平行板电容:C=κε0A/t,其中κ是介电常数,ε0是自由空间的电容率,A是电容器的面积,以及t是电介质的厚度。材料的厚度t与给定电容的teq有关,SiO2具有介电常数κox=3.9,如
t=(κ/κox)teq=(κ/3.9)teq
因此,具有介电常数比SiO2的介电常数3.9大的材料,将具有比所希望的teq大很多的物理厚度,同时提供了所希望的等效氧化物厚度。例如,具有介电常数为10的可选电介质材料具有约25.6
Figure C20048001212800143
的厚度以提供10
Figure C20048001212800144
的teq,而不包括任何耗尽/反型层的影响。因此,通过利用具有比SiO2高的介电常数的电介质材料,可实现晶体管缩小的等效氧化物厚度。
可通过相当大数量的材料来实现对于较低的晶体管工作电压和较小的晶体管尺度的薄等效氧化物厚度,但另外的制备特性确定了合适的代替SiO2是困难的。然而,对于微电子产业的潮流展望仍然用于Si基器件。因此,将在硅衬底或硅层上生长所使用的栅电介质,其给代替物电介质材料加了相当大的限制。在硅层上形成电介质期间,存在除了所希望的电介质之外形成小的SiO2层的可能性。该结果有效地是由彼此平行且其上形成电介质的硅层的两个子层组成的电介质层。在这种情况下,得到的电容是串联的两个电介质的电容。结果,电介质层的teq是SiO2厚度和形成的电介质厚度的倍增因数的总和,写作
teq=tSiO2+(κox/κ)t。
因此,如果在该工艺中形成了SiO2层,则teq再次由SiO2层限制。如果在硅层和所希望的电介质之间形成了阻挡层,则teq由具有最低介电常数的层限制,其中阻挡层防止形成SiO2层。然而,是采用具有高介电常数的单电介质层还是具有介电常数比SiO2高的阻挡层,与硅层接口的层必须提供高质量的接口以保持高的沟道载流子迁移率。
使用SiO2作为栅电介质的一个优点是,形成SiO2层产生了非晶的栅电介质。对于栅电介质具有非晶结构是有利的,因为多晶栅电介质的晶界提供了高的泄露路径。另外,在整个多晶栅电介质的粒度和取向改变会引起该层介电常数变化。具有介电常数相对于SiO2高的许多材料至少在体结构方面还具有结晶形式的缺点。因此,用于代替SiO2作为栅电介质的最好候选物是具有高介电常数的电介质,且能够制备作为具有非晶形式的薄层。
仅基于介电常数的大小,具有约25的大介电常数的氧化铪HfO2看起来是代替SiO2的候选物。然而,用于选择材料的其它因素和用于形成在电子器件和系统中使用的电介质层的方法涉及应用材料的适合性,需要电介质层具有超薄的等效氧化物厚度,在衬底上共形地形成,和/或设计具体的厚度和元素浓度。另一因素涉及衬底上的电介质层的粗糙度。电介质层的表面粗糙度对栅氧化物的电性质和得到的晶体管的工作特性有显著的影响。已发现,对于在均方根(RMS)粗糙度中每增加0.1,穿过物理的1.0nm栅氧化物的漏电流增加了10倍。
在常规的溅射沉积工艺阶段,被沉积的材料颗粒以高的能量轰击该表面。当颗粒击中该表面时,一些颗粒粘附,而另一些颗粒产生了损伤。高能量的撞击去除了产生坑的主体区域颗粒。由于在主体区域的粗糙界面,所以这种沉积层的表面具有粗糙的轮廓。
在一个实施例中,利用原子层沉积(ALD)形成相对于其它处理技术具有基本平滑表面的氧化铪电介质层。而且,利用原子层沉积形成电介质层提供了用于控制在材料层之间的跃迁。因此,原子层沉积的氧化铪电介质层可具有衬底表面的设计跃迁(engineered transition),该衬底表面具有基本上缩小的或没有界面的SiO2层。而且,ALD沉积的氧化铪电介质层在它们所沉积的表面上提供了共形的覆盖。
在早期70年代开发了还称为原子层外延(ALE)的ALD作为化学气相沉积(CVD)的改进,且还称为“可选的脉冲CVD”。在ALD中,将气态的前体一次引入到装配在反应室(或反应器)内的衬底表面上。该气态前体的这种引入采用了每个气态前体的脉冲形式。在脉冲之间,用气体净化该反应室和/或抽空,在许多情况下该气体是惰性气体。
在化学吸附-饱和的ALD(CS-ALD)工艺中,在第一脉冲发出阶段期间,与衬底的反应与饱和化学吸附的前体一同出现在衬底的表面。随后用净化气体脉冲输送从反应室去除了过量的前体。
第二脉冲发出阶段在出现了所希望层的生长反应的衬底上引入另一前体。在层生长反应之后,从反应室清除由副产物和过量前体的反应。具有有利的前体化学性质,在衬底上前体侵蚀性地相互吸收和反应,可比在适当设计的流程型反应室中以少于二分之一地进行一个ALD周期。一般,前体脉冲时间在约0.5秒到约2至3秒的范围内。
在ALD中,所有的反应和净化阶段的饱和使得生长自身限制。这种自身限制的生长产生了大面积的均匀性和保形性,其具有用于如平面衬底、深沟槽情况的重要应用,且产生多孔硅和高表面积硅石和氧化铝粉末的处理。因此,ALD通过控制生长周期数以直接的方式提供了用于控制层厚度。
最初研制ALD来制造电致发光显示器中所需要的荧光层和电介质层。已非常努力将ALD应用到掺杂的硫化锌和碱土金属硫化物层的生长。另外,已研究了ALD用于生长不同的外延II-V和II-VI层、非外延结晶或非晶氧化物和氮化物层以及这些的多层结构。朝着硅和锗层的ALD生长还有相当大的好处,但由于困难的前体化学性质,所以这不是很成功的。
在ALD工艺中所使用的前体可以是气态的、液态的或固态的。然而,液态或固态前体必须是易挥发的。蒸气压必须足够高用于有效地批量输送。而且,固态和一些液态前体需要在反应室内部加热,且经由加热的管引向衬底。必需的蒸汽压必须到达衬底温度以下的温度,以避免衬底上的前体凝结。由于ALD的自身限制生长机理,虽然由于它们的表面积改变在工艺期间蒸汽率可能有些变化,但可使用相对低的蒸汽压固态前体。
对于ALD中所使用的前体存在几种其它的特性。因为前体的分解会毁坏表面控制,所以它们在衬底温度下必须是热稳定的,因此ALD方法的优点依靠前体在衬底表面的反应。如果相比ALD生长慢,则会忍受轻微的分解。
虽然在前体和表面之间的界面以及用于吸收的机理对于不同前体是不同的,但前体必须化学吸附在表面上或与表面反应。衬底表面的分子必须与第二前体侵蚀性地反应,以形成所希望的固态层。另外,前体不应当与层反应导致蚀刻,且前体不应当在层中溶解。在ALD中利用高反应的前体与用于常规CVD的前体选择形成对比。
为了使反应的副产物容易从反应室去除,反应的副产物必须是气态的。而且,副产物不应当在表面上反应或吸收。
在反应顺序ALD(RS-ALD)工艺中,自身限制工艺顺序包含系列的表面化学反应。RS-ALD依赖于反应表面和反应分子前体之间的化学性质。在RS-ALD工艺中,将分子前体分离地脉冲输送到ALD反应室中。在衬底的金属前体反应之后一般是惰性气体脉冲输送以去除过量的前体,以及在脉冲输送下一个前体的制备顺序之前,从反应室去除副产物。
通过RS-ALD,可以以在化学动力学、每周期的沉积、组成和厚度方面都相同的相等计量的顺序分层上述层。RS-ALD顺序一般沉积小于每个周期的全部层。一般,可实现每RS-ALD周期约0.25至约2.00
Figure C20048001212800171
的沉积或生长率。
RS-ALD的特性包括界面的连续性、衬底上方的共形、使用低温度和适度地氧化工艺、不受第一晶片影响和室依赖、只依赖所进行周期数的生长厚度、以及设计具有一个或两个单层分辨率的多层叠层的能力。RS-ALD允许沉积控制单层的顺序和沉积单层的非晶层的能力。
在此,顺序指的是基于前体的ALD反应或具有其反应物前体的前体的ALD材料形成。例如,由包含金属的前体构成的金属层形成金属顺序的实施例。另外,由包含金属的前体和由包含前体的氧构成的金属氧化物层作为其反应物前体形成金属/氧顺序的实施例,可将其称为金属氧化物顺序。金属顺序的周期包括脉冲输送包含金属的前体和脉冲输送用于前体的净化气体。而且,金属氧化物顺序的周期包括脉冲输送包含金属的前体、脉冲输送用于前体的净化气体、脉冲输送反应物前体和脉冲输送用于反应物前体的净化气体。
在一个实施例中,通过利用单独地脉冲输送到反应室中的前体气体的ALD,在装配于反应室中的衬底上形成氧化铪层。可选地,可在适当设计的反应室中使用固态或液态前体。在标题为“Atomic LayerDeposition and Conversion,”、代理案号no.303.802US1、序列号10/137,058和“Methods,Systems,and Apparatus for Atomic-LayerDeposition of Aluminum Oxides in Integrated Circuits,”、代理案号no.1303.048US1、序列号10/137,168的待审的共同指定的美国专利申请中公开了其它材料的ALD形成。
图2A示出了用于处理包含氧化铪的电介质层的原子层沉积系统200的实施例。描绘的元件是讨论本主题实施例所必须的那些元件,以便在没有进行不适当实验的前提下,本领域技术人员可实施本主题的各种实施例。可以在标题为“Methods,Systems,and Apparatus for UniformChemical-Vapor Deposition,”、代理案号no.303.717US1、序列号09/797,324的共同待审的、共同指定的美国专利申请中发现ALD反应室的另一讨论,通过参考将其并入这里。
在图2A中,衬底210位于ALD系统200的反应室220的内部。而且位于反应室220内的是加热元件230,其热耦合到衬底210上以控制衬底温度。气体分布固定装置240将前体气体引到衬底210上。每个前体气体由单独的气体源251-254产生,其流量分别由大流量控制器256-259控制。通过存储前体作为气体或通过提供用于蒸发固态或液态材料的位置和设备,每个气体源251-254提供了前体气体,以形成所选择的前体气体。此外,可包括另外的气体源,一个用于所使用的每个金属前体,一个用于与每个金属前体有关的每个反应物前体。
而且包括在ALD系统中的是净化气体源261、262,其每一个都分别耦合至大流量控制器266、267。此外,可在ALD系统200中构建另外的净化气体源,一个净化气体源用于每个前体气体。对于用于多个前体气体使用相同净化气体的工艺,在ALD系统200中使用了较少的净化气体源。气体源251-254和净化气体源261-262通过它们相关的大流量控制器耦合到共同的气体线或管道270上,共同的气体线或管道270耦合到反应室220内部的气体分布固定装置240。气体管道270还通过大流量控制器286耦合到真空泵或排气泵281上,以去除多余的前体气体、净化气体和在气体管道270的净化顺序端部的副产物气体。
通过大流量控制器287耦合真空泵或排气泵282,以去除多余的前体气体、净化气体和在反应室220的净化顺序端部的副产物气体。为了方便起见,本领域技术人员公知的控制显示器、装配设备、温度传感器、衬底操纵设备和必要的电连接没有被示于图2A中。
图2B示出了用于处理包含铪氧化物的电介质层的原子层沉积系统200的气体分布固定装置240的实施例。气体分布固定装置240包括气体分布组件242和气体入口244。气体入口244使气体分布组件242耦合至图2A的气体管道270。气体分布组件242包括气体分布孔或口246和气体分布沟道248。在所述的实施例中,孔246基本上是具有在15-20微米范围公共直径的圆形,气体分布沟道248具有在20-45微米范围的公共宽度。具有气体分布孔246的气体分布组件242的表面249基本上是平面的,且与图2A的衬底210平行。然而,其它实施例使用其它的表面形式以及孔和沟道的形状和尺寸。孔的分布和尺寸还会影响沉积厚度,由此用于辅助厚度控制。孔246经由气体分布沟道248耦合至气体入口244。虽然ALD系统200非常适合于实施本主题,但可使用商业上可获得的其它ALD系统。
半导体制备领域的普通技术人员理解用于沉积材料层的反应室的使用、结构和基本操作。在没有进行不适当实验的前提下,在多种这种反应室上可以实施本主题的实施例。此外,一旦阅读了该公开,本领域普通技术人员将领会半导体制备领域的必要检测、测量和控制技术。
ALD系统200的元件可以由计算机控制。为了集中到本主题各种实施例中的ALD系统200的使用上,没有示出计算机。本领域技术人员可以意识到,单独的元件如在ALD系统200内的压力控制、温度控制和气体流可以在计算机控制之下。在一个实施例中,计算机执行存储在计算机可读媒质中的指令,以精确地控制ALD系统200的元件的集成功能以形成电介质层。
图3示出了通过原子层沉积处理电介质层的方法实施例的元件的流程图。该实施例包括在方块310,通过原子层沉积在衬底上形成金属层,和在方块320,通过原子层沉积在该金属层上形成该金属的氧化物层。在一个实施例中,通过进行至多十个周期的金属顺序形成金属层。在一个实施例中,通过进行四个周期至十个周期的金属顺序形成金属层。选择用于进行金属氧化物顺序的周期数以提供所希望厚度的金属氧化物。
在一个实施例中,通过原子层沉积在衬底上形成铪层。利用硝酸铪前体在衬底上沉积铪。在一个实施例中,利用硝酸铪前体进行至多十个周期。在衬底上完成形成铪之后,进行氧化铪顺序的预定数目的周期。在形成用于氧化铪顺序的预定数目周期的完结时,在衬底上产生了氧化铪层。利用形成铪层之后是形成氧化铪层所进行的工艺,制备了Hf/HfO2纳米叠层。然而,在HfO2形成期间朝着硅衬底扩散的氧与铪层互相作用,防止形成氧化硅界面区。
进行每个原子层沉积包括在预定时间段将一个或多个前体脉冲输送到反应室中。单独地控制预定时间段用于脉冲输送每个前体到反应室中。而且对于每个脉冲输送的前体,衬底保持在所选的温度处,对于脉冲输送每个前体独立地设置所选的温度。另外,在分离的环境条件下,可将每个前体脉冲输送到反应。依赖前体的性质保持合适的温度和压力,不管前体是单个前体还是前体的混合物。
利用原子层沉积,在每个脉冲输送前体之后,通过用净化气体清除反应室,来分离前体气体的脉冲输送。在一个实施例中,使用氮气体作为在周期中使用的每个前体的脉冲输送以形成氧化铪层之后的净化气体。另外,还可通过抽空反应室净化反应室。
图4示出了通过原子层沉积处理包含氧化铪的电介质层的方法实施例的元件的流程图。可用图2A、B的原子层沉积系统200实施该实施例。
在方块405,准备衬底210。用于形成晶体管所使用的衬底一般是硅或包含硅的材料。在其它实施例中,可使用锗、砷化锗、蓝宝石衬底上的硅或其它合适的衬底。该制备工艺可包括清洗衬底210,和在形成栅电介质之前,形成衬底的层和区,如金属氧化物半导体(MOS)晶体管的漏极和源极。在一个实施例中,清洗衬底,以提供其原生氧化物耗尽的初始衬底。在一个实施例中,清洗初始衬底还提供了氢终接(hydrogen-terminated)的表面。在一个实施例中,在ALD处理之前对硅衬底进行最后的HF漂洗,以提供具有氢终接表面的硅衬底,而没有原生的氧化硅层。
被处理的晶体管区域的形成顺序之后是如本领域技术人员公知的在制备MOS晶体管时通常进行的一般顺序。在处理中包括的是在栅电介质形成期间被保护的衬底区域的掩模,如同MOS制备中通常进行的。在该实施例中,无掩模区域可包括晶体管的体区域,然而本领域技术人员将认识到,其它的半导体器件结构可利用这种工艺。另外,将准备好处理形式的衬底210运送到用于ALD处理的反应室220中的位置中。
在方块410,将包含前体的铪用脉冲输送到反应室220中。在一个实施例中,使用无水的硝酸铪Hf(NO3)4作为前体。经由衬底210上的气体分布固定装置240,将Hf(NO3)4前体脉冲输送到反应室220中。大流量控制器256调节来自气体源251的Hf(NO3)4流。在一个实施例中,将衬底温度保持在约180℃。在其它实施例中,将衬底温度保持在约300℃和约500℃之间。在由衬底210的无掩模区域所限定的期望区域中,Hf(NO3)4与衬底210的表面反应。
在其它实施例中,包含前体的铪包括四氯化铪前体。虽然沉积的优良均匀性和开始被提供有四氯化铪前体,但由于不容易获得具有四氯化物种类的Si-H键的取代,所以使用多个ALD周期来制造氢终接硅表面的总覆盖。与氢终接的硅上的沉积开始有关的这种困难会引起表面粗糙度和沉积不一致性。而且,金属四氯化物还具有结合层中的微量氯的趋势,其会引起稳定性和可靠性问题。
无水的硝酸铪允许更好地控制氢终接硅上的沉积开始,其有助于优化界面性质和提高晶体管中的载流子迁移率。这些前体没有留下碳氢化合物或卤素杂质,且容易去除氮氧化物副产物。
在方块415,将第一净化气体脉冲输送到反应室220中。在一个实施例中,使用纯度约为99.999%的氮气作为净化气体。大流量控制器266将来自净化气体源261的氮流调节到气体管道270中。利用纯氮净化避免了前体脉冲与可能的气相反应交叠。净化之后,完成了铪金属顺序的周期。然后,在方块420,进行关于是否进行了所希望的铪金属周期数的确认。在方块410,如果没有完成铪金属顺序的所希望数目的周期,则该工艺返回将包含前体的铪脉冲输送到衬底上。如果完成了铪金属顺序的所希望数目的周期,则工艺移动到在铪层上形成氧化铪。
在一个实施例中,用于铪金属顺序的所希望数目的周期是形成跨过衬底的铪金属层的周期数,提供几埃厚度。在一个实施例中,进行约四至十个周期提供了几埃厚度。在另一实施例中,进行约十个周期提供了铪金属厚度。在一个实施例中,用于铪顺序的每个周期包括脉冲输送硝酸铪约0.6s,以及脉冲输送净化气体约0.6s。在形成氧化铪之前,在衬底上形成铪金属层防止氧在随后的氧化铪的ALD形成期间扩散到硅表面。由于氧化铪通过ALD形成,所以朝着硅衬底扩散的氧与铪金属层互相反应,在一个实施例中,铪层基本上变成了氧化铪。
在方块430,在衬底210上完成了形成铪金属层之后,将包含前体的第二铪脉冲输送到衬底210上。在一个实施例中,使用无水的硝酸铪Hf(NO3)4作为前体。经由衬底210上的气体分布固定装置240,将Hf(NO3)4前体脉冲输送到反应室220中。大流量控制器257调节来自气体源252的Hf(NO3)4流。在一个实施例中,将衬底温度保持在约180℃。在其它实施例中,将衬底温度保持在约300℃和约500℃之间。Hf(NO3)4与衬底210的表面反应,在由衬底210的无掩模区域限定的所希望的区域中形成了在衬底210表面上的铪金属层。
在脉冲输送包含前体的铪之后,在方块435,脉冲输送第二净化气体。在一个实施例中,使用氮作为第二净化气体。经由大流量控制器287利用真空泵282排尽反应室220以及经由大流量控制器286通过真空泵281排尽气体管道270以及利用净化气体,从该系统去除多余的前体气体和反应副产物。
在脉冲输送第二净化气体之后,在方块440,将包含前体的氧脉冲输送到反应室220中。在一个实施例中,包含前体的氧是水蒸汽。经由衬底210上的气体分布固定装置240将H2O蒸汽前体脉冲输送到反应室220中。大流量控制器258调节来自气体源253的H2O蒸汽流。在一个实施例中,将衬底温度保持在约180℃。在另一实施例中,将衬底温度保持在约300℃和约500℃之间。水蒸汽在衬底210的当前表面处侵蚀性地反应。
在方块445,将第三净化气体引入到该系统中。还可使用氮作为净化和携带气体。通过大流量控制器267将氮流从净化气体源262控制到气体管道270中和随后的反应室220中。在另一实施例中,可使用氩气体作为净化气体。
在Hf(NO3)4/水蒸汽顺序期间,通过加热元件230将衬底保持在约180℃。脉冲输送Hf(NO3)4约0.6s。在Hf(NO3)4脉冲输送之后,氧化铪顺序继续净化脉冲输送之后是水蒸汽脉冲输送,再之后是净化脉冲输送。在一个实施例中,铪/氧顺序包括0.6s的Hf(NO3)4脉冲输送、0.6s氮脉冲输送、0.6s水蒸汽脉冲输送和0.6s氮脉冲输送。其它实施例包括用于前体的范围从约0.2s至几秒的脉冲周期和用于净化气体的范围从约0.5s至约20s的脉冲周期。
在方块450,进行关于是否进行了所希望周期数的确认,即,完成的周期数是否等于预定数。预定数对应于用于ALD氧化铪电介质层的预定厚度。如果完成的周期数小于预定数,则在方块430,将包含前体的第二铪脉冲输送到反应室220中,且该工艺继续进行。如果已完成了形成所希望厚度的总周期数,则可对包含氧化铪的电介质层进行退火以去除残留的任何一氧化二氮。为了避免氧在对半导体衬底表面进行退火期间的扩散,可在无氧环境中进行任意退火至一个短的时间段。退火环境的实施例可包括氮气气氛。除了避免氧扩散到半导体衬底,由氧化铪电介质层的原子层沉积所使用的较低温度允许形成非晶的氧化铪电介质层。
通过用于脉冲输送周期和所使用的前体的固定生长率来确定氧化铪层的厚度,固定生长率设置为如N nm/周期的值。对于所希望的氧化铪层厚度t,在如形成MOS晶体管的栅电介质的应用中,重复ALD工艺为t/N个总周期。一旦完成了t/N个周期,则不再进一步进行用于氧化铪的ALD处理。在一个实施例中,ALD处理提供了包含具有介电常数范围为约8至约25的氧化铪的电介质层的设计。
在方块455,在形成氧化铪之后,完成了具有包含氧化铪的电介质层的器件的处理。在一个实施例中,完成该器件包括完成晶体管的形成。在另一实施例中,完成该器件包括完成电容器的形成。可选地,完成该工艺包括完成具有由包含原子层沉积氧化铪的栅电介质形成的存取晶体管阵列的存储器件的结构。在另一实施例中,完成该工艺包括电子系统的形成,该电子系统包括使用电子器件的信息处理器件,该电子器件具有形成有包含原子层沉积氧化铪的电介质层的晶体管。一般,信息处理器件如计算机包括具有许多存取晶体管的许多存储器件。
一旦阅读和领会了本公开,本领域技术人员就会意识到,在对于给定的应用依赖于将要形成的氧化铪层和用于制备氧化铪层的系统的各种其它环境条件和脉冲周期下,可在图4的实施例中进行原子层沉积氧化铪层的形成方法的元件。在没有进行不适当实验的前提下,可进行环境条件、所使用的前体、所使用的净化气体和用于前体和净化气体的脉冲周期的确定。
可在计算机控制下,在原子层沉积系统如ALD系统200中处理氧化铪电介质层的原子层沉积以进行各种实施例,且在计算机可执行的指令下工作以进行这些实施例。在一个实施例中,用于形成电介质层方法的计算机化方法和计算机可执行指令,包括通过原子层沉积形成金属层,以及通过原子层沉积在该金属层上形成该金属的氧化物层。在另一实施例中,用于形成电介质层方法的计算机化方法和计算机可执行指令,包括通过原子层沉积在衬底表面上通过原子层沉积来沉积铪层,以及通过原子层沉积在该铪金属层上形成氧化铪层。
在一个实施例中,用于形成电介质层方法的计算机化方法和计算机可执行指令进一步包括通过原子层沉积形成氧化铪电介质层,其中在预定周期将每个前体脉冲输送到反应室中。对于脉冲输送到反应室中的每个前体,单独地控制预定周期。而且,对于每个脉冲输送的前体,可将衬底保持在所选温度,而对于脉冲输送每个前体,独立地设置所选温度。另外,在每个脉冲输送前体之后是用净化气体净化反应室。
在一个实施例中,用于形成电介质层方法的计算机化方法和计算机可执行指令,可包括调节铪和氧化铪的沉积以形成具有介电常数在约8至约25范围内的电介质层。
在一个实施例中,用于形成电介质层方法的计算机化方法和计算机可执行指令包括控制反应室的环境。另外,该计算机化方法控制净化气体的脉冲输送,一个用于每个前体气体,和在脉冲输送相关的前体气体之后脉冲输送每个净化气体。利用计算机控制用于生长电介质层的参数,提供了用于在参数的宽范围上处理的电介质层,该参数允许确定为所使用的ALD系统设置的最佳参数。计算机可执行指令可提供在任意的计算机可读媒质中。这种计算机可读媒质可包括,但不局限于软盘、磁盘、硬盘、CD-ROM、快闪ROM、非易失性ROM和RAM。
可利用图2A的ALD系统200实现这种方法的实施例,其中用于ALD系统200的单独元件的控制耦合至计算机,未示于图2A中。通过调节反应室220中的前体气体流,计算机提供了用于处理氧化铪电介质层的操作控制。通过控制大流量控制器256-259,计算机控制前体气体的流速和用于这些气体的脉冲输送周期。另外,计算机控制气体源251-254的温度。而且,分别通过大流量控制器266、267的计算机控制,来调节来自净化气体源261、262的净化气体的脉冲周期和流量。
计算机还调节反应室220的环境,其中电介质层形成在衬底210上。通过分别经由大流量控制器286、287控制真空泵281、282,计算机调节在预定压力范围内的反应室220中的压力。通过控制加热器230,计算机还调节了在预定范围内的衬底210的温度范围。
为了方便起见,在图2A中未示出到ALD 200的元件的单独控制线以及计算机。结合图2A的计算机控制的以上描述提供了本领域技术人员实施用于利用如此所述的计算机化方法形成包含氧化铪的电介质层的实施例的信息。
在此描述的实施例提供了用于生长具有与介电常数范围从约8至约25有关的有用的等效氧化物厚度teq的宽范围的电介质层的工艺。根据本主题的实施例的teq范围被示于下面:
用于氧化铪材料层的相对大的介电常数允许设计具有10nm(100
Figure C20048001212800252
)范围内物理厚度的电介质层,而获得小于5nm(50)的teq。从上所述,明显的是,可获得具有teq范围从约1.5至约12
Figure C20048001212800255
的包含氧化铪的层。而且,原子层沉积的氧化铪层可提供显著小于2或3
Figure C20048001212800256
甚至小于1.5
Figure C20048001212800257
的teq
获得的单层厚度范围中的teq与半导体衬底表面和氧化铪电介质层之间的界面层有关,该界面层格外小或由具有介电常数接近氧化铪值的材料组成。应当避免形成SiO2界面层。因此,在ALD工艺的第一顺序的第一脉冲之前,半导体衬底表面的准备应当包括去除存在的所有SiO2层,以及防止在ALD工艺开始之前形成SiO2。而且,通过ALD的金属层的初始形成,如在用于氧化铪电介质层的实施例中形成氧化铪,有助于减小或消除SiO2的界面层。在形成氧化铪之前在衬底上形成铪金属层防止了氧在随后的ALD形成氧化铪期间扩散到硅表面。由于氧化铪通过ALD形成,所以朝着硅衬底扩散的氧与铪金属层相互反应。在一个实施例中,铪层基本上变成了氧化铪。
在与硅衬底的界面处的铪金属会形成硅化铪,作为硅衬底和氧化铪层之间的界面层。该硅化铪界面层虽然小但减小了用于设置在硅衬底上的电介质层的有效介电常数。然而,硅化铪的介电常数约为8,其比二氧化硅电介质层高很多。依赖于硅化铪的形成和该界面区的厚度,通过原子层沉积铪金属,之后是原子层沉积氧化铪所形成的氧化铪的介电常数范围为约8至约25。
与氧化铪薄层有关的任一微粗糙度是由于整个衬底表面的电介质层的部分单层形成引起的。具有在两个或三个周期形成单层的电介质层的一些区域,当层的另一面积或区域在一个或两个周期中形成单层时,氧化铪电介质层的表面会显示出一些微粗糙度。预期使用硝酸铪前体有助于提供整个衬底表面的均匀分布,以帮助减缓出现电介质层的这种微粗糙度。如本领域技术人员可以理解的,在没有进行不适当实验的前提下,在用于处理给定应用的氧化铪电介质层的ALD系统的正常初始测试期间,可确定用于提供具有减小或基本上消除微粗糙度的氧化铪电介质层的特定生长率和处理条件。
而且,通过原子层沉积形成的氧化铪的电介质层不仅可提供超薄的teq层,而且可提供具有相对低的漏电流的层。除了使用ALD精确地提供具有设计的介电常数、良好的击穿电场性质和相对低漏电流的所设计的层厚度之外,ALD处理提供了在所选衬底表面上提供共形层的电介质层。
使用用于进行原子层沉积的氧化铪如上所述工艺的实施例来精确地控制形成的电介质层的厚度,除了提供超薄的teq之外,原子层沉积工艺提供了相对平滑的表面和限制的界面层形成。另外,可实施用于电介质层如氧化铪电介质层的ALD处理的这些实施例,来形成晶体管、电容器、存储器件和包括电光器件、微波器件和信息处理器件的其它电子系统。利用氧化铪层的仔细准备和设计,限制了界面区的尺寸,预期了约5
Figure C20048001212800261
至约3
Figure C20048001212800262
或更低的teq用于这些器件。
在硅基衬底110中可通过形成源区120和漏区130形成如图1描述的晶体管100,其中源区和漏区120、130通过体区域132隔开。体区域132限定了具有沟道长度134的沟道。电介质层设置在衬底110上,通过原子层沉积在衬底110上形成为包含氧化铪的层。得到的氧化铪电介质层形成栅电介质层140。
在栅电介质140上方形成栅极150。一般,虽然可以以可选的工艺形成金属栅极,但形成栅极150可包括形成多晶硅层。利用本领域技术人员公知的标准工艺进行形成衬底、源区和漏区以及栅极。另外,用如本领域技术人员也公知的标准制备工艺进行用于形成晶体管的各种元件的顺序。
可将在各种实施例中形成原子层沉积的氧化铪的方法应用到具有电介质层的其它晶体管结构上。图5示出了具有原子层沉积的氧化铪电介质层的晶体管500结构的实施例。晶体管500包括具有由体区域532隔开的源区520和漏区530的硅基衬底510。在源区520和漏区530之间的体区域532限定了具有沟道长度534的沟道区。位于体区域532上方的是包括栅电介质540、浮置栅552、浮置栅电介质542和控制栅550的叠层555。伴随利用本领域技术人员公知的工艺形成的晶体管500的剩余元件,可以形成包含上述原子层沉积的氧化铪的栅电介质540。可选地,在这里描述的各种实施例中,栅电介质540和浮置栅极电介质542可形成为包含氧化铪的电介质层。
还可将形成氧化铪电介质层的方法的实施例应用到形成各种集成电路、存储器件和电子系统中的电容器。在形成电容器的实施例中,一种方法包括形成第一导电层、通过原子层沉积在第一导电层上形成包含氧化铪的电介质层和在电介质层上形成第二导电层。氧化铪电介质层的ALD形成允许在提供所希望的介电常数的预定组成内被设计的电介质。
可将具有电介质层如利用在此描述的方法通过原子层沉积形成的氧化铪的电介质层的晶体管、电容器和其它器件实施到存储器件和包括信息处理器件的电子系统。这种信息器件可包括无线系统、通信系统和计算机。将具有电介质层如利用在此描述的方法通过原子层沉积形成的氧化铪电介质层的计算机的实施例示于图6-8中,且在下面进行描述。虽然在下面示出了特定类型的存储器件和计算机器件,但本领域技术人员将认识到,包括信息处理器件的多种类型的存储器件和电子系统可利用本主题。
如图6和7所示的个人计算机600可包括监控器601、键盘输入602和中央处理单元604。中央处理单元604一般可包括微处理器706、具有多个存储槽712(a-n)的存储总线电路708和其它外围电路710。外围电路710允许各种外围器件724与输入/输出(I/O)总线722上方的处理器-存储总线720接口连接。图6和7所示的个人计算机还包括具有如利用根据本主题实施例在此描述的方法通过原子层沉积形成的电介质层的至少一个晶体管,该电介质层例如是氧化铪电介质层。
微处理器706产生了控制和地址信号,以控制存储总线电路708和微处理器706之间以及存储总线电路708和外围电路710之间的数据交换。在高速度存储总线720上和高速度I/O总线722上完成了数据的这种交换。
耦合至存储总线720的是多个存储槽712(a-n),其接收本领域技术人员公知的存储器件。例如,可在实施本主题的实施例中使用单直插(in-line)的存储器模块(SIMM)和双直插的存储器模块(DIMM)。
可以以提供读取和写入存储槽712的动态存储单元的不同方法的各种设计来制造这些存储器件。一种这样的方法是页模式操作。通过存取存储单元阵列的行和任意存取阵列的不同列的方法来限定DRAM中的页模式操作。可读取和输出存储在该行和列的交点处的数据,同时存取该列。页模式DRAM使用存取步骤,其限制了存储电路708的通信速度。
可选类型的器件是扩展数据输出(EDO)存储器,其允许在存储器阵列地址存储的数据在该被寻址的列关闭后作为输出有效。在没有减小其中在存储总线720上可获得存储器输出数据的时间的情况下,通过允许短的存取信号,该存储器可提高一定程度的通信速度。其它可选类型的器件包括SDRAM、DDR SDRAM、SLDRAM和直接RDRAM以及其它如SRAM或快闪存储器。
图8示出了具有根据在此描述的实施例形成的原子层沉积的电介质层的DRAM存储器件800的实施例的示意图。说明性的DRAM存储器件800适合于存储槽712(a-n)。为了说明DRAM存储器件,已简化了DRAM存储器件800的描述,且不意图对DRAM的所有部件进行完整描述。本领域技术人员将认识到,可在实施本主题的实施例中使用很多种存储器件。图8中示出的DRAM存储器件的实施例包括具有电介质层的至少一个晶体管,该电介质层例如是利用根据本主题的教导在此描述的方法通过原子层沉积形成的氧化铪电介质层。
通过到DRAM 800的单独输入进一步表示在存储总线720上提供的控制、地址和数据信息,如图8所示。这些单独的表示由数据线802、地址线804和连接到控制逻辑806上的各种分立线表示。
如本领域技术人员公知的,DRAM 800包括存储器阵列810,其依次包括行和列的可寻址存储单元。行中的每个存储单元耦合至公共字线。字线耦合至各个晶体管的栅极,其中至少一个晶体管具有耦合至栅电介质的栅极,该栅电介质例如是通过根据如上描述的方法和结构的原子层沉积形成的氧化铪电介质层。另外,列中的每个存储单元耦合至公共位线。存储器阵列810中的每个单元可包括如本领域的常规存储电容器和存取晶体管。
DRAM 800通过地址线804和数据线802与例如微处理器706接口。可选地,DRAM 800可与DRAM控制器、微控制器、芯片集或其它的电子系统接口。微处理器706还提供了到DRAM 800的多个控制信号,包括但不限于行和列地址选通信号RAS和CAS、写使能信号WE、输出使能信号OE和其它常规的控制信号。
行地址缓冲器812和行解码器814接收和解码来自通过微处理器706在地址线804上提供的行地址信号的行地址。每个唯一的行地址都对应于存储器阵列810中的单元行。行解码器814可包括字线驱动器、地址解码器树、和翻译从行地址缓冲器812接收的给定行地址以及经由字线驱动器选择性地触发存储器阵列810的合适字线的电路。
列地址缓冲器816和列解码器818接收和解码提供在地址线804上的列地址信号。列解码器818还确定了列何时是损坏的和替换列的地址。列解码器818耦合至读出放大器820。读出放大器820耦合至存储器阵列810的位线的互补对。
读出放大器820耦合至数据输入缓冲器822和数据输出缓冲器824。输入缓冲器822和数据输出缓冲器824耦合至数据线802。在写操作期间,数据线802提供数据给数据输入缓冲器822。读出放大器820接收来自数据输入缓冲器822的数据,并且将该数据存储在存储器阵列810中,作为地址线804上指定的地址处的单元的电容器上的电荷。
在读操作期间,DRAM 800将数据从存储器阵列810转移到微处理器706。在预充电操作到由平衡电路和参考电压电源提供的参考电压期间,使存取单元的互补位线平衡。然后与相关的位线共用存储在存取单元中的电荷。多个读出放大器820中的读出放大器检测和放大了互补位线之间的电压差。读出放大器将放大了的电压传递给数据输出缓冲器824。
使用控制逻辑806来控制DRAM 800的多个可获得的功能。另外,在此没有描述的各种控制电路和信号初始化和同步本领域技术人员公知的DRAM 800操作。如上所述,为了说明本主题的实施例已简化了DRAM 800的描述,且不意图对DRAM所有部件进行完整描述。本领域技术人员将认识到,在实施本主题的实施例中可使用多种存储器件,包括但不限于SDRAM、SLDRAM、RDRAM和其它的DRAM和SRAM、VRAM和EEPROM。在此描述的DRAM实施仅是说明性的,且并不意指专有的或限制性的。
总结
电介质层,如利用在此描述的方法通过原子层沉积形成的氧化铪电介质层,产生了具有比利用SiO2可获得的等效氧化物厚度薄的可靠的电介质层。包含利用在此描述的方法形成的原子层沉积的氧化铪的电介质层是热力学稳定的,使得形成的电介质层在处理期间具有与硅衬底或其它结构的最小反应。
在相对低的处理温度下通过原子层沉积形成氧化铪层允许氧化铪层是非晶的,且共形地层叠在衬底表面上。而且,氧化铪电介质层的ALD形成相比于用非晶SiOx层获得的电介质和电性质提供了增强的电介质和电性质。包含原子层沉积的氧化铪层的这些特性的层允许应用为在许多电子器件和系统中的电介质层。
利用用于形成具有超薄等效氧化物厚度teq的电介质层的工艺的各种实施例,来构建电容器、晶体管、电光器件、高级的IC或器件和电子系统。栅电介质层或包含原子层沉积的氧化铪的层形成具有基本上比氧化硅高的介电常数,其中该电介质层是比10
Figure C20048001212800301
薄的、比SiO2栅电介质所希望的限度薄的teq。这些电介质层的较薄的teq允许比SiO2栅电介质高的电容,其提供了对于微电子器件和系统更有效的比例缩放。同时,原子层沉积的氧化铪电介质层的物理厚度比与SiO2的teq限度有关的SiO2厚度大很多。形成大的厚度有助于用于栅电介质和其它电介质层的制造工艺。而且,在通过原子层沉积允许的相对低的温度下处理的氧化铪层提供了具有相对低漏电流的非晶电介质层,用作电子器件和系统中的电介质层。
虽然在此已说明和描述了具体的实施例,但本领域普通技术人员将意识到,计划获得相同目的的任一结构可代替示出的具体实施例。这种应用意指覆盖本主题的任一修改或变形。要理解的是,上述描述指的是说明性的,且不起限定作用。一旦回顾了以上描述,以上实施例和其它实施例的组合对于本领域技术人员都将是显而易见的。本主题的范围包括其中使用了上述结构和制备方法的任一其它的应用。应当参考所附的权利要求与命题这种权利要求的等价物的全部范围一起来确定本主题的范围。

Claims (62)

1.一种电介质层的形成方法,包括:
通过原子层沉积在衬底上形成金属层;以及
通过另一个原子层沉积,在该金属层上形成金属氧化物,其中当形成所述金属氧化物时,氧扩散进入该金属层以使得该金属层实质上完全变成金属氧化物。
2.如权利要求1的方法,其中通过原子层沉积在衬底上形成金属层包括在形成金属氧化物之前进行预定数目的原子层沉积周期以在该衬底上沉积所述金属层。
3.如权利要求2的方法,其中进行预定数目的原子层沉积周期包括进行至多十个周期。
4.如权利要求2的方法,其中进行预定数目的原子层沉积周期包括进行范围为4至10个周期的多个周期。
5.一种电介质层的形成方法,包括:
通过原子层沉积在衬底上形成铪层;以及
通过另一个原子层沉积在该铪层上形成氧化铪层,其中当形成氧化铪时,氧扩散进入铪层以使得该铪层实质上完全变成氧化铪。
6.如权利要求5的方法,其中通过原子层沉积在衬底上形成铪层包括在该衬底上脉冲输送硝酸铪前体。
7.如权利要求5的方法,其中通过原子层沉积在衬底上形成铪层包括在该衬底上脉冲输送无水的硝酸铪前体。
8.如权利要求5的方法,其中通过原子层沉积在衬底上形成铪层包括进行至多十个原子层沉积周期以在该衬底上沉积铪层。
9.如权利要求5的方法,其中通过原子层沉积在衬底上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该衬底上沉积铪层。
10.如权利要求5的方法,其中通过另一个原子层沉积在铪层上形成氧化铪层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
11.如权利要求5的方法,其中该方法进一步包括在形成铪层和形成氧化铪层期间,将该衬底保持在约180℃。
12.一种电容器的形成方法,包括:
在衬底上形成第一导电层;
通过原子层沉积在该第一导电层上形成金属层;
通过另一个原子层沉积在该金属层上形成金属氧化物层,其中当形成所述金属氧化物时,氧扩散进入该金属层以使得该金属层实质上完全变成金属氧化物;以及
在该金属的氧化物层上形成第二导电层。
13.如权利要求12的方法,其中通过原子层沉积在第一导电层上形成金属层包括进行至多十个原子层沉积周期以沉积该金属层。
14.如权利要求12的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
15.如权利要求12的方法,其中通过原子层沉积在第一导电层上形成金属层包括通过原子层沉积在该第一导电层上形成铪层。
16.如权利要求15的方法,其中通过原子层沉积在第一导电层上形成铪层包括脉冲输送硝酸铪前体。
17.如权利要求15的方法,其中通过原子层沉积在第一导电层上形成铪层包括进行至多十个周期的原子层沉积周期以沉积该铪层。
18.如权利要求15的方法,其中通过原子层沉积在第一导电层上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以沉积该铪层。
19.如权利要求15的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
20.如权利要求15的方法,其中该方法进一步包括在形成铪层和形成氧化铪层期间,将该衬底保持在约180℃。
21.一种晶体管的形成方法,包括:
在衬底中形成源区和漏区,该源区和漏区由体区域隔开;
通过原子层沉积在该源区和漏区之间的体区域上形成电介质层;和
将栅极耦合至该电介质层上,其中形成该电介质层包括:
通过原子层沉积在该体区域上形成金属层;以及
通过另一个原子层沉积在该金属层上形成金属氧化物层,其中当形成所述金属氧化物时,氧扩散进入金属层以使得该金属层实质上完全变成金属氧化物。
22.如权利要求21的方法,其中通过原子层沉积在体区域上形成金属层包括进行至多十个原子层沉积周期以在该体区域上沉积该金属层。
23.如权利要求21的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
24.如权利要求21的方法,其中通过原子层沉积在体区域上形成金属层包括通过原子层沉积在该体区域上形成铪层。
25.如权利要求24的方法,其中通过原子层沉积在体区域上形成铪层包括脉冲输送硝酸铪前体。
26.如权利要求24的方法,其中通过另一个原子层沉积在体区域上形成铪层包括进行至多十个原子层沉积周期以在该体区域上沉积该铪层。
27.如权利要求24的方法,其中通过原子层沉积在体区域上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该体区域上沉积该铪层。
28.如权利要求24的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
29.如权利要求24的方法,其中该方法进一步包括在形成铪层和形成氧化铪层期间,将该衬底保持在约180℃。
30.一种存储器的形成方法,包括:
形成多个存取晶体管,形成至少一个存取晶体管包括在源区和漏区之间的体区域上形成电介质层;
形成耦合至该多个存取晶体管的多条字线;
形成耦合至该多个存取晶体管的多条位线,其中形成该电介质层包括:
通过原子层沉积在该体区域上形成金属层;以及
通过另一个原子层沉积在该金属层上形成金属氧化物层,其中当形成所述金属氧化物时,氧扩散进入该金属层以使得该金属层实质上完全变成金属氧化物。
31.如权利要求30的方法,其中通过原子层沉积在体区域上形成金属层包括进行至多十个原子层沉积周期以在该体区域上沉积该金属层。
32.如权利要求30的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
33.如权利要求30的方法,其中通过原子层沉积在体区域上形成金属层包括通过原子层沉积在该体区域上形成铪层。
34.如权利要求33的方法,其中通过原子层沉积在体区域上形成铪层包括脉冲输送硝酸铪前体。
35.如权利要求33的方法,其中通过原子层沉积在体区域上形成铪层包括进行至多十个原子层沉积周期以在该体区域上沉积该铪层。
36.如权利要求33的方法,其中通过原子层沉积在体区域上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该体区域上沉积该铪层。
37.如权利要求33的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期,以形成氧化铪层。
38.如权利要求33的方法,其中该方法进一步包括在形成铪层和形成氧化铪层期间,将该衬底保持在约180℃。
39.一种电子系统的形成方法,包括:
提供处理器;
将存储器耦合至该处理器,其中该存储器通过如下方法形成,该方法包括:
形成多个存取晶体管,形成至少一个存取晶体管包括在源区和漏区之间的体区域上形成电介质层;
形成耦合至该多个存取晶体管的多条字线;
形成耦合至该多个存取晶体管的多条位线,其中形成该电介质层包括:
通过原子层沉积在该体区域上形成金属层;以及
通过另一个原子层沉积在该金属层上形成金属氧化物层,其中当形成所述金属氧化物时,氧扩散进入该金属层,以使该金属层实质上完全变成金属氧化物。
40.如权利要求39的方法,其中通过原子层沉积在体区域上形成金属层包括进行至多十个原子层沉积周期以在该体区域上沉积该金属层。
41.如权利要求39的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
42.如权利要求39的方法,其中通过原子层沉积在体区域上形成金属层包括通过原子层沉积在该体区域上形成铪层。
43.如权利要求42的方法,其中通过原子层沉积在体区域上形成铪层包括脉冲输送硝酸铪前体。
44.如权利要求42的方法,其中通过原子层沉积在体区域上形成铪层包括进行至多十个原子层沉积周期以在该体区域上沉积该铪层。
45.如权利要求42的方法,其中通过原子层沉积在体区域上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该体区域上沉积该铪层。
46.如权利要求42的方法,其中通过另一个原子层沉积在金属层上形成金属氧化物层包括使用无水的硝酸铪前体和水蒸汽前体进行一个原子层沉积周期。
47.如权利要求42的方法,其中该方法进一步包括在形成该铪层期间,将该衬底保持在约180℃。
48.一种电容器,包括:
硅衬底上的第一导电层;
设置在该第一导电层上的电介质层,且在该电介质层和第一导电层之间没有氧化硅界面区,该电介质层设置在硅衬底上,该电介质层具有铪氧化物,该铪氧化物构成一个或多个单层,该电介质层设置成在铪氧化物同第一导电层之间没有氧化硅区;和
设置在该电介质层上的第二导电层;
该铪氧化物通过如下方法形成,该方法包括:
通过原子层沉积在该第一导电层上形成铪层;以及
在利用硝酸铪前体有助于提供均匀分布,以帮助减缓微粗糙度的前提下,通过另一个原子层沉积在该铪层上形成铪氧化物层,其中当形成所述铪氧化物时,氧扩散进入该铪层以使得该铪层实质上完全变成铪氧化物。
49.如权利要求48的电容器,其中通过原子层沉积在第一导电层上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该衬底上沉积该铪层。
50.如权利要求48的电容器,其中电介质层具有范围约为8至约25的介电常数。
51.一种晶体管,包括:
在硅衬底上的源区和漏区之间的硅体区域;
设置在源区和漏区之间的该硅体区域上的电介质层,该电介质层设置在所述硅体区域上的同时在该电介质层和该硅体区域之间没有氧化硅界面区,该电介质层具有铪氧化物,该铪氧化物构成一个或多个单层,该电介质层设置成在铪氧化物同硅体区域之间没有氧化硅区;和
耦合至该电介质层上的栅极;
该电介质层通过如下方法形成,该方法包括:
通过原子层沉积在该硅体区域上形成铪层;以及
在利用硝酸铪前体有助于提供均匀分布,以帮助减缓微粗糙度的前提下,通过另一个原子层沉积在该铪层上形成铪氧化物层,其中当形成铪氧化物时,氧扩散进入铪层以使得该铪层实质上完全变成铪氧化物。
52.如权利要求51的晶体管,其中通过原子层沉积在硅体区域上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该衬底上沉积该铪层。
53.如权利要求51的晶体管,其中电介质层具有范围约为8至约25的介电常数。
54.如权利要求51的晶体管,其中该电介质层具有小于约10埃的等效氧化物厚度(teq)。
55.一种存储器,包括:
多个存取晶体管,至少一个存取晶体管包括耦合至电介质层的栅极,该电介质层设置在硅衬底上的源区和漏区之间的硅体区域上,该电介质层设置在所述硅体区域上的同时在该电介质层和该硅体区域之间没有氧化硅界面区,该电介质层具有铪氧化物,该铪氧化物构成一个或多个单层,该电介质层设置成在铪氧化物同硅体区域之间没有氧化硅区;
耦合至该多个存取晶体管的多条字线;和
耦合至该多个存取晶体管的多条位线,
该电介质层通过如下步骤的方法形成,包括:
通过原子层沉积在该硅体区域上形成铪层;以及
在利用硝酸铪前体有助于提供均匀分布,以帮助减缓微粗糙度的前提下,通过另一个原子层沉积在该铪层上形成铪氧化物层,其中当形成所述铪氧化物时,氧扩散进入铪层以使得该铪层实质上完全变成铪氧化物。
56.如权利要求55的存储器,其中通过原子层沉积在体区域上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该衬底上沉积该铪层。
57.如权利要求55的存储器,其中电介质层具有范围约为8至约25的介电常数。
58.如权利要求55的存储器,其中电介质层具有小于约10埃的等效氧化物厚度(teq)。
59.一种电子系统,包括:
处理器;
系统总线;和
通过该系统总线耦合至该处理器的存储器,该存储器包括:
多个存取晶体管,至少一个存取晶体管包括耦合至电介质层的栅极,该电介质层设置在硅衬底上的源区和漏区之间的硅体区域上,该电介质层设置在所述硅体区域上的同时在该电介质层和该硅体区域之间没有氧化硅界面区,该电介质层具有铪氧化物,该铪氧化物构成一个或多个单层,该电介质层设置成在铪氧化物同硅体区域之间没有氧化硅区;
耦合至该多个存取晶体管的多条字线;和
耦合至该多个存取晶体管的多条位线;
该电介质层通过包括如下步骤的方法形成:
通过原子层沉积在硅体区域上形成铪层;以及
在利用硝酸铪前体有助于提供均匀分布,以帮助减缓微粗糙度的前提下,通过另一个原子层沉积在该铪层上形成铪氧化物层,其中当形成所述铪氧化物时,氧扩散进入铪层以使得该铪层实质上完全变成铪氧化物。
60.如权利要求59的电子系统,其中通过原子层沉积在体区域上形成铪层包括进行范围从4至10个周期的多个原子层沉积周期,以在该衬底上沉积该铪层。
61.如权利要求59的电子系统,其中电介质层具有范围约为8至约25的介电常数。
62.如权利要求59的电子系统,其中电介质层具有小于约10埃的等效氧化物厚度(teq)。
CNB2004800121284A 2003-03-04 2004-03-04 原子层沉积的电介质层 Expired - Fee Related CN100530562C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/379,470 US7192892B2 (en) 2003-03-04 2003-03-04 Atomic layer deposited dielectric layers
US10/379,470 2003-03-04

Publications (2)

Publication Number Publication Date
CN1784773A CN1784773A (zh) 2006-06-07
CN100530562C true CN100530562C (zh) 2009-08-19

Family

ID=32926684

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800121284A Expired - Fee Related CN100530562C (zh) 2003-03-04 2004-03-04 原子层沉积的电介质层

Country Status (6)

Country Link
US (2) US7192892B2 (zh)
EP (1) EP1599899A2 (zh)
JP (1) JP2006523952A (zh)
KR (1) KR100738731B1 (zh)
CN (1) CN100530562C (zh)
WO (1) WO2004079796A2 (zh)

Families Citing this family (428)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7371778B2 (en) * 2002-06-21 2008-05-13 Medicines For Malaria Venture Mmv Spiro and dispiro 1,2,4-trioxolane antimalarials
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040168627A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
KR100505679B1 (ko) * 2003-03-19 2005-08-03 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
JP3920235B2 (ja) * 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6970053B2 (en) * 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7071122B2 (en) * 2003-12-10 2006-07-04 International Business Machines Corporation Field effect transistor with etched-back gate dielectric
US7312165B2 (en) * 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US6852588B1 (en) * 2004-06-30 2005-02-08 Freescale Semiconductor, Inc. Methods of fabricating semiconductor structures comprising epitaxial Hf3Si2 layers
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20060030419A1 (en) * 2004-08-05 2006-02-09 Rbm Ltd. Golf putters and a golf putting trainning aid
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) * 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US8071476B2 (en) 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US20070045752A1 (en) * 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
JP2007081265A (ja) * 2005-09-16 2007-03-29 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7521376B2 (en) * 2005-10-26 2009-04-21 International Business Machines Corporation Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment
TW200720499A (en) * 2005-11-24 2007-06-01 Univ Nat Tsing Hua Manufacturing method of substrate used for forming MOSFET device and products thereof
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7985995B2 (en) * 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8076200B2 (en) 2006-10-30 2011-12-13 Micron Technology, Inc. Charge trapping dielectric structures with variable band-gaps
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8367506B2 (en) 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
EP2191034B1 (en) * 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
US8314446B2 (en) * 2007-10-11 2012-11-20 Wavefront Holdings, Llc Photo-detector array, semiconductor image intensifier and methods of making and using the same
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
JP5086283B2 (ja) * 2008-02-15 2012-11-28 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
US20110052810A1 (en) * 2008-02-19 2011-03-03 Tokyo Electron Limited Film forming method and storage medium
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010083263A1 (en) 2009-01-15 2010-07-22 Jie Yao Mesa heterojunction phototransistor and method for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7943457B2 (en) * 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP2011003620A (ja) * 2009-06-16 2011-01-06 Toyota Central R&D Labs Inc 電磁気素子用絶縁膜及び電界効果素子
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2691560B1 (en) 2011-03-26 2017-08-16 Honda Motor Co., Ltd. Method for the production of carbon nanotubes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9087785B2 (en) * 2011-10-28 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating oxides/semiconductor interfaces
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
CN103681269B (zh) 2012-09-03 2016-06-29 中芯国际集成电路制造(上海)有限公司 选择性形成高k介质层的方法
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
EP2843696A1 (en) * 2013-08-27 2015-03-04 IMEC vzw A method for dopant implantation of FinFET structures
CN103451611B (zh) * 2013-08-29 2016-03-23 电子科技大学 适用于栅介质层的低漏电流HfO2薄膜的制备方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9269785B2 (en) 2014-01-27 2016-02-23 Globalfoundries Inc. Semiconductor device with ferroelectric hafnium oxide and method for forming semiconductor device
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI656625B (zh) * 2017-11-14 2019-04-11 長庚大學 Floating gate memory
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10781520B2 (en) 2017-12-04 2020-09-22 Laurie Johansen Metallic sheet with deposited structured images and method of manufacture
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US54165A (en) * 1866-04-24 James p
US87134A (en) * 1869-02-23 Improvement in apparatus for measuring cloth
US37563A (en) * 1863-02-03 Giovaimrcaselli
US34662A (en) * 1862-03-11 Improvement in machines for grading and excavating
US175882A (en) * 1876-04-11 Improvement in heel filing and buffing machines
US23461A (en) * 1859-04-05 Mechanism fob obtaining rotary motion from reciprocating- rectilinear
US123456A (en) * 1872-02-06 Improvement in blackboards
US7171A (en) * 1850-03-12 Improvement in the interior arrangement of steam-boilers
US111001A (en) * 1871-01-17 lotjis eajbckb
US227033A (en) * 1880-04-27 Peters
US2501563A (en) 1946-02-20 1950-03-21 Libbey Owens Ford Glass Co Method of forming strongly adherent metallic compound films by glow discharge
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US3357961A (en) 1965-05-24 1967-12-12 Exxon Research Engineering Co Copolymers of ethylene and hexadiene 1, 5
US3478230A (en) 1967-04-17 1969-11-11 United Aircraft Corp Thermomagnetic generation of power in a superconductor
US3506438A (en) 1967-07-24 1970-04-14 Mallory & Co Inc P R Method of producing beryllium composites by liquid phase sintering
US3738817A (en) 1968-03-01 1973-06-12 Int Nickel Co Wrought dispersion strengthened metals by powder metallurgy
CA962021A (en) 1970-05-21 1975-02-04 Robert W. Gore Porous products and process therefor
US3962153A (en) 1970-05-21 1976-06-08 W. L. Gore & Associates, Inc. Very highly stretched polytetrafluoroethylene and process therefor
US3816673A (en) 1971-01-25 1974-06-11 Kokusai Denshin Denwa Co Ltd Coaxial cable including at least one repeater
US3676718A (en) 1971-03-26 1972-07-11 Bell Telephone Labor Inc Supercurrent structures utilizing mobil flux vortices
US3833386A (en) 1972-07-07 1974-09-03 Grace W R & Co Method of prepairing porous ceramic structures by firing a polyurethane foam that is impregnated with inorganic material
US3926568A (en) 1972-10-30 1975-12-16 Int Nickel Co High strength corrosion resistant nickel-base alloy
US3959191A (en) 1973-01-11 1976-05-25 W. R. Grace & Co. Novel hydrophobic polyurethane foams
US4096227A (en) 1973-07-03 1978-06-20 W. L. Gore & Associates, Inc. Process for producing filled porous PTFE products
US3903232A (en) 1973-10-09 1975-09-02 Grace W R & Co Dental and biomedical foams and method
US4137200A (en) 1973-10-09 1979-01-30 W. R. Grace & Co. Crosslinked hydrophilic foams and method
US4017322A (en) 1973-11-20 1977-04-12 Japan Inorganic Material Method for reinforcing aqueous hydraulic cement
GB1416006A (en) 1974-02-11 1975-12-03 Gruzinsk Nii Energetiki Foamed polymer semiconductor composition and a method of producing thereof
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4215156A (en) 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4308421A (en) 1978-01-18 1981-12-29 Virginia Plastics Company EMF Controlled multi-conductor cable
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4403083A (en) 1979-06-01 1983-09-06 W. R. Grace & Co. Preparation of solid polyurethane particles
US4293679A (en) 1979-06-13 1981-10-06 W. R. Grace & Co. Composition and method of controlling solid polyurethane particle size with water reactant
US4372032A (en) 1979-09-04 1983-02-08 The United States Of America As Represented By The Secretary Of The Navy Normally off InP field effect transistor making process
US4333808A (en) 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4368350A (en) 1980-02-29 1983-01-11 Andrew Corporation Corrugated coaxial cable
US4394673A (en) 1980-09-29 1983-07-19 International Business Machines Corporation Rare earth silicide Schottky barriers
GB2085166A (en) 1980-10-07 1982-04-21 Itt Ind Ltd Semiconductor gas sensor
US4358397A (en) 1980-10-29 1982-11-09 Mobil Oil Corporation Zeolite catalysts modified with group IV A metals
US4302620A (en) 1980-10-29 1981-11-24 Mobil Oil Corporation Reactions involving zeolite catalysts modified with group IV A metals
EP0089168B1 (en) 1982-03-15 1986-07-23 Kabushiki Kaisha Toshiba Optical type information recording medium
US4482516A (en) 1982-09-10 1984-11-13 W. L. Gore & Associates, Inc. Process for producing a high strength porous polytetrafluoroethylene product having a coarse microstructure
US4749888A (en) 1984-01-25 1988-06-07 Agency Of Industrial Science & Technology Josephson transmission line device
JPH0722044B2 (ja) 1984-09-12 1995-03-08 ソニー株式会社 高周波高透磁率磁性材料
US4920071A (en) 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4725877A (en) 1986-04-11 1988-02-16 American Telephone And Telegraph Company, At&T Bell Laboratories Metallized semiconductor device including an interface layer
US4902533A (en) 1987-06-19 1990-02-20 Motorola, Inc. Method for selectively depositing tungsten on a substrate by using a spin-on metal oxide
DE3853970D1 (de) 1987-07-22 1995-07-20 Philips Patentverwaltung Optisches Interferenzfilter.
US5137780A (en) 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
JPH01235254A (ja) 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
JPH0235771A (ja) 1988-07-26 1990-02-06 Nec Corp 半導体記憶装置
US4963753A (en) 1989-02-03 1990-10-16 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing gadolinium to reduce afterglow
US4963754A (en) 1989-02-03 1990-10-16 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing thulium to reduce afterglow
US4972086A (en) 1989-02-03 1990-11-20 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing erbium to reduce afterglow
US4961004A (en) 1989-02-03 1990-10-02 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing samarium to reduce afterglow
US4967087A (en) 1989-02-03 1990-10-30 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing ytterbium to reduce afterglow
US4975588A (en) 1989-02-03 1990-12-04 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing lutetium to reduce afterglow
US4980559A (en) 1989-02-03 1990-12-25 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phospher containing europium to reduce afterglow
US4972516A (en) 1989-02-03 1990-11-20 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphur containing holmium to reduce afterglow
US4996003A (en) 1989-02-02 1991-02-26 Eastman Kodak Company Titanium activated hafnia and/or zirconia host phosphor containing a selected rare earth
US5017791A (en) 1989-02-03 1991-05-21 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing yttrium to reduce afterglow
US4994205A (en) 1989-02-03 1991-02-19 Eastman Kodak Company Composition containing a hafnia phosphor of enhanced luminescence
US4988880A (en) 1989-02-03 1991-01-29 Eastman Kodak Company X-ray intensifying screen containing hafnia phosphor
US5008034A (en) 1989-02-03 1991-04-16 Eastman Kodak Company Titanium activated hafnia and/or zirconia host phosphor containing neodymium
US4967085A (en) 1989-02-03 1990-10-30 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing neodymium to reduce afterglow
US4933743A (en) 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US4962058A (en) 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
GB8913952D0 (en) 1989-06-16 1989-08-02 Texas Instruments Ltd Line interface circuit and method of testing such a circuit
US5198029A (en) 1989-08-01 1993-03-30 Gte Products Corporation Apparatus for coating small solids
US4975014A (en) 1989-09-01 1990-12-04 The Boeing Company High temperature low thermal expansion fastener
US5165046A (en) 1989-11-06 1992-11-17 Micron Technology, Inc. High speed CMOS driver circuit
US4990282A (en) 1989-11-16 1991-02-05 Eastman Kodak Company Titanium activated hafnia and/or zirconia host phosphor containing scandium
US4983847A (en) 1989-11-16 1991-01-08 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing indium
US4992205A (en) 1989-11-16 1991-02-12 Eastman Kodak Company Titanium activated hafnia and/or zirconia host phosphor containing indium
US4980560A (en) 1989-11-16 1990-12-25 Eastman Kodak Company X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing scandium
JPH03160071A (ja) 1989-11-18 1991-07-10 Somar Corp 光硬化性無電解メッキレジストインキ組成物
US5191404A (en) 1989-12-20 1993-03-02 Digital Equipment Corporation High density memory array packaging
US5171713A (en) 1990-01-10 1992-12-15 Micrunity Systems Eng Process for forming planarized, air-bridge interconnects on a semiconductor substrate
US5055319A (en) 1990-04-02 1991-10-08 The Regents Of The University Of California Controlled high rate deposition of metal oxide films
US5075536A (en) 1990-05-17 1991-12-24 Caterpillar Inc. Heating element assembly for glow plug
US5084606A (en) 1990-05-17 1992-01-28 Caterpillar Inc. Encapsulated heating filament for glow plug
US5095218A (en) 1990-07-20 1992-03-10 Eastman Kodak Company X-ray intensifying screen with enhanced emission
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5019728A (en) 1990-09-10 1991-05-28 Ncr Corporation High speed CMOS backpanel transceiver
US5080928A (en) 1990-10-05 1992-01-14 Gte Laboratories Incorporated Method for making moisture insensitive zinc sulfide based luminescent materials
US5032545A (en) 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5089084A (en) 1990-12-03 1992-02-18 Micron Technology, Inc. Hydrofluoric acid etcher and cascade rinser
US5158986A (en) 1991-04-05 1992-10-27 Massachusetts Institute Of Technology Microcellular thermoplastic foamed with supercritical fluid
US5408742A (en) 1991-10-28 1995-04-25 Martin Marietta Corporation Process for making air bridges for integrated circuits
US5128382A (en) 1991-11-15 1992-07-07 The University Of Akron Microcellular foams
US5135889A (en) 1991-12-09 1992-08-04 Micron Technology, Inc. Method for forming a shielding structure for decoupling signal traces in a semiconductor
US5274249A (en) 1991-12-20 1993-12-28 University Of Maryland Superconducting field effect devices with thin channel layer
US5223808A (en) 1992-02-25 1993-06-29 Hughes Aircraft Company Planar ferrite phase shifter
US5302461A (en) * 1992-06-05 1994-04-12 Hewlett-Packard Company Dielectric films for use in magnetoresistive transducers
US5572052A (en) 1992-07-24 1996-11-05 Mitsubishi Denki Kabushiki Kaisha Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer
US5480048A (en) 1992-09-04 1996-01-02 Hitachi, Ltd. Multilayer wiring board fabricating method
JPH06112438A (ja) 1992-09-25 1994-04-22 Fujitsu Ltd 記憶装置、その情報読出し方法、情報書込み方法および記憶装置の製造方法
JPH06125208A (ja) 1992-10-09 1994-05-06 Mitsubishi Electric Corp マイクロ波集積回路およびその製造方法
US5303555A (en) 1992-10-29 1994-04-19 International Business Machines Corp. Electronics package with improved thermal management by thermoacoustic heat pumping
US5363550A (en) 1992-12-23 1994-11-15 International Business Machines Corporation Method of Fabricating a micro-coaxial wiring structure
US5340843A (en) 1993-02-22 1994-08-23 W. L. Gore & Associates, Inc. Fluororesin foam
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5473814A (en) 1994-01-07 1995-12-12 International Business Machines Corporation Process for surface mounting flip chip carrier modules
US5486493A (en) 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5470802A (en) 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5449427A (en) 1994-05-23 1995-09-12 General Electric Company Processing low dielectric constant materials for high speed electronics
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5450026A (en) 1994-07-27 1995-09-12 At&T Corp. Current mode driver for differential bus
US5625233A (en) * 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
US5745334A (en) * 1996-03-25 1998-04-28 International Business Machines Corporation Capacitor formed within printed circuit board
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6020247A (en) 1996-08-05 2000-02-01 Texas Instruments Incorporated Method for thin film deposition on single-crystal semiconductor substrates
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5926730A (en) * 1997-02-19 1999-07-20 Micron Technology, Inc. Conductor layer nitridation
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US5912797A (en) 1997-09-24 1999-06-15 Lucent Technologies Inc. Dielectric materials of amorphous compositions and devices employing same
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6225237B1 (en) 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
JP2000208508A (ja) * 1999-01-13 2000-07-28 Texas Instr Inc <Ti> 珪酸塩高誘電率材料の真空蒸着
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6445023B1 (en) 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) * 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6541079B1 (en) * 1999-10-25 2003-04-01 International Business Machines Corporation Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6404027B1 (en) 2000-02-07 2002-06-11 Agere Systems Guardian Corp. High dielectric constant gate oxides for silicon-based devices
US6527866B1 (en) * 2000-02-09 2003-03-04 Conductus, Inc. Apparatus and method for deposition of thin films
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
DE10010821A1 (de) 2000-02-29 2001-09-13 Infineon Technologies Ag Verfahren zur Erhöhung der Kapazität in einem Speichergraben und Grabenkondensator mit erhöhter Kapazität
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6444592B1 (en) 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6592942B1 (en) * 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
JP3667202B2 (ja) * 2000-07-13 2005-07-06 株式会社荏原製作所 基板処理装置
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
AU2001280609A1 (en) * 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US7217615B1 (en) 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US6420230B1 (en) * 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
US7112503B1 (en) 2000-08-31 2006-09-26 Micron Technology, Inc. Enhanced surface area capacitor fabrication methods
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6518634B1 (en) * 2000-09-01 2003-02-11 Motorola, Inc. Strontium nitride or strontium oxynitride gate dielectric
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6465334B1 (en) 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
JP3681632B2 (ja) * 2000-11-06 2005-08-10 松下電器産業株式会社 半導体装置及びその製造方法
US6534357B1 (en) * 2000-11-09 2003-03-18 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6524867B2 (en) * 2000-12-28 2003-02-25 Micron Technology, Inc. Method for forming platinum-rhodium stack as an oxygen barrier
US20020089023A1 (en) 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6566147B2 (en) * 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
US6528374B2 (en) * 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6518610B2 (en) * 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6586792B2 (en) 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6441417B1 (en) 2001-03-28 2002-08-27 Sharp Laboratories Of America, Inc. Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6709989B2 (en) * 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6656835B2 (en) 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
KR100427030B1 (ko) * 2001-08-27 2004-04-14 주식회사 하이닉스반도체 다성분계 박막의 형성 방법 및 그를 이용한 커패시터의제조 방법
US6461914B1 (en) * 2001-08-29 2002-10-08 Motorola, Inc. Process for making a MIM capacitor
US6754108B2 (en) * 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US6730575B2 (en) 2001-08-30 2004-05-04 Micron Technology, Inc. Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure
US6778441B2 (en) 2001-08-30 2004-08-17 Micron Technology, Inc. Integrated circuit memory device and method
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7042043B2 (en) * 2001-08-30 2006-05-09 Micron Technology, Inc. Programmable array logic or memory devices with asymmetrical tunnel barriers
US7012297B2 (en) * 2001-08-30 2006-03-14 Micron Technology, Inc. Scalable flash/NV structures and devices with extended endurance
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US6573199B2 (en) 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
US7075829B2 (en) * 2001-08-30 2006-07-11 Micron Technology, Inc. Programmable memory address and decode circuits with low tunnel barrier interpoly insulators
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US6586797B2 (en) * 2001-08-30 2003-07-01 Micron Technology, Inc. Graded composition gate insulators to reduce tunneling barriers in flash memory devices
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6451662B1 (en) * 2001-10-04 2002-09-17 International Business Machines Corporation Method of forming low-leakage on-chip capacitor
US6498063B1 (en) 2001-10-12 2002-12-24 Micron Technology, Inc. Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth
US6683011B2 (en) 2001-11-14 2004-01-27 Regents Of The University Of Minnesota Process for forming hafnium oxide films
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
FR2834387B1 (fr) * 2001-12-31 2004-02-27 Memscap Composant electronique incorporant un circuit integre et un micro-condensateur
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6821873B2 (en) 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6645882B1 (en) 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6893984B2 (en) 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6451641B1 (en) 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
KR100472730B1 (ko) * 2002-04-26 2005-03-08 주식회사 하이닉스반도체 원자층증착법을 이용한 반도체 소자의 금속전극 형성방법
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US6709926B2 (en) * 2002-05-31 2004-03-23 International Business Machines Corporation High performance logic and high density embedded dram with borderless contact and antispacer
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6524901B1 (en) * 2002-06-20 2003-02-25 Micron Technology, Inc. Method for forming a notched damascene planar poly/metal gate
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7847344B2 (en) * 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
KR100450681B1 (ko) * 2002-08-16 2004-10-02 삼성전자주식회사 반도체 메모리 소자의 커패시터 및 그 제조 방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
KR100474072B1 (ko) * 2002-09-17 2005-03-10 주식회사 하이닉스반도체 귀금속 박막의 형성 방법
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
JP2004158487A (ja) * 2002-11-01 2004-06-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US6762114B1 (en) * 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US6750126B1 (en) * 2003-01-08 2004-06-15 Texas Instruments Incorporated Methods for sputter deposition of high-k dielectric films
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040168627A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US6794315B1 (en) * 2003-03-06 2004-09-21 Board Of Trustees Of The University Of Illinois Ultrathin oxide films on semiconductors
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
KR100546324B1 (ko) * 2003-04-22 2006-01-26 삼성전자주식회사 Ald에 의한 금속 산화물 박막 형성 방법, 란탄 산화막 형성 방법 및 반도체 소자의 고유전막 형성 방법
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
KR100555543B1 (ko) * 2003-06-24 2006-03-03 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
US6785120B1 (en) * 2003-07-03 2004-08-31 Micron Technology, Inc. Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and capacitor constructions comprising hafnium oxide
KR20050007496A (ko) * 2003-07-08 2005-01-19 삼성전자주식회사 원자층 적층 방식의 복합막 형성방법 및 이를 이용한반도체 소자의 커패시터 형성방법
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
US6989573B2 (en) * 2003-10-10 2006-01-24 Micron Technology, Inc. Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Effect of Hf metal predeposition on the properties ofsputteredHfO2/Hf stacked gate dielectrics. Kazuhiko Yamamoto, Shigenori Hayashi.Applied Physics Lett.,Vol.81 . 2002
Effect of Hf metal predeposition on the properties ofsputteredHfO2/Hf stacked gate dielectrics. Kazuhiko Yamamoto, Shigenori Hayashi.Applied Physics Lett.,Vol.81 . 2002 *

Also Published As

Publication number Publication date
WO2004079796A3 (en) 2005-02-10
JP2006523952A (ja) 2006-10-19
CN1784773A (zh) 2006-06-07
WO2004079796A2 (en) 2004-09-16
US7405454B2 (en) 2008-07-29
US20060001151A1 (en) 2006-01-05
US20040175882A1 (en) 2004-09-09
KR20050107781A (ko) 2005-11-15
KR100738731B1 (ko) 2007-07-12
US7192892B2 (en) 2007-03-20
EP1599899A2 (en) 2005-11-30

Similar Documents

Publication Publication Date Title
CN100530562C (zh) 原子层沉积的电介质层
CN100511594C (zh) 氧化铪铝介质薄膜
US7625794B2 (en) Methods of forming zirconium aluminum oxide
US7084078B2 (en) Atomic layer deposited lanthanide doped TiOx dielectric films
US7101813B2 (en) Atomic layer deposited Zr-Sn-Ti-O films
US7045430B2 (en) Atomic layer-deposited LaAlO3 films for gate dielectrics
US7326980B2 (en) Devices with HfSiON dielectric films which are Hf-O rich
US7923381B2 (en) Methods of forming electronic devices containing Zr-Sn-Ti-O films
US6921702B2 (en) Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7727908B2 (en) Deposition of ZrA1ON films
US20060183272A1 (en) Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US20040214399A1 (en) Atomic layer deposited ZrTiO4 films
US20070049023A1 (en) Zirconium-doped gadolinium oxide films
US20060131671A1 (en) Electronic device including dielectric layer, and a process for forming the electronic device
CN113178477A (zh) 一种HfO2基铁电薄膜及其沉积方法
Ahn et al. Lanthanide doped TiO x dielectric films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090819

Termination date: 20170304

CF01 Termination of patent right due to non-payment of annual fee