CN100576447C - 相对于光刻部件间距减小的图案 - Google Patents

相对于光刻部件间距减小的图案 Download PDF

Info

Publication number
CN100576447C
CN100576447C CN200680013194A CN200680013194A CN100576447C CN 100576447 C CN100576447 C CN 100576447C CN 200680013194 A CN200680013194 A CN 200680013194A CN 200680013194 A CN200680013194 A CN 200680013194A CN 100576447 C CN100576447 C CN 100576447C
Authority
CN
China
Prior art keywords
pattern
layer
spacer
hard mask
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200680013194A
Other languages
English (en)
Other versions
CN101164147A (zh
Inventor
卢安·特兰
威廉·T·雷里查
约翰·李
拉马康斯·阿拉帕蒂
希罗恩·霍纳卡
孟双
普尼特·夏尔马
白静怡
尹治平
保罗·摩根
米尔扎菲尔·K·阿巴切夫
古尔特杰·S·桑胡
D·马克·杜尔詹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101164147A publication Critical patent/CN101164147A/zh
Application granted granted Critical
Publication of CN100576447C publication Critical patent/CN100576447C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Abstract

通过使用掩模蚀刻衬底(110)形成集成电路(100)的不同大小的部件,其中所述掩模是通过组合两个单独形成的图案(177)和(230)而形成。使用间距倍增形成第一图案(177)的相对较小的部件(175),且使用常规的光刻形成第二图案(230)的相对较大的部件。通过对光阻进行图案化且接着将所述图案蚀刻到非晶碳层中来实现间距倍增。接着在所述非晶碳的侧壁上形成侧壁隔离物(175)。移除所述非晶碳,从而留下所述侧壁隔离物(175),所述侧壁隔离物(175)界定所述第一掩模图案(177)。接着在所述隔离物(175)周围沉积底部抗反射涂层(BARC)以形成平坦表面,且在所述BARC上方形成光阻层。接下来通过常规的光刻来图案化所述光阻以形成所述第二图案(230),所述第二图案(230)接着被转移到所述BARC。将由所述第一图案(177)和第二图案(230)形成的组合图案(177、230)转移到下伏非晶硅层(150),且使所述图案经受碳剥离以移除BARC和光阻材料。接着将所述组合图案(177、230)转移到所述氧化硅层(155),且接着转移到非晶碳掩模层(160)。接着通过所述非晶碳硬掩模层(160)将具有不同大小部件的所述组合的掩模图案(177、230)蚀刻到所述下伏衬底(110)中。

Description

相对于光刻部件间距减小的图案
相关申请案的参考
本申请案在35U.S.C.§119(e)下主张2005年3月15日申请的第60/662,323号临时申请案的优先权益。
本申请案还与以下申请案相关且以全文引用的方式并入以下申请案:Abatchev等人的2004年8月31日申请的第10/931,772号美国专利申请案;Abatchev等人的2004年9月1日申请的第10/932,993号美国专利申请案;Tran等人的2004年8月31日申请的第10/931,771号美国专利申请案;Sandhu等人的2004年9月2日申请的第10/934,317号美国专利申请案。
技术领域
本发明大体上涉及集成电路制造,且更明确地说,涉及掩盖技术。
背景技术
由于许多因素(包括对增加的便携性、计算能力、存储器容量和能量效率的需要)的缘故,正不断缩减集成电路的大小。还正在不断减小形成集成电路的构成部件(例如,电气装置和互连线)的大小以促进此大小缩减。
减小部件大小的趋势(例如)在存储器电路或装置中较为明显,所述存储器电路或装置例如为动态随机存取存储器(DRAM)、快闪存储器、静态随机存取存储器(SRAM)、铁电(FE)存储器等。举例来说,DRAM通常包含数百万相同的电路元件(称为存储器单元)。在其最常见形式中,存储器单元通常由两个电气装置组成:存储电容器和存取场效应晶体管。每一存储器单元是可存储一个数据位(二进制数字)的可寻址位置。可通过晶体管将位写入到单元,且可通过感测电容器中的电荷进行读取。通过减小构成存储器单元的电气装置的大小和存取存储器单元的导电线的大小,可使得存储器装置变得较小。另外,可通过在存储器装置中的给定区域上安装较多存储器单元来增加存储容量。
部件大小的连续缩减越来越需要用于形成部件的技术。举例来说,光刻通常用于对部件(例如导线)进行图案化。间距概念可用于描述这些部件的大小。间距被定义为两个相邻部件中相同点之间的距离。这些部件通常由邻近部件之间的间隔界定,所述间隔通常由例如绝缘体的材料填充。因此,间距可视为部件的宽度和所述部件一侧的将所述部件与相邻部件隔离的间隔的宽度的总和。然而,由于例如光学器件和光或辐射波长等因素的缘故,光刻技术每一者具有最小间距,特定光刻技术不能在所述最小间距以下可靠地形成部件。因此,光刻技术的最小间距是阻碍了连续的部件大小缩减。
“间距加倍”或“间距倍增”是一种提出的用于使光刻技术的能力扩充超出其最小间距的方法。间距倍增方法在图1A-1F中说明并在颁予Lowrey等人的第5,328,810号美国专利中描述,所述专利的全部揭示内容以引用方式并入本文中。参看图1A,在光阻层中光刻形成线10的图案,所述光阻层上覆于可消耗材料层20,所述可消耗材料层20又上覆于衬底30。如图1B所示,接着使用蚀刻(优选为各向异性蚀刻)将所述图案转移到层20,进而形成位置标志符或心轴40。可剥去光阻线10,且可各向同性地蚀刻心轴40以增加相邻心轴40之间的距离,如图1C所示。随后在心轴40上方沉积隔离物材料层50,如图1D所示。接着在心轴40的侧面上形成隔离物60(即,从另一材料侧壁处延伸的或最初形成的材料)。隔离物形成是通过在定向隔离物蚀刻中择优地从水平表面70和80蚀刻隔离物材料而完成的,如图1E所示。接着移除剩余的心轴40,仅留下隔离物60,所述隔离物60一起充当用于图案化的掩模,如图1F所示。因此,在给定间距先前包括界定一个部件和一个间隔的图案的情况下,相同宽度现包括两个部件和两个间隔,其中所述间隔由(例如)隔离物60界定。因而,有效减小了光刻技术可实现的最小部件大小。
尽管在以上实例中实际上将间距减半,但此间距缩减常规上被称为间距“加倍”,或更一般地说,称为间距“倍增”。因此,常规上,使间距“倍增”特定倍数实际上涉及将间距缩减所述倍数。本文保留常规术语。
因为隔离物材料层50通常具有单个厚度90(见图1D和1E),且因为由隔离物60形成的部件的大小通常对应于所述厚度90,所以间距加倍通常产生仅具有一个宽度的部件。然而,电路通常采用具有不同大小的部件。举例来说,随机存取存储器电路通常含有位于所述电路的一个部分中的存储器单元阵列和位于所谓的“外围”中的逻辑电路。在所述阵列中,存储器单元通常由导线连接,且在外围中,所述导线通常接触用于将阵列连接到逻辑的连接垫(landing pad)。然而,例如连接垫的外围部件可能比所述导线大。另外,外围电气装置(包括外围晶体管)可能比所述阵列中的电气装置大。此外,即使外围部件可形成具有与阵列中的部件相同的间距,也因为由间距倍增形成的掩模图案可能限于沿着图案化光阻侧壁的形成的那些图案,所以其自身的间距倍增通常不会提供界定某些部件所需的灵活性(例如几何形状灵活性)。
为了克服此类限制,一些提出的用于在外围处和在阵列中形成图案的方法涉及单独将图案蚀刻到衬底的阵列区和外围区中。首先形成阵列中的图案并使用一个掩模将其转移到衬底,且接着形成外围中的另一图案且使用另一掩模单独将其转移到衬底。因为此类方法在衬底的不同位置处使用不同掩模来形成图案,所以其在形成需要重叠图案的部件的能力方面受到限制,例如在结合垫与互连线重叠时。因而,还可能必须需要第三掩模来将两个单独的部件图案“缝合”在一起。不合需要的是,此类第三掩模会增加处理流程的费用和复杂性,且会在将掩模与由间距倍增技术界定的精细部件和通常较大的外围部件两者对准时面临技术挑战。
因此,需要形成具有不同大小的部件的方法,尤其是在光刻技术的最小间距以下形成某些部件的情况,且尤其是在结合间距倍增时。
发明内容
根据本发明的一个方面,提供一种集成电路制造方法。所述方法包含在衬底上方形成多个心轴。在所述心轴的侧壁上形成隔离物。相对于所述隔离物选择性地移除所述心轴,以形成隔离物图案。在所述隔离物周围沉积平坦化材料,以形成平坦上表面。在所述平坦化材料中形成图案。将隔离物图案和平坦化材料中的图案转移到下伏的上部硬掩模层中,以在所述上部硬掩模层中形成合并图案。将所述合并图案转移到下伏的下部硬掩模层中。将合并图案转移到上覆于衬底的非晶碳层中。
根据本发明的另一方面,提供一种用于形成集成电路的方法。所述方法包含在衬底上方形成非晶碳层。在所述非晶碳层上方形成下部硬掩模层。在所述下部硬掩模层上形成上部硬掩模层。在所述上部硬掩模层上方形成临时层。在所述临时层上方形成第一硬掩模层。
根据本发明的另一方面,提供一种半导体处理方法。所述方法包含提供具有上覆的主要掩模层的衬底。由第一材料形成的硬掩模层上覆于所述主要掩模层,由第二材料形成的硬掩模层上覆于所述由第一材料形成的硬掩模层,且包含间距倍增隔离物的图案上覆于所述包含第二材料的硬掩模层。将所述图案转移到包含第二材料的硬掩模层。随后将所述图案转移到由第一材料形成的硬掩模层。接着将图案转移到主要掩模层。
根据本发明的又一方面,提供一种半导体制造方法。所述方法包含通过间距倍增形成第一图案和在没有间距倍增的情况下使用光刻单独界定第二图案。将第一和第二图案同时转移到硬掩模层。接着将第一和第二图案同时从所述硬掩模层转移到另一硬掩模层。同时将第一和第二图案从所述另一硬掩模层转移到主要掩模层。通过主要掩模层处理所述衬底。
根据本发明的另一方面,提供一种用于形成存储器装置的方法。所述方法包含在上覆于非晶碳层的硬掩模层上方形成包含间距倍增隔离物的图案。将所述图案蚀刻到所述硬掩模层中。在蚀刻图案之后使所述隔离物经受碳蚀刻。移除隔离物,且随后将图案从硬掩模层转移到非晶碳层。
根据本发明的又一方面,提供一种集成电路制造方法。所述方法包含在衬底上方形成非晶碳层和在低于约450℃的温度下在所述非晶碳层上沉积硬掩模层。
根据本发明的另一方面,提供一种部分形成的集成电路。所述部分形成的集成电路包含衬底和上覆于所述衬底的主要掩模层。所述主要掩模层由不同于光阻的材料形成。下部硬掩模层上覆于所述主要掩模层,上部硬掩模层上覆于所述下部掩模层。不同于光阻的掩模材料在上覆于所述上部硬掩模层的第一平面中界定第一图案。光可界定材料在上部硬掩模层上方界定第二图案。
附图说明
将从具体实施方式和附图中更好地了解本发明,这仅意味着说明而不是限制本发明,且其中:
图1A-1F是根据现有技术间距加倍方法用于形成导线的掩盖图案序列的示意性横截面侧视图;
图2A是根据本发明优选实施例的部分形成的集成电路的示意性俯视平面图;
图2B-2C是根据本发明优选实施例的图2A的部分形成的集成电路的示意性横截面侧视图;
图3A和3B是根据本发明优选实施例在集成电路的阵列区中在光阻层中形成线之后图2的部分形成的集成电路的示意性横截面侧面和俯视平面视图;
图4A和4B是根据本发明优选实施例在加宽光阻层中的线之间的间隔之后图3A和图3B的部分形成的集成电路的示意性横截面侧面和俯视平面视图;
图5是根据本发明优选实施例在蚀刻穿过第一硬掩模层之后图4A和4B的部分形成的集成电路的示意性横截面侧视图;
图6是根据本发明优选实施例在将图案从硬掩模层转移到临时层之后图5的部分形成的集成电路的示意性横截面侧视图;
图7是根据本发明优选实施例在移除硬掩模层之后图6的部分形成的集成电路的示意性横截面侧视图;
图8是根据本发明优选实施例在沉积隔离物材料层之后图7的部分形成的集成电路的示意性横截面侧视图;
图9A和9B是根据本发明优选实施例在隔离物蚀刻之后图8的部分形成的集成电路的示意性横截面侧面和俯视平面视图;
图10是根据本发明优选实施例在移除临时层的剩余部分以在集成电路的阵列区中留下隔离物图案之后图9A和9B的部分形成的集成电路的示意性横截面侧视图;
图11是根据本发明优选实施例在用可移除的平坦化材料围绕隔离物且在隔离物上方形成光阻层之后图10的部分形成的集成电路的示意性横截面侧视图;
图12是根据本发明优选实施例在集成电路的外围中在光阻层中形成图案之后图11的部分形成的集成电路的示意性横截面侧视图;
图13是根据本发明优选实施例在与隔离物相同的水平面上将图案从光阻层转移到平坦化材料之后图12的部分形成的集成电路的示意性横截面侧视图;
图14是根据本发明优选实施例在将外围中的图案和阵列中的隔离物图案蚀刻到下伏硬掩模层中之后图13的部分形成的集成电路的示意性横截面侧视图;
图15是根据本发明优选实施例在执行图案清洗步骤以移除光阻和经图案化的平坦化材料之后图14的部分形成的集成电路的示意性横截面侧视图;
图16是根据本发明优选实施例在将外围中的图案和阵列中的隔离物图案蚀刻到另一下伏硬掩模层中之后图15的部分形成的集成电路的示意性横截面侧视图;
图17是根据本发明优选实施例在将外围中的图案和阵列中的隔离物图案两者转移到主要掩模层之后图16的部分形成的集成电路的示意性横截面侧视图;
图18是根据本发明优选实施例在将外围图案和隔离物图案转移到下伏衬底之后图17的部分形成的集成电路的示意性横截面侧视图;
图19是根据本发明其它优选实施例在执行隔离物移除之后且在将图案转移到衬底中之前图17的部分形成的集成电路的示意性横截面侧视图;
图20是通过扫描电子显微镜观看到的根据本发明优选实施例形成的蚀刻到部分形成的集成电路的阵列和外围两者中的图案的侧部截面的显微图;以及
图21A和21B是通过扫描电子显微镜观看到的根据本发明优选实施例形成的分别蚀刻到部分形成的集成电路的阵列和外围中的图案的俯视视图的显微图。
具体实施方式
除了与形成不同大小的部件相关的问题以外,还已发现间距加倍技术可在将隔离物图案转移到衬底时遇到困难。在转移图案的常见方法中,将隔离物和下伏衬底两者暴露于蚀刻剂,所述蚀刻剂优先蚀刻掉衬底材料。然而,所述蚀刻剂还可磨损隔离物,虽然是以较慢速率。因此,在将图案转移下伏材料的过程中,在完成图案转移之前蚀刻剂可磨损隔离物。由于朝着减小的部件大小的趋势而使这些困难加剧,所述趋势(例如)不断导致需要形成具有日益增高的深度与宽度比的沟槽。因此,结合在生产具有不同部件大小的结构方面的困难,图案转移限制使得将间距倍增原理应用于集成电路制造更为困难。
鉴于这些困难,本发明优选实施例结合间距倍增实现改进的图案转移且实现不同大小部件的形成。在根据优选实施例的方法的第一阶段,形成适当的材料层序列以允许形成用于处理衬底的掩模。在根据优选实施例的方法的第二阶段中,优选使用光刻和间距倍增来形成由隔离物界定的第一图案。这通常在芯片的一个区域(例如,存储器芯片的阵列)中形成一个大小的部件。在第三阶段中,执行光刻以在掩模层中形成第二图案,其中所述掩模层形成在形成第一图案的部件上方或周围。为了允许此光刻,可在隔离物周围形成另一光阻层,或更优选地,由平坦化材料围绕所述隔离物,且优选在所述平坦化材料上方形成所述光阻层。第二图案可完全或部分地与第一图案重叠,或在一些优选实施例中,可完全处于芯片的不同区域(例如,存储器芯片的外围)中。
在第四阶段中,将第一和第二图案两者转移到下伏主要掩盖层,可优选相对于下伏衬底优先蚀刻去所述掩盖层。因为所述主要掩盖层优选用于将图案转移到衬底,所以优选采取各种预防措施来维持此层的结构和化学完整性,使得此层中形成的图案被良好界定。
因而,优选通过将第一和第二图案连续转移到两个硬掩模层且接着转移到主要掩盖层来完成图案转移。已发现,穿过平坦化层或光阻层执行蚀刻可导致光阻材料和/或平坦化材料的聚合。此聚合可在图案部件周围留下沉积物,进而使第一和/或第二图案的部件变形。在间距倍增通常用于较小间距的情况下,此变形可特别产生问题。因而,在将第一和第二图案蚀刻到上部硬掩模层中之后,优选执行清洁步骤以移除平坦化材料、光阻和任何聚合的平坦化材料或光阻。因为平坦化材料、光阻和下伏的主要掩盖层优选均为碳基材料,所以所述清洁还可不良地蚀刻主要掩盖层。在使用各向同性蚀刻完成清洁的情况下,这尤其是个问题,各向同性蚀刻可不可控制地蚀刻主要掩模层且通常不形成良好界定的部件。因此,下部硬掩模层优选用于在清洁步骤期间保护主要掩盖层。
此外,优选通过低温沉积过程形成下部硬掩模层且更优选下部和上部硬掩模两者,优选在低于约550℃下形成,且更优选在低于约450℃下形成,且最优选在低于约400℃下形成。这些低温下的处理有利地帮助维持主要掩盖层的完整性,尤其是在所述层由非晶碳形成时。举例来说,如果将非晶碳暴露于较高温度,那么会发生不良的灰化。
因此,主要掩盖层的优选材料是非晶碳。隔离物的优选材料包括硅、氮化硅或氧化硅。在其它实施例中,可颠倒隔离物和主要掩盖层的材料。上部硬掩模层优选由可在低温下沉积的材料形成(如上文所述),且可相对于隔离物、下部硬掩模层和上覆于上部硬掩模层的任何其它材料而被优先蚀刻。下部硬掩模层优选也由可在低温下沉积的材料形成,且可相对于主要掩盖层和上部硬掩模层而被优先蚀刻。隔离物和下部硬掩模层可由不同材料形成,且优选由相同材料形成以简化处理和加工化学物质。举例来说,在一些实施例中,隔离物和下部硬掩模层可由氧化物(例如,氧化硅)形成,而上部硬掩模层可由(例如)硅形成,或反之亦然。接着可将第一和第二图案从一个或两个硬掩模层转移到主要掩盖层。
接着优选在单个步骤中将第一和第二图案从主要掩盖层转移到下伏衬底。因此,可形成用于形成不同大小部件的图案,且可成功地将这些图案转移到下伏衬底,所述部件中的一些部件是在用于图案化的光刻技术的最小间距以下。此外,因为第二图案优选初始形成在大致与第一图案共同延伸的层中,所以第二图案可与第一图案重叠。因而,可有利地形成处于光刻极限两侧上的具有不同大小的重叠部件(例如导线和连接垫或外围晶体管)。
优选地,主要掩盖层是直接上覆的掩盖层,且由于蚀刻选择性的缘故,主要用作用以图案化衬底的掩模。明确地说,优选由允许相对于紧接上覆的硬掩模材料和衬底材料两者的良好蚀刻选择性的材料形成主要掩盖层,进而允许:硬掩模层中的隔离物图案有效地转移到所述主要掩盖层;在不损害衬底的情况下选择性地移除主要掩盖层;且其中的图案有效地转移到衬底。在其它实施例中,尤其在衬底相对较简单且可相对于硬掩模材料选择性进行蚀刻的情况下,可使用硬掩模(例如,上文论述的下部硬掩模)将第一和第二图案直接转移到衬底。
如上文所提到,在转移图案的常见方法中,将掩模和下伏衬底两者暴露于蚀刻剂,在完成图案转移之前所述蚀刻剂可磨损掩模。在衬底包含多种不同的待被蚀刻的材料的情况下,加剧了这些困难。由于其相对于各种材料(包括氧化物、氮化物和硅)的极佳蚀刻选择性的缘故,主要掩盖层优选由非晶碳形成且更优选由透明碳形成。
尽管主要掩模层优选具有适当厚度,使得在图案转移完成之前其不被磨损掉,但将了解,在蚀刻衬底时,隔离物以及上部和下部硬掩模层通常也上覆于主要掩模层。然而,已发现在主要掩模层特别厚且/或掩模部件非常薄的情况下,掩模中相对较高且薄的部件可能在结构上不稳定。因而,掩模部件可发生变形或可能不稳定。因此,可在将图案转移到衬底时,执行可选的隔离物或隔离物和硬掩模移除,以使掩模部件的轮廓变直且稳定。在其它实施例中,可在将图案转移到衬底之前移除一个或两个硬掩模层。
将了解,在其上转移图案的“衬底”可包括具有单一材料的层、具有不同材料的多个层、其中具有不同材料或结构的区域的一个或多个层等。这些材料可包括半导体、绝缘体、导体或其组合。举例来说,所述衬底可包含掺杂多晶硅、电子装置有效区域、硅化物或金属层(例如钨、铝或铜层等),或其组合。在一些实施例中,下文论述的掩模部件可直接对应于导电部件(例如互连件)在衬底中的所需放置。在其它实施例中,衬底可以是绝缘体,且掩模部件的位置可对应于绝缘体的所需位置,例如在波纹金属化中。衬底中形成的结构的实例包括门堆叠和浅沟槽隔离结构。
在本文描述的任何步骤中,将图案从上覆水平面转移到下伏水平面涉及在下伏水平面中形成大体上对应于上覆水平面中的部件的部件。举例来说,下伏水平面中的线路径将大体上遵循上覆水平面中的线路径,且下伏水平面中的其它部件的位置将对应于上覆水平面中的类似部件的位置。然而,部件的精确形状和大小可在上覆水平面与下伏水平面之间有所变化。举例来说,依据蚀刻化学物质和条件,形成转移图案的部件的大小和其之间的相对间隔可相对于上覆水平面上的图案而放大或缩小,但仍然类似于相同的初始“图案”,如从在以下描述的实施例中收缩第一抗蚀剂掩模的实例可看到。因此,即使在部件尺寸上具有一些改变,但仍认为转移图案是与初始图案相同的图案。相反,在掩模部件周围形成隔离物可改变所述图案。
现将参看图式,其中相同数字始终指代相同部件。将了解,没有必要按比例绘制这些图式。
在根据优选实施例的方法的第一阶段中,形成一材料层序列,其允许形成用于处理衬底的掩模。
图2A展示集成电路100的一部分的俯视图。尽管优选实施例可用于形成任何集成电路,但其特别有利地适用于形成具有电气装置阵列的装置或具有逻辑或门阵列的集成电路,所述电气装置阵列包括用于易失性和非易失性存储器装置(例如DRAM、ROM或快闪存储器(包括NAND快闪存储器))的存储器单元阵列。举例来说,逻辑阵列可以是现场可编程门阵列(FPGA),其具有类似于存储器阵列的内核阵列和带有支持逻辑的外围。因而,集成电路100可以是(例如)存储器芯片或处理器(其可包括逻辑阵列和嵌入式存储器两者)或具有逻辑或门阵列的任何其它集成电路。
继续参看图2A,中央区域102(“阵列”)由外围区域104(“外围”)围绕。将了解,在完全形成的集成电路100中,阵列102将通常密集地填充有导电线和电气装置(例如晶体管和电容器)。在存储器装置中,电气装置形成多个存储器单元,所述存储器单元通常在字线与位线的交叉处排列成规则的栅格图案。理想的是,间距倍增可用于形成阵列102中的部件(例如晶体管和电容器行/列),如下文论述。另一方面,外围104通常包含比阵列102中的那些部件大的部件。优选使用常规光刻而不是间距倍增来图案化外围104中的部件(例如逻辑电路),因为位于外围104中的逻辑电路的几何形状复杂度使得难以使用间距倍增,而通常具有阵列图案的规则栅格有助于间距倍增。另外,外围中的一些装置由于电气约束的缘故而需要较大的几何形状,进而使得间距倍增与常规光刻相比较不利地用于此类装置。除了相对大小的可能差异以外,熟练技工将了解到集成电路100中的外围104和阵列102区域的相对位置和数目也可与所描绘的不同。
图2B展示部分形成的集成电路100的横截面侧视图。优选在衬底110上方提供各种掩盖层120-160。将蚀刻所述层120-160以形成用于图案化衬底110的掩模,如下文所述。
优选基于本文论述的各种图案形成和图案转移步骤的化学物质和加工条件的考虑因素来选择上覆于衬底110的层120-160的材料。因为最顶部可选择性界定层120与衬底110之间的层优选用于将得自可选择性界定层120的图案转移到衬底110,所以可在选择性界定层120与衬底110之间的层130-160优选经选择以使得它们可相对于其它露出材料而被选择性地蚀刻。将了解,当在某一材料的蚀刻速率比周围材料的蚀刻速率大至少约2-3倍,优选大至少约10倍,更优选大至少约20倍,且最优选大至少约40倍时,认为所述材料被选择性地或优先蚀刻。因为上覆于主要硬掩模层160的层120-155的目标是允许在所述层160中形成经良好界定的图案,所以将了解,如果使用其它合适材料、化学物质和/或加工条件,那么可省略或替代所述层120-155中的一者或一者以上。举例来说,在不需要所述层的分辨率增强特性(如下文所述)的一些实施例中,可省略层130。
在所说明的实施例中,可选择性界定层120上覆于第一硬掩模或蚀刻终止层130,所述层130上覆于临时层140,所述层140上覆于第二(上部)硬掩模或蚀刻终止层150,所述层150上覆于第三(下部)硬掩模层155,所述层155上覆于主要掩模层160,所述层160上覆于将要通过掩模进行处理(例如,蚀刻)的衬底110。优选的是,通过其来处理衬底110的掩模形成在第三硬掩模层155或主要掩模层160中。
继续参看图2B,可选择性界定层120优选地是可光界定的,例如由光阻(包括此项技术中已知的任何光阻)形成。举例来说,光阻可以是与157nm、193nm、248nm或365nm的波长系统、193nm波长浸没系统、远紫外系统(包括13.7nm波长系统)或电子束光刻系统相兼容的任何光阻。另外,可使用无掩模平版印刷术或无掩模光刻来界定可选择性界定层120。优选的光阻材料的实例包括氟化氩(ArF)敏感光阻(即,适合与ArF光源一起使用的光阻)和氟化氪(KrF)敏感光阻(即,适合与KrF光源一起使用的光阻)。ArF光阻优选与利用相对较短的波长光(例如,193nm)的光刻系统一起使用。KrF光阻优选与较长波长的光刻系统(例如248nm系统)一起使用。在其它实施例中,层120和任何后续抗蚀剂层可由可通过纳米压印光刻进行图案化的抗蚀剂(例如通过使用模具或机械力来图案化所述抗蚀剂)形成。
第一硬掩模层130的材料优选包含无机材料。示范性材料包括氧化硅(SiO2)、硅或介电抗反射涂层(DARC),例如富硅氧氮化硅。优选的是,第一硬掩模层130是介电抗发射涂层(DARC)。使用DARC用于第一硬掩模层130对于形成间距在光刻技术的分辨率极限附近的图案可尤其有利。DARC可通过最小化光反射来提高分辨率,进而增加光刻可界定图案边缘的精度。
临时层140优选由非晶碳形成,所述非晶碳(如上文所提到)提供相对于优选硬掩模材料的非常高的蚀刻选择性。更优选的是,所述非晶碳是一种形式的非晶碳,其对于光为高度透明,且通过对用于光对准的光波长透明而为光对准提供进一步的改进。用于形成此类透明碳的沉积技术可在A.Helmbold、D.Meissner的“Thin Solid Films”(283,1996,196-203)中找到,其全部揭示内容以引用方式并入本文中。
优选基于用于隔离物和下伏层160的材料来选择用于第二和第三硬掩模层150和155的材料的组合。如下文论述,所述层160优选由非晶碳形成。其它材料的示范性组合在以下表格中列举:
示范性隔离物和硬掩模材料
  隔离物材料:   氧化物   氮化物   非晶硅   碳
  硬掩模材料(第二硬掩模/第三硬掩模):   非晶硅/氧化物   非晶硅/氧化物或氧化物/非晶硅   氧化物/非晶硅   非晶硅/氧化物或氧化物/非晶硅
将了解,氧化物优选是氧化硅形式,且氮化物通常是氮化硅。在隔离物材料是碳的情况下,临时层优选是可相对于碳被优先蚀刻的材料。举例来说,临时层可由含硅材料形成。依据适当的蚀刻化学物质和相邻材料的选择,其它硬掩模材料的实例包括非晶碳和可蚀刻的高K材料。
在所说明的实施例中,第二硬掩模层150由硅(例如,非晶硅)形成。第三硬掩模层155由氧化硅(例如,低硅烷氧化物(LSO))形成。通过使用相对较低的硅烷流和相对较高的N2O前驱物流的化学气相沉积形成LSO。有利的是,当层160由温度敏感材料形成时,此类沉积可在相对较低的温度(例如,低于约550℃,且更优选低于约400℃)下执行以防止损坏下伏的主要掩模层160。将了解,与氮化物相比,可通常以相对于硅的较大选择性来蚀刻氧化物。举例来说,针对氧化物的蚀刻化学物质可以比非晶硅快10倍以上的速率来移除氧化物,而针对氮化物的蚀刻化学物质通常仅以比非晶硅快约3倍的速率来移除氮化物。因而,当第二硬掩模层由非晶硅形成时,隔离物和第三硬掩模层两者优选由相同材料(氧化物)形成。
如上文所提到,由于其相对于许多材料的极佳蚀刻选择性的缘故,主要掩模层160优选由非晶碳形成。如上文所提到,非晶碳尤其有利于将图案转移至难以蚀刻的衬底(例如包含多种材料或多个材料层的衬底110)或有利于形成小和高纵横比的部件。
除了为各个层选择适当材料以外,优选依据与本文描述的蚀刻化学物质和加工条件的兼容性来选择层120-160的厚度。如上文所论述,当通过选择性地蚀刻下伏层而将图案从上覆层转移到下伏层时,将来自所述两个层的材料移除到某一程度。因此,上部层优选足够厚以使得其在图案转移过程中不被磨损掉。
在所说明的实施例中,光可界定层120优选为约50-300nm厚,且更优选的是,约200-250nm厚。将了解,在层120是光阻的情况下,此厚度可依据用于图案化层120的光波长而变化。约50-300nm厚且更优选约200-250nm厚的厚度对于248nm波长系统特别有利。
第一硬掩模层130优选为约10-40nm厚,且更优选为约15-30nm厚。临时层140优选为约50-200nm厚,且更优选为约80-120nm厚。第二硬掩模层150优选为约20-80nm厚,且更优选为约30-50nm厚,且第三硬掩模层155优选为约10-50nm厚,且更优选为约20-30nm厚。
如上文所论述,优选基于用于蚀刻衬底的蚀刻化学物质的选择性且基于衬底的材料和复杂度来选择主要掩模层160的厚度。有利的是,已发现,优选约100-500nm且更优选约200-300nm的厚度对于将图案转移到各种衬底(包括具有多种不同的要在转移期间蚀刻的材料的衬底)特别有效。
举例来说,图2C展示示范性衬底160,其包含可经蚀刻以形成控制门堆叠的多个层。硅化物层110a上覆于多晶硅层110b,多晶硅层110b上覆于氧化物-氮化物-氧化物(ONO)复合层110c,复合层110c上覆于多晶硅层110d。
可由各种方法形成本文论述的各种层。举例来说,旋转涂布过程可用于形成光可界定层。可使用各种气相沉积工艺(例如化学气相沉积)来形成硬掩模层。
优选的是,使用低温化学气相沉积(CVD)工艺在主要掩模层160上方沉积硬掩模层或任何其它材料(例如,隔离物材料),尤其是在主要掩模层160由非晶碳形成的情况下。
有利的是,已发现第二和第三硬掩模层150和155可在低于约550℃且更优选低于约450℃且最优选低于约400℃的温度下沉积。此类低温沉积过程有利地防止非晶碳层被化学或物理破坏。
举例来说,可通过等离子体增强型CVD(PECVD)工艺沉积LSO(例如,用于形成层150或155)。由各个制造商制造的各种处理系统可用于执行所述工艺,如此项技术中已知的。合适的反应器系统的非限制性实例是Applied Materials′ProducerTM系统。在加工条件的一个实例中,SiH4优选地以约50-250sccm且更优选约150sccm的速率流动到反应器中。N2O以约400-1000sccm且更优选约750sccm的速率流动到反应器中,且He以约2500-4000sccm且更优选约3500sccm的速率流动到反应器中。反应器内的压力优选维持在约4-8托,且更优选约6.5托。RF功率优选为约50-200瓦,且更优选为约110瓦。间隔优选为约400-600密耳,且更优选为约450密耳。有利的是,已发现可在约250-450℃且更优选约375℃的温度下沉积LSO。
已发现,也可通过等离子体增强型CVD(PECVD)过程在低温下沉积非晶硅(例如,用于形成层150或155中的另一者)。在一个实例中,将SiH4和He输送到Applied Materials′ProducerTM系统的反应器中。SiH4优选以约80-300sccm且更优选约150sccm流动。He以约400-300sccm且更优选约1800sccm流动。反应器内的压力优选为约3-5托,且更优选约3.5托,而且RF功率优选为约50-200瓦,且更优选为约100瓦。间隔优选为约400-600密耳,且更优选为约450密耳。有利的是,可在约250-450℃且更优选约375℃的温度下沉积非晶硅。
另外,可使用碳氢化合物或此类化合物的混合物作为碳前驱物通过化学气相沉积来形成非晶碳层。示范性前驱物包括丙烯、丙炔、丙烷、丁烷、丁烯、丁二烯和乙炔。在2003年6月3日颁予Fairbairn等人的第6,573,030Bl号美国专利中描述一种用于形成非晶碳层的合适方法,所述专利的全部揭示内容以引用方式并入本文中。另外,可掺杂非晶碳。在颁予Yin等人的第10/652,174号美国专利申请案中描述一种用于形成经掺杂非晶碳的合适方法,所述申请案的全部揭示内容以引用方式并入本文中。
在根据优选实施例的方法的第二阶段中,通过间距倍增来形成隔离物图案。
参看图3A和3B,在光可界定层120层中形成包含间隔或沟槽122的图案,所述间隔或沟槽122由光可界定材料部件124定界。沟槽122可由(例如)248nm或193nm光的光刻形成,其中层120通过标线暴露于辐射且接着被显影。在显影之后,剩余光可界定材料(在所说明的实施例中为光阻)形成掩模部件,例如所说明的线124(仅以横截面展示)。
所得线124的间距等于线124的宽度与相邻间隔122的宽度的总和。为了最小化使用线124与间隔122的此图案所形成的部件的临界尺寸,间距可处于用于图案化光可界定层120的光刻技术的极限处或其附近。举例来说,对于利用248nm光的光刻,线124的间距可为约100nm。因此,间距可为光刻技术的最小间距,且下文论述的隔离物图案可有利地具有在光刻技术的最小间距以下的间距。或者,因为位置和部件大小的误差容限通常随着接近光刻技术的极限而增加,所以线124可形成为具有较大的部件大小(例如,200nm)以最小化线124的位置和大小误差。
如图4A和4B展示,优选通过蚀刻光阻线124来加宽间隔122,以形成经修改的间隔122a和线124a。优选使用各向同性蚀刻来蚀刻光阻线124,以“收缩”那些部件。合适的蚀刻包括使用含氧等离子体(例如,SO2/O2/N2/Ar等离子体、Cl2/O2/He等离子体或HBr/O2/N2等离子体)的蚀刻。蚀刻程度优选经选择为使得线124a的宽度大致等于稍后形成的隔离物175之间的所需间隔,如将从以下论述中了解。举例来说,线124的宽度可减小为从约80-120nm到约40-70nm。有利的是,减小宽度的蚀刻允许线124a比原本使用用于图案化光可界定层120的光刻技术可实现的更窄。另外,所述蚀刻可使线124a的边缘变平滑,因而改进了那些线的均匀性。尽管线124a的临界尺寸可经蚀刻为处于光刻技术的分辨率极限以下,但将了解,此蚀刻不会改变间隔122a和线124a的间距,因为这些部件中的相同点之间的距离保持不变。
参看图5,(经修改的)光可界定层120a中的图案被转移到硬掩模层130。此转移优选使用各向异性蚀刻(例如使用碳氟化合物等离子体的蚀刻)来完成,但如果硬掩模层130较薄,那么湿(各向同性)蚀刻也是合适的。优选的碳氟化合物等离子体蚀刻化学物质包括CFH3、CF2H2、CF3H和CF4/HBr。
参看图6,光可界定层120a和硬掩模层130中的图案被转移到临时层140以允许沉积隔离物材料层170(图8)。已发现,用于隔离物材料沉积的温度通常过高而使得光阻难以承受。因此,优选将图案从光可界定层120a转移到临时层140,所述临时层140由可承受隔离物材料沉积和蚀刻的加工条件的材料形成,如下文论述。除了具有比光阻高的耐热性以外,形成临时层140的材料优选经选择以使得可相对于用于隔离物175(图10)和下伏蚀刻终止层150的材料而将其选择性移除。如上文所提到,层140优选由非晶碳形成,且更优选由透明碳形成。
优选使用含O2等离子体(例如,含有SO2、O2和Ar的等离子体)将经修改的光可界定层120a中的图案转移到临时层140。其它合适的蚀刻化学物质包括含有Cl2/O2/SiCl4或SiCl4/O2/N2或HBr/O2/N2/SiCl4的等离子体。优选的是,使用含SO2等离子体,因为其可以比蚀刻硬掩模层130的速率高20倍且更优选高40倍的速率蚀刻优选临时层140的碳。合适的含SO2等离子体在2004年8月31日申请的Abatchev等人的第10/931,772号美国专利申请案中描述,所述申请案的全部内容以引用方式并入本文中。将了解,含SO2等离子体可同时蚀刻临时层140且还移除光可界定层120a。所得线124b构成位置标志符或心轴,沿着所述位置标志符或心轴将形成隔离物175(图10)的图案。
参看图7,可移除硬掩模层130以通过使临时层140暴露用于随后的蚀刻而促进稍后隔离物的形成(图10)。可使用缓冲氧化物蚀刻(BOE)来移除硬掩模层130,所述蚀刻是包含HF和NH4F的湿蚀刻。
接下来,如图8所示,隔离物材料层170优选共形地地毯式沉积在暴露表面上方,所述暴露表面包括硬掩模层150和临时层140的顶部和侧壁。隔离物材料可以是可充当用于将图案转移到下伏硬掩模层150的掩模的任何材料。隔离物材料优选:1)可沉积为具有良好的阶梯式覆盖;2)可在与临时层140兼容的温度下沉积;且3)可相对于临时层140和下伏硬掩模层150进行选择性蚀刻。优选材料包括硅、氧化硅和氮化硅。在所说明的实施例中,隔离物材料是氧化硅,其结合掩盖堆叠的其它选定材料而提供特定优点。
隔离物材料沉积的优选方法包括化学气相沉积(例如,使用O3和TEOS来形成氧化硅)和原子层沉积(例如,使用硅前驱物与氧或氮前驱物一起分别形成氧化硅和氮化硅)。优选基于隔离物175(图10)的所需宽度而确定层170的厚度。举例来说,在一个示范性实施例中,层170优选沉积为约20-80nm且更优选约40-60nm的厚度。优选地,阶梯式覆盖为约80%或更大,且更优选为约90%或更大。
参看图9A和9B,氧化硅隔离物层170接着经受各向同性蚀刻以从部分形成的集成电路100的水平表面180处移除隔离物材料。可使用碳氟化合物等离子体(例如,含有CF4/CHF3、C4F8/CH2F2或CHF3/Ar的等离子体)来执行此类蚀刻(还称为隔离物蚀刻)。
参看图10,接下来移除临时层140以留下独立式隔离物175。使用有机剥离过程来选择性地移除临时层140。优选的蚀刻化学物质包括含氧等离子体蚀刻,例如使用SO2的蚀刻。
因此,已完成间距倍增。在所说明的实施例中,隔离物175的间距大约为最初由光刻形成的光阻线124和间隔122(图3A)的间距的一半。在光阻线124具有约200nm的间距的情况下,可形成具有约100nm或更小的间距的隔离物175。将了解,因为隔离物175形成在部件或线124b的侧壁上,所以隔离物175大体上跟随经修改的光可界定层120a中的部件或线124a的图案的轮廓,且因此通常在线124a之间的间隔122a中形成闭合回路。隔离物175形成第一图案177。
接下来,在根据优先实施例的方法的第三阶段中,在第一图案177上方形成第二图案。优选的是,第二图案包含临界尺寸大于第一图案177的部件。另外,第二图案可形成为完全、部分与第一图案177重叠,或不与其重叠。
为允许形成第二图案,通过在隔离物175周围沉积平坦化材料以形成平坦化层200而形成平坦表面,如图11所示。接着在平坦化材料上形成可选择性界定层220以允许在外围104处图案化第二图案。
平坦化层200优选至少与隔离物175一样高。另外,优选由可相对于隔离物175和可选择性界定层220进行选择性蚀刻的材料形成保护层200。举例来说,可由旋转抗反射涂布(例如底部抗发射涂布(BARC))形成平坦化层200。
如同可选择性界定层120一样,可选择性界定层220优选为光可界定,例如由光阻(包括此项技术中已知的任何光阻)形成。另外,在其它实施例中,可由适于通过纳米压印光刻来图案化的抗蚀剂形成层220。
在一些优选实施例中,可省略平坦化层200且可直接在隔离物175上和其周围形成可选择性界定层220。在可以良好的完整性在层220中界定图案的情况下和在不需要抗反射涂层的分辨率增强特性的情况下,可采用此类方案。举例来说,如果位于可选择性界定层220下伏的材料不是充分非反射性的,那么可省略抗反射涂层。
参看图12,使用(例如)用于图案化光可界定层120的相同光刻技术来图案化光可界定层220。因此,在光可界定层220中形成图案230。在图案230用于掩盖外围104中的部件的情况下,阵列102中的光可界定层220中的区域优选为敞开的,如所说明。然而,如上文所提到,尽管说明为横向邻近图案177,但图案230可部分或完全与图案177重叠或完全与图案177分离。因此,对这些图案使用不同参考标号(177和230)指示其最初在不同步骤中形成。
尽管图案177优选具有小于用于形成其的光刻技术的最小间距或分辨率的间距或部件大小,但图案230优选具有等于或大于用于形成所述图案的光刻技术的最小间距或分辨率的间距或部件大小。将了解,外围104处的图案230可用于形成连接垫、晶体管、局部互连件等。
在根据优选实施例的方法的第四阶段中,图案177和230在隔离物以下的一个水平面上合并,且同时转移到衬底110。
参看图13,将图案230转移到与隔离物175的图案177相同的水平面。执行各向异性BARC蚀刻以在保护层210中界定外围部件,且还打开阵列部件。优选使用各向异性蚀刻(例如使用HBr/O2等离子体或含SO2等离子体)来选择性地蚀刻保护层210的未受光可界定层220的若干部分保护的若干部分。此蚀刻优先移除氧化物隔离物175周围的保护层200,进而使得那些隔离物175暴露。
参看图14-16,蚀刻第二和第三硬掩模层以将图案177和230向下转移到主要掩模层160,以在主要掩模层160中形成混合图案。参看图14,图案177和230两者首先转移到第二硬掩模层150。在由非晶硅形成第二硬掩模150的情况下,优选使用(例如)含HBr和Cl2等离子体对其进行各向异性蚀刻。此类蚀刻优选以比可蚀刻氧化硅隔离物175和氧化硅第三硬掩模155的速率高约5倍且更优选高约10倍的速率来蚀刻非晶硅。
参看图15,清洁第一和第二图案177和230。如上文所提到,形成光阻和DARC层220和210的碳材料可在与蚀刻剂接触时发生聚合。举例来说,第二硬掩模层150的HBr/Cl2蚀刻可促使层220和210的若干部分发生聚合,且在第二硬掩模层150中的部件周围留下剩余物,从而导致具有不良的非均匀特征的图案。因此,优选通过剥去有机或含碳材料来清洁图案177和230。可使用(例如)利用O2等离子体的各向同性蚀刻来完成有机材料或碳剥离。
参看图16,接着将图案177和230两者转移到第三硬掩模层155。在第三硬掩模155由LSO形成的情况下,优选使用(例如)碳氟化合物等离子体来对其进行各向异性蚀刻。所述碳氟化合物等离子体优选包括C4F8、CH2F2、Ar和O2,且可优选以相等速率蚀刻氧化硅和非晶碳,且更优选可以比蚀刻非晶硅层150的速率高约10倍的速率来蚀刻氧化硅。
参看图17,图案177和230被转移到主要掩模层160。优选通过各向异性蚀刻主要掩模层160来完成所述转移,其优选使用含SO2等离子体。其它合适的蚀刻化学物质包括含有Cl2/O2、HBr/O2/N2或SiCl4/O2/N2/HBr或者Sid4/O2的等离子体。如上文所提到,优选使用含SO2的等离子体,因为已发现相对于硬掩模层150和155其对主要掩模层160的非晶碳具有极佳的选择性。因此,可在主要掩模层160中形成足够厚的掩模,以稍后有效地将掩模图案转移到衬底110,尤其是使用选择性蚀刻化学物质穿过衬底的多种材料且不会在完成图案转移之前磨损掉主要掩模层160。
参看图18,在转移到主要掩模层160之后,图案177和230使用层160作为掩模而转移到衬底110。假定对于主要掩模层160和衬底110通常使用完全不同的材料(例如分别为非晶碳和硅或硅化合物),那么可容易地使用适用于蚀刻衬底110的材料的蚀刻化学物质来完成图案转移。举例来说,包含含有CF4、CHF3和/或NF3的等离子体的碳氟化合物蚀刻可用于蚀刻氮化硅,包含CF4、CHF3、CH2F2和/C4F8的等离子体的碳氟化合物蚀刻可用于蚀刻氧化硅,且含有HBr、Cl2、NF3、SF6和/或CF4的等离子体蚀刻可用于蚀刻硅。另外,熟练技工可容易确定用于其它衬底材料的合适蚀刻化学物质,所述其它衬底材料例如导体,包括铝、过渡金属和过渡金属氮化物。举例来说,可使用碳氟化合物蚀刻来蚀刻铝衬底。
将了解,在衬底110包含不同材料层的情况下,如果单种化学物质不足以蚀刻所有不同的材料,那么可使用一系列不同化学物质(优选为干蚀刻化学物质)来连续地蚀刻穿过这些不同层。还将了解,依据所使用的化学物质,可蚀刻隔离物175和硬掩模层150。然而,使用非晶碳用于主要掩模层160有利地提供对常规蚀刻化学物质(尤其是那些用于蚀刻含硅材料的蚀刻化学物质)的极佳抗性。因此,主要掩模层160可有效地用作用于蚀刻穿过多个衬底层或用于形成高纵横比沟槽的掩模。另外,在单个蚀刻步骤中,间距加倍图案177和由常规光刻形成的图案230可同时转移到衬底110或衬底110的每一个别层。
在一个实施例中,可使用各种蚀刻化学物质来蚀刻所述衬底层110a-110d的序列,所述蚀刻化学物质优选各向异性地蚀刻所述各个层。可在约3-10毫托的压力以及约200-350瓦电源功率和约50-100瓦偏压功率下使用Cl2/Cl4等离子体来蚀刻硅化物层110a;可通过在约10-30毫托的压力以及约300-500瓦电源功率和约20-50瓦偏压功率下使用HBr/Cl2等离子体来蚀刻多晶硅层110b;可在约5-10毫托的压力以及约600-1000瓦电源功率和约200-400瓦偏压功率下使用CF4/CH2F2/He等离子体来蚀刻氧化物-氮化物-氧化物(ONO)复合层110c;且可在约40-80毫托的压力以及约250-400瓦电源功率和约50-100瓦偏压功率下使用HBr/He/O2等离子体来蚀刻多晶硅层110d 。
参看图19,在一些优选实施例中,可在使用主要掩模层160来将图案177和230转移到衬底110之前移除隔离物175。优选使用对隔离物175具有选择性的蚀刻来执行所述移除。举例来说,在隔离物175包含氧化硅的情况下,可使用湿或干蚀刻(例如,湿缓冲氧化物蚀刻或使用CH2F2/C4F8/Ar/O2等离子体的干蚀刻)来完成隔离物移除。如上文所提到,此隔离物移除可有利地使形成图案177和230的部件的轮廓变直和/或稳定,尤其是在所述部件比最佳用于蚀刻衬底110长的情况下。
图20展示在蚀刻衬底110之后得到的结构。如上文所提到,衬底110可以是在其中蚀刻图案177和230的任何材料层。衬底110的成分可取决于(例如)待形成的电气装置。因此,在图19中,衬底110包含硅化物层110a、多晶硅层110b、氧化物-氮化物-氧化物(ONO)复合层110c和浮动栅极(FG)多晶硅层110d。在图式的右侧处,这种层序列形成电源选择门(SG)控制线110e。应注意,所有所说明的部件均位于所述阵列中,尽管SG控制线110e由于使用图案230界定的缘故而具有相对较大的临界尺寸。此类层的排列可有利地用于形成(例如)用于NAND快闪存储器的控制门堆叠。
请注意,蚀刻表面展现格外低的边缘粗糙度。另外,在阵列中形成的沟槽展示极佳的均匀性,即使在所绘画的较低100nm间距(50nm部件大小)处也是如此。有利的是,在实现这些结果的同时还在外围中形成经良好界定且平滑的线,所述线可具有显著大于约100nm(例如,在所说明的结构中为约250nm)的宽度。
将了解,根据优选实施例的图案的形成提供许多优点。举例来说,在例如低于约550℃且更优选低于约400℃的低温下沉积第二和第三硬掩模层150和155的能力维持了非晶碳层160的结构和化学完整性。此外,第三硬掩模层155可提供缓冲物以保护非晶碳层160不受针对上覆材料而采用的蚀刻化学物质的影响。有利的是,第三硬掩模层155允许在不良地蚀刻非晶碳层160的情况下清洁上覆图案。因此,图案的界定可得以改进,且可有效地移除例如聚合有机物的多余材料。
另外,因为多个图案(具有不同大小的部件)可在被转移到衬底之前合并在单个最终掩模层上,所以可容易地将重叠图案转移到衬底。因此,间距加倍部件和由常规光刻形成的部件可容易地形成为彼此连接。此外,如图20明显可见,可形成格外小的部件,且同时实现格外低的线边缘粗糙度。尽管在理论上未限定,但相信此类低线边缘粗糙度是使用层140和160的结果。形成隔离物175且执行多个各向异性蚀刻以将图案177和230从临时层140的水平面转移到主要掩模层160且接着转移到衬底110据信能有利地使形成图案177和230的部件的表面平滑。此外,本文所揭示的优选非晶碳蚀刻化学物质允许使用相对于蚀刻下伏非晶碳层(例如层140和160)的深度而言较薄的硬掩模层(例如层130、150和155)。这有利地允许更容易且有效地蚀刻层140和160。另外,降低了对上覆于硬掩模层的层(例如,图5中的光阻层)的特性和蚀刻选择性的苛求,因为不需要将硬掩模层130、150和155蚀刻到较大深度。
还将了解,可对所说明的加工流程作出各种修改。举例来说,间距倍增图案通常形成闭合回路,因为所述图案是由沿着心轴壁形成的隔离物形成的。因此,在间距倍增图案用于形成导线的情况下,优选使用额外的处理步骤来切除这些回路的末端,使得每一回路形成两个单独的非连接线。这可例如通过在线的将要维持的部分周围形成保护掩模且同时蚀刻掉所述掩模的未受保护末端来完成。在2004年8月31日申请的Tran等人的第10/931,771号美国专利申请案中揭示一种用于切除回路末端的合适方法,所述申请案的全部揭示内容以引用方式并入本文中。
除了形成门控制堆叠以外,将了解到所述优先实施例还可用于形成互连线和相关联的集成电路部件(例如连接垫)。图21A和图21B展示在蚀刻掉回路末端以形成个别导电互连件之后的集成电路的俯视图。图21A展示对于每一互连件形成有连接垫的回路末端,而图21B展示互连件的另一末端。将了解,每一图式的放大倍数是不同的。在2004年8月31日申请的Tran等人的第10/931,771号美国专利申请案中揭示多种用于形成互连件和连接垫的方法,所述申请案的全部揭示内容以引用方式并入本文中。
还将了解,图案177的间距可超过加倍。举例来说,图案177可进一步通过在隔离物175周围形成隔离物,接着移除所述隔离物175,接着在先前在隔离物175周围的隔离物周围形成隔离物等来使间距倍增。在Lowrey等人的第5,328,810号美国专利中论述一种用于进一步间距倍增的示范性方法。另外,尽管所述优选实施例可有利地应用于形成具有间距倍增部件和常规光刻界定部件两者的图案,但图案177和230都可为间距倍增和可具有不同程度的间距倍增。
此外,如果需要的话,可将两个以上图案177和230合并在主要掩模层160上。在此类情况下,可在层140与160之间沉积额外掩模层。举例来说,可将图案177和230转移到上覆于硬掩模层150的额外掩模层,且接着可执行图11-16中所说明的步骤序列以保护图案177和230,在上覆光可界定层中形成新图案,且将所述图案转移到衬底110。所述额外掩模层优选地包含这样的材料,可相对于硬掩模层150和在图案177和230转移到所述额外掩模层之后围绕所述图案的保护层对其进行选择性地蚀刻。
另外,在整个集成电路制造过程中可多次采用所述优选实施例,以在多个层或垂直水平面中形成间距倍增部件,所述多个层和垂直水平面可以是垂直邻接或非邻接以及垂直分离的。在这些情况下,待图案化的各个水平面中的每一者将构成衬底110,且所述各个层120-220可形成在待图案化的各个层上方。还将了解,上文论述的各个层120-220的特定成分和高度可以依据特定应用而变化。举例来说,层160的厚度可依据衬底110的特性和可用的蚀刻化学物质而改变,所述特性例如为衬底的化学成分、衬底包含单个还是多个材料层、待形成的部件深度等。在一些情况下,可省略层120-220中的一个或一个以上层,或可添加另外的层。举例来说,在硬掩模层150和/或155足以充分将图案转移到衬底110的情况下,可省略层160。
而且,尽管穿过各种掩模层的“处理”优选涉及蚀刻下伏层,但穿过掩模层的处理可涉及使位于在掩模层下伏的层经受任何半导体制造过程。举例来说,处理可涉及穿过掩模层且到达下伏层上的离子植入、扩散掺杂、沉积或湿蚀刻等。另外,掩模层可用作用于化学机械抛光(CMP)的终止物或阻挡物,或可对所述层中的任一者执行CMP以实现下伏层的平坦化和蚀刻两者,如在2005年3月28日申请的第60/666,031号美国临时专利申请案中所论述,所述申请案的全部揭示内容以引用方式并入本文中。
因此,所属领域的技术人员将了解,在不脱离本发明范围的情况下可对上文描述的方法和结构作出各种其它省略、添加和修改。希望所有此类修改和改变属于如由所附权利要求书所界定的本发明的范围内。

Claims (14)

1.一种集成电路制造方法,其包含:
在衬底上方形成多个心轴;
在所述心轴的侧壁上形成隔离物;
相对于所述隔离物选择性地移除所述心轴,以形成由所述隔离物界定的隔离物图案;
在所述隔离物周围沉积平坦化材料,以形成平坦上表面;
在所述平坦化材料中形成图案;
将所述隔离物图案和所述平坦化材料中的所述图案转移到下面的上部硬掩模层中,以在所述上部硬掩模层中形成合并的图案;
从所述合并的图案剥去有机材料;
随后,将所述合并图案转移到下面的下部硬掩模层中;以及
将所述合并图案转移到上覆于所述衬底的非晶碳层中。
2.根据权利要求1所述的方法,其进一步包含在将所述合并图案转移到所述下面的下部硬掩模层中之前从所述合并图案剥离有机材料。
3.根据权利要求1所述的方法,其进一步包含将所述合并图案转移到所述衬底中。
4.根据权利要求1所述的方法,其中形成所述多个心轴包含:
在光阻层中用光刻界定对应于所述心轴的部件;以及
将由所述部件形成的图案转移到下面于所述光阻层的临时层,其中转移由所述部件形成的图案在所述临时层中界定所述心轴。
5.根据权利要求1所述的方法,其中在所述平坦化材料中形成所述图案包含:
在所述平坦上表面上方沉积光阻层;
图案化所述光阻层;以及
将所述光阻层中的图案转移到所述平坦化材料。
6.一种用于形成集成电路的方法,其包含:
在衬底上方提供非晶碳层;
在所述非晶碳层上方提供下部硬掩模层;
在所述下部硬掩模层上提供上部硬掩模层;
在所述上部硬掩模层上方提供临时层;
在所述临时层上方提供第一硬掩模层;
在所述第一硬掩模层上方提供光阻层,所述光阻层具有光阻图案;
将所述光阻图案转移到所述第一硬掩模层;
将所述光阻图案转移到所述临时层,以在所述临时层中形成多个部件和空隙;
在所述临时层部件的侧壁上形成隔离物,其中形成隔离物包含:
在所述临时层的部件周围和上方沉积隔离物材料层;以及
各向异性地蚀刻所述隔离物材料层;
相对于所述隔离物优先移除所述临时层以形成独立的隔离物,其中所述独立的隔离物形成隔离物图案;
在与所述隔离物图案相同的水平面上形成第二图案;
在形成所述第二图案之后将所述隔离物和第二图案转移到所述上部硬掩模层;
在将所述隔离物和第二图案转移到所述上部硬掩模层之后执行碳剥离;以及
在执行所述碳剥离之后将所述隔离物和第二图案从所述上部硬掩模层转移到所述下部硬掩模层。
7.根据权利要求6所述的方法,其中提供所述光阻层包含执行光刻,其中所述独立的隔离物的间距小于用于形成所述光阻图案的光刻技术的最小间距。
8.根据权利要求6所述的方法,其中形成所述第二图案包含在所述隔离物周围沉积平坦化层,在所述平坦化层上方沉积另一光阻层,和在所述另一光阻层中形成所述第二图案。
9.根据权利要求8所述的方法,其中形成所述第二图案包含进一步包含将所述第二图案转移到所述平坦化层,以在与所述隔离物图案相同的水平面上形成所述第二图案。
10.根据权利要求6所述的方法,其进一步包含将所述隔离物和第二图案从所述下部硬掩模层转移到所述非晶碳层。
11.根据权利要求10所述的方法,其进一步包含将所述隔离物和第二图案从所述非晶碳层转移到所述衬底。
12.根据权利要求11所述的方法,其进一步包含在蚀刻所述衬底之前选择性地移除所述隔离物。
13.根据权利要求6所述的方法,其中所述隔离物至少在垂直于所述隔离物延伸的第一与第二隔开平面之间以彼此隔开且平行的关系延伸。
14.根据权利要求6所述的方法,其中所述临时层是由非晶碳形成。
CN200680013194A 2005-03-15 2006-03-03 相对于光刻部件间距减小的图案 Expired - Fee Related CN100576447C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US66232305P 2005-03-15 2005-03-15
US60/662,323 2005-03-15
US11/214,544 2005-08-29

Publications (2)

Publication Number Publication Date
CN101164147A CN101164147A (zh) 2008-04-16
CN100576447C true CN100576447C (zh) 2009-12-30

Family

ID=39298289

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680013194A Expired - Fee Related CN100576447C (zh) 2005-03-15 2006-03-03 相对于光刻部件间距减小的图案

Country Status (2)

Country Link
US (3) US7390746B2 (zh)
CN (1) CN100576447C (zh)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253650B2 (en) * 2004-05-25 2007-08-07 International Business Machines Corporation Increase productivity at wafer test using probe retest data analysis
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100591133B1 (ko) * 2004-12-15 2006-06-19 동부일렉트로닉스 주식회사 불화아르곤용 포토레지스트를 이용한 게이트 패턴 형성 방법
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100632651B1 (ko) * 2005-09-15 2006-10-11 주식회사 하이닉스반도체 플래쉬 메모리소자의 제조방법
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7662721B2 (en) * 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US20080102643A1 (en) * 2006-10-31 2008-05-01 United Microelectronics Corp. Patterning method
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR100866723B1 (ko) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
KR100934981B1 (ko) * 2007-06-11 2010-01-06 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
CN102203921A (zh) * 2007-06-15 2011-09-28 应用材料股份有限公司 在基板间隙中形成氧化物牺牲衬层的氧气sacvd方法
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8211806B2 (en) * 2007-08-29 2012-07-03 Macronix International Co., Ltd. Method of fabricating integrated circuit with small pitch
US7670905B2 (en) * 2007-09-07 2010-03-02 Micron Technology, Inc. Semiconductor processing methods, and methods of forming flash memory structures
JP5106028B2 (ja) * 2007-10-03 2012-12-26 株式会社東芝 半導体記憶装置及びその製造方法
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
KR100924015B1 (ko) * 2007-11-02 2009-10-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7846812B2 (en) * 2007-12-18 2010-12-07 Micron Technology, Inc. Methods of forming trench isolation and methods of forming floating gate transistors
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100924193B1 (ko) * 2007-12-24 2009-10-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP2009239030A (ja) * 2008-03-27 2009-10-15 Toshiba Corp 半導体装置の製造方法
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP5536985B2 (ja) * 2008-04-14 2014-07-02 株式会社東芝 半導体装置製造方法およびパターン寸法設定プログラム
KR20090110172A (ko) * 2008-04-17 2009-10-21 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295790A (ja) * 2008-06-05 2009-12-17 Toshiba Corp パターン形成方法
KR101468028B1 (ko) * 2008-06-17 2014-12-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8222159B2 (en) * 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8080443B2 (en) 2008-10-27 2011-12-20 Sandisk 3D Llc Method of making pillars using photoresist spacer mask
KR20100052598A (ko) * 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8048813B2 (en) * 2008-12-01 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing delamination in the fabrication of small-pitch devices
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8114765B2 (en) 2008-12-31 2012-02-14 Sandisk 3D Llc Methods for increased array feature density
US8084347B2 (en) 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
JP5330004B2 (ja) * 2009-02-03 2013-10-30 株式会社東芝 半導体装置の製造方法
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8043964B2 (en) 2009-05-20 2011-10-25 Micron Technology, Inc. Method for providing electrical connections to spaced conductive lines
JP5356516B2 (ja) * 2009-05-20 2013-12-04 株式会社東芝 凹凸パターン形成方法
US8026172B2 (en) * 2009-06-29 2011-09-27 Sandisk 3D Llc Method of forming contact hole arrays using a hybrid spacer technique
US8404592B2 (en) * 2009-07-27 2013-03-26 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using L-shaped spacers
US8003482B2 (en) * 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
KR20110055912A (ko) * 2009-11-20 2011-05-26 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
MY169590A (en) * 2010-02-02 2019-04-22 Mimos Berhad Method of fabricating nano-resistors
CN102201365B (zh) * 2010-03-22 2014-06-04 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8563439B2 (en) * 2010-07-23 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch dimension shrinkage
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
CN102064096B (zh) * 2010-12-03 2012-07-25 北京大学 一种细线条的制备方法
US8883649B2 (en) * 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR20120124787A (ko) * 2011-05-04 2012-11-14 삼성전자주식회사 반도체 소자의 제조 방법
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
CN102789968B (zh) 2011-05-20 2015-06-17 中芯国际集成电路制造(北京)有限公司 在半导体制造工艺中形成硬掩模的方法
KR20130005463A (ko) * 2011-07-06 2013-01-16 삼성전자주식회사 미세 패턴 형성 방법, 다마센 배선 형성 방법, 이를 이용하여 제조된 반도체 소자 및 반도체 메모리 장치
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20130065397A1 (en) * 2011-09-12 2013-03-14 Vigma Nanoelectronics Methods to increase pattern density and release overlay requirement by combining a mask design with special fabrication processes
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8629040B2 (en) 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
US8557675B2 (en) * 2011-11-28 2013-10-15 Globalfoundries Inc. Methods of patterning features in a structure using multiple sidewall image transfer technique
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8669186B2 (en) 2012-01-26 2014-03-11 Globalfoundries Inc. Methods of forming SRAM devices using sidewall image transfer techniques
US8809194B2 (en) * 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8980111B2 (en) * 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US8900937B2 (en) 2013-03-11 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device structure and methods of making same
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9711368B2 (en) * 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US9064813B2 (en) * 2013-04-19 2015-06-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US20140319488A1 (en) * 2013-04-25 2014-10-30 Veeco Ald Inc. Thin film formation for device sensitive to environment
CN104183538B (zh) * 2013-05-21 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9437443B2 (en) * 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
CN104241289B (zh) * 2013-06-20 2018-11-13 中国科学院微电子研究所 存储器件及其制造方法
US9466486B2 (en) * 2013-08-30 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US9437479B2 (en) * 2013-11-19 2016-09-06 Applied Materials, Inc. Methods for forming an interconnect pattern on a substrate
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9029263B1 (en) * 2013-12-12 2015-05-12 Texas Instruments Incorporated Method of printing multiple structure widths using spacer double patterning
EP3901992A1 (en) * 2013-12-23 2021-10-27 INTEL Corporation Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures
KR20150109088A (ko) * 2014-03-19 2015-10-01 에스케이하이닉스 주식회사 반도체 장치의 미세패턴 형성방법
CN104064471A (zh) * 2014-05-21 2014-09-24 上海华力微电子有限公司 一种用于双重图形化工艺流程的侧墙形成方法
JP5869057B2 (ja) * 2014-06-30 2016-02-24 ウィンボンド エレクトロニクス コーポレーション 半導体記憶装置
KR102192350B1 (ko) * 2014-08-05 2020-12-18 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조방법
US9324619B2 (en) * 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR20160029900A (ko) * 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
US9673050B2 (en) * 2014-11-06 2017-06-06 Tokyo Electron Limited Method of patterning incorporating overlay error protection
US9349952B1 (en) * 2014-12-08 2016-05-24 Sony Corporation Methods for fabricating a memory device with an enlarged space between neighboring bottom electrodes
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
CN106373880B (zh) * 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9748110B2 (en) * 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US11244822B2 (en) * 2015-10-20 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for manufacturing a thin film and a method therefor
KR102329531B1 (ko) * 2016-03-28 2021-11-23 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10795168B2 (en) 2017-08-31 2020-10-06 Metalenz, Inc. Transmissive metasurface lens integration
US10269576B1 (en) * 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
KR102608900B1 (ko) * 2018-07-30 2023-12-07 삼성전자주식회사 반도체 소자 제조 방법
US11574811B2 (en) 2019-09-23 2023-02-07 International Business Machines Corporation Tight pitch patterning
US20220189771A1 (en) * 2020-12-10 2022-06-16 Applied Materials, Inc. Underlayer film for semiconductor device formation
US11927769B2 (en) 2022-03-31 2024-03-12 Metalenz, Inc. Polarization sorting metasurface microlens array device

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2004203A (en) * 1935-03-25 1935-06-11 James W Howell Spout for hose nozzles
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) * 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) * 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) * 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (de) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) * 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6534409B1 (en) * 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
US6022815A (en) 1996-12-31 2000-02-08 Intel Corporation Method of fabricating next-to-minimum-size transistor gate using mask-edge gate definition technique
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) * 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) * 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
US6680163B2 (en) 2000-12-04 2004-01-20 United Microelectronics Corp. Method of forming opening in wafer layer
US6475867B1 (en) * 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) * 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) * 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
TW550827B (en) 2002-08-15 2003-09-01 Nanya Technology Corp Floating gate and method thereof
US20040035255A1 (en) 2002-08-23 2004-02-26 Rion John D. Nailer's pliers
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) * 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) * 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7186649B2 (en) 2003-04-08 2007-03-06 Dongbu Electronics Co. Ltd. Submicron semiconductor device and a fabricating method thereof
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
JP2005116969A (ja) 2003-10-10 2005-04-28 Toshiba Corp 半導体装置及びその製造方法
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) * 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) * 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7132327B2 (en) 2004-05-25 2006-11-07 Freescale Semiconductor, Inc. Decoupled complementary mask patterning transfer method
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof

Also Published As

Publication number Publication date
US20070117310A1 (en) 2007-05-24
US20070049040A1 (en) 2007-03-01
CN101164147A (zh) 2008-04-16
US7390746B2 (en) 2008-06-24
US7884022B2 (en) 2011-02-08
US20110117743A1 (en) 2011-05-19

Similar Documents

Publication Publication Date Title
CN100576447C (zh) 相对于光刻部件间距减小的图案
KR100921588B1 (ko) 포토리소그래피의 피쳐들에 관련된 감소된 피치를 갖는패턴들
CN101297391B (zh) 具有用于间距倍增的间隔物的掩膜图案及其形成方法
CN101512726B (zh) 高效的间距倍增工艺
US9048194B2 (en) Method for selectively modifying spacing between pitch multiplied structures
US9679781B2 (en) Methods for integrated circuit fabrication with protective coating for planarization
CN100521090C (zh) 掩模材料转化
US8216949B2 (en) Method for integrated circuit fabrication using pitch multiplication
CN101292327B (zh) 形成间距倍增接点的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091230

Termination date: 20110303