CN100578466C - 用于基于集线器的存储器子系统中的双向数据总线的数据旁路的装置和方法 - Google Patents

用于基于集线器的存储器子系统中的双向数据总线的数据旁路的装置和方法 Download PDF

Info

Publication number
CN100578466C
CN100578466C CN200580010700A CN200580010700A CN100578466C CN 100578466 C CN100578466 C CN 100578466C CN 200580010700 A CN200580010700 A CN 200580010700A CN 200580010700 A CN200580010700 A CN 200580010700A CN 100578466 C CN100578466 C CN 100578466C
Authority
CN
China
Prior art keywords
memory
coupled
data
write
bus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200580010700A
Other languages
English (en)
Other versions
CN101084489A (zh
Inventor
道格拉斯·A·拉森
杰弗里·J·克洛宁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101084489A publication Critical patent/CN101084489A/zh
Application granted granted Critical
Publication of CN100578466C publication Critical patent/CN100578466C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/28Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access DMA, cycle steal
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/161Handling requests for interconnection or transfer for access to memory bus based on arbitration with latency improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0888Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches using selective caching, e.g. bypass

Abstract

一种存储集线器,包括:第一和第二链路接口,其耦合到各自数据总线;数据路径,其耦合到所述第一和第二链路接口并且通过所述数据路径在所述第一和第二链路接口之间传送数据;还包括写旁路电路,其耦合到所述数据路径来耦合所述数据路径上的写数据并且临时存储所述写数据,以便在所述写数据被临时存储时允许在所述数据路径上传送读数据。提供一种用于向存储器系统中的存储器单元写入数据的方法,其包括访问存储器系统中的读数据,向存储器系统提供写数据,以及把写数据耦合到寄存器以便临时存储。在提供了读数据之后,写数据被再耦合到存储器总线并且被写入存储器单元。

Description

用于基于集线器的存储器子系统中的双向数据总线的数据旁路的装置和方法
相关申请的交叉引用
本申请要求于2004年2月5日提交的、题目为“APPARATUSAND METHOD FOR DATA BYPASS FOR A BI-DIRECTIONALDATA BUS IN A HUB-BASED MEMORY SUB-SYSTEM”的美国专利申请No.10/773,583的申请日的权益,在此将其引入作为参考。
技术领域
本发明涉及存储器系统,并且尤其涉及具有数据旁路以防止双向数据总线上的数据冲突的存储器模块。
背景技术
计算机系统使用诸如动态随机访问存储器(“DRAM”)之类的存储器设备来存储被处理器访问的数据。这些存储器设备通常被用作计算机系统中的系统存储器。在一个典型的计算机系统中,处理器通过处理器总线和存储器控制器与系统存储器通信。系统存储器的存储器设备(一般被安置在具有多个存储器设备的存储器模块中)通过存储器总线耦合到存储器控制器。处理器发出存储器请求,该存储器请求包括比如读命令的存储器命令以及地址,该地址指定将从其读取数据或指令的存储器单元。存储器控制器使用命令和地址来生成合适的命令信号以及行地址和列地址,它们通过存储器总线被施加到系统存储器。响应于所述命令和地址,在系统存储器和处理器之间传送数据。存储器控制器通常是系统控制器的一部分,系统控制器还包括总线桥接电路,以用于将处理器总线耦合到诸如PCI总线之类的扩展总线。
在存储器系统中,高数据带宽是所希望的。通常,带宽限制不涉及存储器控制器,这是因为存储器控制器按存储器设备所允许的速度尽快排序数据往返于系统存储器。一个被采用来增加带宽的方案是增加将存储器控制器耦合到存储器设备的存储器数据总线的速度。从而,在更短的时间内可以通过存储器数据总线移送相同的信息量。然而,尽管增加了存储器数据总线的速度,却没有实现带宽的相应增加。数据总线速度和带宽之间的非线性关系的一个原因是存储器设备自身内的硬件限制。也就是说,存储器控制器必须调度到存储器设备的所有存储器命令,以便兑现(honor)硬件限制。尽管可以通过存储器设备的设计而一定程度地降低这些硬件限制,然而由于降低硬件限制通常增加存储器设备的成本、功率、和/或大小(这些都是不希望的选择)而必须做出折衷。因此,考虑到这些约束条件,尽管以曾经增加的速率移动“表现好的”业务(例如,到存储器设备的同一页面的结局业务(sequel traffic))对存储器设备而言是容易的,然而要解决“表现不好的”业务(比如在存储器设备的不同页面或存储体之间弹跳)对存储器设备而言却更加困难。结果是,存储器数据总线带宽的增加不会导致信息带宽的相应增加。
除了处理器和存储器设备之间的受限带宽之外,计算机系统的性能还受限于延时问题,所述延时问题增加了从系统存储器设备读取数据所需的时间。更具体地,当存储器设备读命令被耦合到诸如同步DRAM(“SDRAM”)设备之类的系统存储器设备时,所述读数据只能在几个时钟周期的延迟之后才被输出。因此,尽管SDRAM设备能够以高数据速率同步输出突发数据,然而初始提供数据时的延迟可以极大地降低使用这类SDRAM设备的计算机系统的操作速度。增加存储器数据总线速度可以被用来帮助缓解延时问题。然而,基本上由于之前所述的相同原因,存储器数据总线速度的增加在给出带宽的情况下没有导致延时的线性减少。
尽管增加存储器数据总线速度在某种程度上已经成功地增加了带宽并且减少了延时,然而这个方法却引发了其它的问题。例如,随着存储器数据总线速度的增加,存储器总线上的负荷需要被减少以便保持信号完整性,这是因为存储器控制器和插入存储器模块的存储器插槽之间在传统上只存在连线。若干方案已经被采用来提供存储器数据总线速度的增加。例如,减少存储器插槽的数量、增加存储器模块上的缓冲器电路以便向存储器模块上的存储器设备提供充足的控制信号输出,并且因为在单个存储器设备接口上的存储器模块连接器太少,所以在存储器模块上提供多个存储器设备接口。然而,这些传统方案的效果被限制。这些技术在过去被使用的原因在于那样做是成本节约的。然而,当在每个接口中只能插入一个存储器模块时,对于每个所需的存储器插槽都添加一个分离的存储器接口变得成本太高。换言之,它将系统控制器组从日用品范围中推出而进入精品范围,从而极大地增加了成本。
近来,一个用成本节约的方式来增加存储器数据总线速度的新方法是使用经由存储器集线器耦合到处理器的多个存储器设备。在存储器集线器架构或基于集线器的存储器子系统中,系统控制器或存储器控制器通过高速双向或单向存储器控制器/集线器接口耦合到若干个存储器模块。典型情况下,存储器模块以点到点或菊链架构的方式耦合以便存储器模块彼此逐个串联。从而,存储器控制器耦合到第一存储器模块,而第一存储器模块连接到第二存储器模块,第二存储器模块又耦合到第三存储器模块,以菊链方式依此类推。
每个存储器模块都包括一个存储器集线器,其被耦合到该模块上的存储器控制器/集线器接口和多个存储器设备,其中存储器集线器有效率地通过存储器控制器/集线器接口在控制器和存储器设备之间路由存储器请求和响应。采用这种架构的计算机系统可以使用一个高速存储器数据总线,这是因为在存储器数据总线上可以保持信号完整性。而且,这种架构还提供了对系统存储器的容易扩展,而不用考虑在添加更多存储器模块时的信号质量降级,比如在传统的存储器总线结构中发生的信号质量降低。
尽管使用存储器集线器的计算机系统可以提供较高性能,然而它们通常由于各种原因而无法以最佳效率操作。一个此种原因是管理经由存储器总线在存储器控制器往返流动的数据之间的数据冲突的问题。在常规的存储器控制器中,一个被采用来避免数据冲突的方案是延迟一个存储器命令的执行,直到另一个存储器命令完成为止。例如,利用常规的存储器控制器,在读命令后发出的写命令不被允许开始,直到所述读命令几乎完成为止,从而避免所述读(例如,输入)数据在存储器总线上与写(例如,输出)数据发生数据冲突。然而,强迫写命令等待有效地降低带宽,这与一般在存储器系统中所期望的不一致。
发明内容
根据本发明的第一方面,提供一种用于基于集线器的存储器模块的存储器集线器,包括:第一和第二链路接口,用于耦合到各自数据总线;双向数据路径,其耦合到所述第一和第二链路接口,并且通过所述双向数据路径在所述第一和第二链路接口之间传送数据;和写旁路电路,其耦合到所述双向数据路径以耦合所述双向数据路径上的要被向下游传送的写数据,并且在读命令之后且在所述读命令完成之前发出写命令时,所述写旁路电路被配置为临时存储所述写数据,以便在所述写数据被临时存储时允许通过所述双向数据路径向上游传送读数据。
根据本发明的第二方面,提供一种存储器模块,包括:多个存储器设备;和存储器集线器,其耦合到所述多个存储器设备,所述存储器集线器包括:第一和第二链路接口,用于耦合到各自数据总线;双向数据路径,其耦合到所述第一和第二链路接口,并且可以通过所述双向数据路径在所述第一和第二链路接口之间传送数据;和写旁路电路,其耦合到所述双向数据路径来耦合所述双向数据路径上的要被向下游传送的写数据,并且在读命令之后且在所述读命令完成之前发出写命令时,所述写旁路电路被配置为临时存储所述写数据,以便在所述写数据被临时存储时允许通过所述双向数据路径向上游传送读数据。
根据本发明的第三方面,提供一种基于处理器的系统,包括:处理器,具有处理器总线;系统控制器,其耦合到所述处理器总线,所述系统控制器具有系统存储器端口和外围设备端口;至少一个输入设备,其耦合到所述系统控制器的外围设备端口;至少一个输出设备,其耦合到所述系统控制器的外围设备端口;至少一个数据存储设备,其耦合到所述系统控制器的外围设备端口;和存储器模块,其耦合到所述系统控制器的系统存储器端口,所述存储器模块包括:多个存储器设备;和存储器集线器,其耦合到所述多个存储器设备,所述存储器集线器包括:第一和第二链路接口,用于耦合到各自数据总线;双向数据路径,其耦合到所述第一和第二链路接口并且通过所述双向数据路径在所述第一和第二链路接口之间传送数据;和写旁路电路,其耦合到所述双向数据路径来耦合所述双向数据路径上的要被向下游传送的写数据,并且在读命令之后且在所述读命令完成之前发布写命令时,所述写旁路电路被配置为临时存储所述写数据,以便在所述写数据被临时存储时允许通过所述双向数据路径向上游传送读数据。
根据本发明的第四方面,提供一种用于将数据写入存储器系统中的存储器单元的方法,所述存储器系统包括与双向存储器总线耦合的多个存储器模块,所述方法包括:向所述存储器系统发出读命令;在发出所述读命令之后并且在所述读命令完成之前,在所述双向存储器总线上向所述存储器系统提供写命令和相应的写数据;将所述写数据耦合到所述存储器系统中的寄存器以用于临时存储所述写数据,以便在所述写数据被提供到所述双向存储器总线之后并且在所述写数据被写入之前,允许读数据在所述双向存储器总线上返回;将所述读数据耦合到所述双向存储器总线并且提供所述读数据以用于读取;将所述寄存器中存储的所述写数据耦合到所述双向存储器总线;并且将所述写数据写入所述存储器单元。
根据本发明的第五方面,提供一种用于在存储器系统中执行存储器命令的方法,所述存储器系统包括耦合到双向存储器总线的多个存储器模块,所述方法包括:向所述存储器系统发出读命令;在所述读命令完成之前,向所述存储器系统中的存储器单元发出写命令并且向所述存储器系统的双向存储器总线提供写数据;访问所述存储器系统中的读数据;在所述存储器系统中,旁路电路从所述双向存储器总线临时捕获所述写数据;在所述双向存储器总线上从所述存储器系统接收所述读数据;将所述写数据释放到所述双向存储器总线;和恢复到所述存储器单元的所述写命令。
根据本发明的第六方面,提供一种用于在存储器系统中执行读命令和写命令的方法,所述存储器系统包括耦合到双向存储器总线的多个存储器模块,所述方法包括:发出读命令来访问所述存储器系统中的第一存储器单元;在所述读命令完成之前,调度写命令来向所述存储器系统中的第二存储器单元写入数据;从所述第一存储器单元取回读数据;向所述存储器系统的双向存储器总线提供与所述写命令相对应的写数据;在所述存储器系统中,旁路电路在所述双向存储器总线上临时捕获所述写数据;在所述双向存储器总线上从所述存储器系统接收所述读数据;并且向所述双向存储器总线释放所述写数据。
附图说明
图1是具有其中可以实施本发明的实施例的存储器集线器结构中的存储器模块的计算机系统的方框图;
图2是与图1的存储器模块一起使用的根据本发明实施例的存储器集线器的局部方框图;
图3是用于根据本发明实施例的图2的存储器集线器的数据旁路电路的方框图;
图4是说明具有图1的存储器集线器结构和图2的存储器集线器的计算机系统的图3的数据旁路电路的操作的方框图。
具体实施方式
本发明的实施例旨在具有旁路电路的存储器集线器,该旁路电路在基于集线器的存储器子系统中提供用于双向数据总线的数据旁路。某些细节在下面阐述,以提供对本发明的各种实施例的充分理解。然而,对于本领域的一个技术人员而言很清楚的是,本发明可以在不需要这些具体的细节的情况下实践。在其它情况下,公知的电路、控制信号、以及定时协议没有被详细示出以避免不必要地模糊本发明。
图1例示了根据本发明的一个实施例的计算机系统100。计算机系统100包括用于执行各种计算功能的处理器104,比如运行特定的软件来执行特定的计算或任务。处理器104包括处理器总线106,该处理器总线106通常包括地址总线、控制总线、和数据总线。处理器总线106通常被耦合到高速缓存存储器108。通常,高速缓存存储器108由静态随机访问存储器(“SRAM”)提供。处理器总线106还耦合到系统控制器110,其有时被称为总线桥。
对于各种其它组件,系统控制器110起到到处理器104的通信路径的作用。例如,如图1所示,系统控制器110包括通常被耦合到图形控制器112的图形端口。图形控制器通常被耦合到诸如视频显示器之类的视频终端114。系统控制器110还被耦合到一个或多个诸如键盘或鼠标之类的输入设备118,以便允许操作员与计算机系统100交互。通常,计算机系统100还包括一个或多个诸如打印机之类的输出设备120,它们通过系统控制器110被耦合到处理器104。一个或多个数据存储设备124还经由系统控制器110被耦合到处理器104,从而允许处理器将数据存储到内部或外部存储介质(未示出)或者从内部或外部存储介质取回数据。典型的存储设备124的例子包括硬盘和软盘、盒式磁带、以及紧凑磁盘只读存储器(“CD-ROM”)。
系统存储器110包括存储器集线器控制器128,其被耦合到若干个存储器模块130a、130b、130c、…130n的存储器集线器140。所述存储器模块130起计算机系统100的系统存储器的作用,并且被优选地通过高速双向存储器控制器/集线器接口134耦合到存储器集线器控制器128。存储器模块130被示出以点对点布置的方式耦合到存储器集线器控制器128,其中,存储器控制器/集线器接口134通过存储器模块130的存储器集线器140而耦合。即,存储器控制器/集线器接口134是用于串联耦合存储器集线器140的双向总线。因此,存储器控制器/集线器接口134上的信息必须传播通过“上游”存储器模块130的存储器集线器从而到达“下游”目的地。例如,通过具体参考图1,从存储器集线器控制器128发送到存储器模块130c的存储器集线器140的信息将穿过存储器模块130a和130b的存储器集线器140。
然而,应当明白的是,也可以使用除了图1的点到点布置之外的其它拓扑结构。例如,可以使用耦合布置,其中,分离的高速链路(未示出)被用来将每个存储器模块130都耦合到存储器集线器控制器128。还可以使用交换拓扑,其中,存储器集线器控制器128通过交换机(未示出)被选择性地耦合到每一个存储器模块130。其它可以使用的拓扑对于本领域的技术人员来说是显而易见的。另外,将存储器模块耦合到存储器集线器控制器的存储器控制器/集线器接口134可以是电或光通信路径。然而,其它类型的通信路径也可以被用于存储器控制器/集线器接口134。如果存储器控制器/集线器接口134被实现为光通信路径,则该光通信路径的形式可以是一条或多条光纤。在这类情况下,如在本领域中所熟知的,存储器集线器控制器128和存储器模块将包括光输入/输出端口,或者被耦合到所述光通信路径的分离的输入和输出端口。
存储器集线器140控制对各个存储器模块130的存储器设备148的访问。在图1中,存储器设备被例示为同步动态随机访问存储器(“SDRAM”)设备。然而,可以使用除了SDRAM设备之外的存储器设备。还如图1中所示,存储器集线器通过各个存储器总线150耦合到四个存储器设备组148。对于每个存储器模块130的总共20个存储器设备148,每组存储器设备都包括四个存储器设备148。如在本领域中所公知的,存储器总线150通常包括控制总线、地址总线、和数据总线。然而,本领域的那些普通技术人员应该明白,诸如使用共享的命令/地址总线的总线系统之类的其它总线系统也可以在不脱离本发明范围的情况下被使用。而且还应当明白,存储器设备148的布置和存储器设备148的数量可以在不脱离本发明范围的情况下被修改。
图2例示了根据本发明实施例的存储器集线器140的一部分。存储器集线器140包括耦合到存储器控制器/集线器接口134(图1)的本地集线器电路214。本地集线器电路214还通过存储器总线150耦合到存储器设备148。本地集线器电路214包括控制逻辑,用于处理从存储器控制器128发出的存储器命令并且用于通过存储器总线150来访问存储器设备148,以便在存储器命令被导引到各个存储器模块130时提供相应的数据。这类控制逻辑的设计和操作对于本领域中的那些技术人员来说是公知的,并且因此,为了简洁起见,可以在此省略更多的详细说明。存储器集线器140还包括耦合到本地集线器电路214的数据旁路电路286。如在下文中将被更详细解释的,数据旁路电路286被用来临时捕获向远距离存储器集线器传递的数据,从而允许从另一远距离存储器集线器返回的数据可以在所捕获的数据继续在该远距离存储器集线器上之前穿过存储器集线器140。因此,数据旁路电路286提供了一个数据旁路机制,其可以被用来避免在与存储器集线器140耦合的双向存储器控制器/集线器接口134上的数据冲突。
如上所述,常规的存储器子系统用来避免数据冲突的一个方法是延迟一个存储器命令的执行,直到另一个存储器命令完成为止。例如,在典型的存储器系统中,在读命令之后发出的写命令将不会被允许在该读命令几乎完成之前开始,以便避免读(即,输入)数据在存储器控制器/集线器接口134上与写(即,输出)数据发生冲突。与之相反,通过采用具有数据旁路电路286的存储器集线器140,与常规的存储系统相比较,在读命令之后发出的写命令可以被排序得较早,并且因此,在较早调度的写命令之后调度的存储器命令可以被尽早地执行。
图3说明了根据本发明实施例的数据旁路电路300。数据旁路电路300可以用来替代数据旁路电路286(图2),并且可以使用本领域技术人员所熟知的常规设计和电路来实现。数据旁路电路300包括输入缓存器302,其接收输入写数据WR_DATA_IN并且向旁路寄存器/FIFO 304和复用器306的第一输入端提供相同的数据。旁路寄存器/FIFO 304的输出端被耦合到复用器306的第二输入端。旁路选择逻辑308产生的使能信号EN选择两个输入端中的哪一个来耦合到复用器306的输出端。EN信号还被提供给输入/输出缓存器310,作为用于激活或去激活输入/输出缓存器310的输出使能信号。旁路选择逻辑308响应于由存储器集线器控制器128(图1)提供的激活信号BYPASS_EN而产生适当的EN信号。或者,BYPASS_EN信号可以从作为同一存储器系统的一部分的其它存储器集线器(未示出)提供。数据旁路电路的电路是常规的,并且应当明白,数据旁路电路300的电路可以用本领域中熟知的常规设计和电路来实现。
在操作中,数据旁路电路300所接收的WR_DATA_IN通过输入缓存器302被驱动并且被提供给复用器306的第一输入端。WR_DATA_IN还被保存在旁路寄存器/FIFO 304中。响应于无效的BYPASS_EN信号,旁路选择逻辑308产生有效的EN信号。有效的EN信号使得输入/输出缓存器310能够输出并且能够通过复用器306将输入缓存器302的输出端耦合到输入/输出缓存器310的输入端。因此,WR_DATA_IN被直接提供给输入/输出缓存器310的输入端,并且WR_DATA_IN通过数据旁路电路300被提供而无须任何旁路。然而,响应于有效的BYPASS_EN信号,旁路选择逻辑308产生无效的EN信号,从而禁止输入/输出缓存器310的输出功能并且将其输出端置于高阻状态。另外,无效的EN信号将输入/输出缓存器310的输入端耦合到旁路寄存器/FIFO 304的输出端。按照这种方式,WR_DATA_IN被数据旁路电路300接收,由旁路寄存器/FIFO 306存储,并且被施加到输入/输出缓存器310的输入端。然而,由于EN信号的无效状态,WR_DATA_IN没有作为输出数据WR_DATA_OUT由输入/输出缓存器310提供。结果是,WR_DATA_IN被保持在旁路状态中,直到BYPASS_EN信号变成无效为止,在那时,EN信号又变成有效,从而使得输入/输出缓存器310能够将WR_DATA_IN作为WR_DATA_OUT提供。复用器306还被切换回将输入缓存器302的输出端直接耦合到输入/输出缓存器310的输入端,从而允许WR_DATA_IN能够没有受阻碍地穿过数据旁路电路。
数据旁路电路286的操作将参考图4来描述。图4类似于图1,不同之处在于图4被简化了。特别地,图1的许多功能块已经被省略,而只示出了存储器模块130a-130c,并且由存储器集线器140a-140c来表示。只有一个存储器设备148a-148c被示出通过一个相应的存储器总线150a-150c耦合到一个相应的存储器集线器140a-140c。如同在图1中,存储集线器140a-140c被高速双向存储器控制器/集线器接口134耦合到存储器集线器控制器128。
在图4中,假定存储器集线器控制器128刚发出读命令和写命令,其中,读命令在写命令之前被排序。读命令被导引到存储器模块130b并且写命令被导引到存储器模块130c。即,将写入数据的存储器模块是将从中读取数据的存储器模块的下游。响应于读命令,存储器集线器140b开始从存储器设备148b取回读数据(RD),如图4中由“(1)”所指示。读命令发出之后,写命令然后被启动,并且写数据(WD)被提供到存储器控制器/集线器接口134上。然而,因为存储器集线器控制器128正期待RD从存储器模块130b返回,所以存储器集线器140a被导引来捕获其数据旁路电路286a中的WD。结果是,如图4中“(2)”所指示,存储器集线器286a捕获WD以清除存储器控制器/集线器接口134,以用于RD返回到存储器集线器控制器128。当存储器集线器140b从存储器设备148b取回RD时,随后通过存储器控制器/集线器接口134将RD提供给存储器集线器控制器128以便完成读请求,如图4中”(3)”所指示。当穿过存储器集线器140a的RD在其到存储器集线器控制器128的途中时,存储器集线器140a从数据旁路电路286a释放WD来继续向存储器集线器140c前进。通过高速链路将WD提供给存储器集线器140c,该高速链路现在在存储器集线器140a和140c之间被清空。如图4中的”(4)”所指示,当到达存储器集线器140c时,WD被写入存储器设备148c。在本发明的实施例中,存储器控制器/集线器接口上并且通过数据旁路电路286的RD和WD数据流的协调受存储器集线器控制器128的控制。例如,在先前的例子中,存储器集线器控制器确保,当从存储器模块130b取回RD时,与RD反向的任何WD流都不挡道(out of the way)。然而应当明白,在替换实施例中,经过存储器控制器/集线器接口134和数据旁路电路286的数据流可以被不同地管理,比如存储器集线器控制器128与存储器集线器140共享数据流的协调。
在先前的例子中,如常规的存储器系统中那样,RD被返回给存储器集线器控制器128。即,存储器设备148发送的RD被没有任何重大延迟地提供给存储器控制器。然而,通过采用先前描述的数据旁路机制,与常规的存储系统相比,写数据可以被调度得更早。在典型的存储器系统中,在读命令之后发出的写命令将不被允许开始,直到读命令几乎完成为止。与之相反,本发明的实施例允许随后发出的写命令被调度得较早,从而减小了读命令和写命令之间的时间间隔。结果是,在较早调度的写命令之后调度的命令具有总体降低的延迟。
从上述应当明白,虽然为了说明目的而在此已经对本发明的具体实施例进行了描述,但是在不脱离本发明的精神和范围的情况下可以做出各种修改。因此,本发明只由附加的权利要求来限定。

Claims (24)

1、一种用于基于集线器的存储器模块的存储器集线器,包括:
第一和第二链路接口,用于耦合到各自数据总线;
双向数据路径,其耦合到所述第一和第二链路接口,并且通过所述双向数据路径在所述第一和第二链路接口之间传送数据;和
写旁路电路,其耦合到所述双向数据路径以耦合所述双向数据路径上的要被向下游传送的写数据,并且在读命令之后且在所述读命令完成之前发出写命令时,所述写旁路电路被配置为临时存储所述写数据,以便在所述写数据被临时存储时允许通过所述双向数据路径向上游传送读数据。
2、如权利要求1所述的存储器集线器,其中,所述写旁路电路包括:
复用器,其具有耦合到所述数据路径的第一输入端并且还具有第二输入端、输出端和选择端子,所述复用器根据被施加到所述选择端子的选择信号将所述输出端耦合到所述第一或第二输入端;
先入先出(FIFO)寄存器,其具有耦合到所述数据路径的输入端并且还具有耦合到所述复用器的第二输入端的输出端;
输出缓存器,其具有耦合到所述复用器的输出端的缓存器输入端并且还具有缓存器输出端和激活端子,所述输出缓存器根据被施加到所述激活端子的激活信号将所述缓存器输入端耦合到所述缓存器输出端;以及
选择电路,在被激活时,其耦合到所述复用器来产生所述选择信号和激活信号,以将所述复用器的第二输入端耦合到所述复用器的输出端。
3、如权利要求2所述的存储器集线器,其中,所述写旁路电路还包括输入缓存器,其具有耦合到所述数据路径的输入端和耦合到所述复用器和所述FIFO寄存器的输入端的输出端。
4、如权利要求1所述的存储器集线器,还包括耦合到所述数据路径的存储器设备接口,所述存储器设备接口用于将数据耦合到至少一个与所述存储器设备接口耦合的存储器设备。
5、一种存储器模块,包括:
多个存储器设备;和
存储器集线器,其耦合到所述多个存储器设备,所述存储器集线器包括:
第一和第二链路接口,用于耦合到各自数据总线;
双向数据路径,其耦合到所述第一和第二链路接口,并且可以通过所述双向数据路径在所述第一和第二链路接口之间传送数据;和
写旁路电路,其耦合到所述双向数据路径来耦合所述双向数据路径上的要被向下游传送的写数据,并且在读命令之后且在所述读命令完成之前发出写命令时,所述写旁路电路被配置为临时存储所述写数据,以便在所述写数据被临时存储时允许通过所述双向数据路径向上游传送读数据。
6、如权利要求5所述的存储器模块,其中,所述存储器集线器的写旁路电路包括:
复用器,其具有耦合到所述数据路径的第一输入端并且还具有第二输入端、输出端和选择端子,所述复用器根据被施加到所述选择端子的选择信号将所述输出端耦合到所述第一或第二输入端;
先入先出(FIFO)寄存器,其具有耦合到所述数据路径的输入端并且还具有耦合到所述复用器的第二输入端的输出端;
输出缓存器,其具有耦合到所述复用器的输出端的缓存器输入端并且还具有缓存器输出端和激活端子,所述输出缓存器根据被施加到所述激活端子的激活信号将所述缓存器输入端耦合到所述缓存器输出端;和
选择电路,在被激活时,其耦合到所述复用器来产生所述选择信号和激活信号,以便将所述复用器的第二输入端耦合到所述复用器的输出端。
7、如权利要求6所述的存储器模块,其中,所述存储器集线器的写旁路电路还包括输入缓存器,所述输入缓存器具有耦合到所述数据路径的输入端和耦合到所述复用器和所述FIFO寄存器的输入端的输出端。
8、如权利要求5所述的存储器模块,其中,所述存储器集线器还包括存储器设备接口,其耦合到所述数据路径并且耦合到所述多个存储器设备中的至少一个,所述存储器设备接口用于将数据耦合到所述存储器设备。
9、如权利要求8的存储器模块,其中,所述存储器集线器的存储器设备接口包括:
存储器控制器,其通过存储器控制器总线耦合到所述数据路径,并且还通过存储器设备总线耦合到所述多个存储器设备中的至少一个;
写缓存器,其耦合到所述存储器控制器,以用于存储导引到与所述存储器控制器耦合的存储器设备的存储器请求;和
高速缓存存储器,其耦合到所述存储器控制器,以用于存储被提供给所述存储器设备或者从所述存储器设备取回的数据。
10、一种基于处理器的系统,包括:
处理器,具有处理器总线;
系统控制器,其耦合到所述处理器总线,所述系统控制器具有系统存储器端口和外围设备端口;
至少一个输入设备,其耦合到所述系统控制器的外围设备端口;
至少一个输出设备,其耦合到所述系统控制器的外围设备端口;
至少一个数据存储设备,其耦合到所述系统控制器的外围设备端口;和
存储器模块,其耦合到所述系统控制器的系统存储器端口,所述存储器模块包括:
多个存储器设备;和
存储器集线器,其耦合到所述多个存储器设备,所述存储器集线器包括:
第一和第二链路接口,用于耦合到各自数据总线;
双向数据路径,其耦合到所述第一和第二链路接口并且通过所述双向数据路径在所述第一和第二链路接口之间传送数据;和
写旁路电路,其耦合到所述双向数据路径来耦合所述双向数据路径上的要被向下游传送的写数据,并且在读命令之后且在所述读命令完成之前发出写命令时,所述写旁路电路被配置为临时存储所述写数据,以便在所述写数据被临时存储时允许通过所述双向数据路径向上游传送读数据。
11、如权利要求10所述的基于处理器的系统,其中,所述存储器集线器的写旁路电路包括:
复用器,其具有耦合到所述数据路径的第一输入端并且还具有第二输入端、输出端和选择端子,所述复用器根据被施加到所述选择端子的选择信号将所述输出端耦合到所述第一或第二输入端;
先入先出(FIFO)寄存器,其具有耦合到所述数据路径的输入端并且还具有耦合到所述复用器的第二输入端的输出端;
输出缓存器,其具有耦合到所述复用器的输出端的缓存器输入端并且还具有缓存器输出端和激活端子,所述输出缓存器根据被施加到所述激活端子的激活信号将所述缓存器输入端耦合到所述缓存器输出端;以及
选择电路,在被激活时,其耦合到所述复用器来产生所述选择信号和激活信号,以便将所述复用器的第二输入端耦合到所述复用器的输出端。
12、如权利要求11所述的基于处理器的系统,其中,所述存储器集线器的写旁路电路还包括输入缓存器,所述输入缓存器具有耦合到所述数据路径的输入端和耦合到所述复用器和所述FIFO寄存器的输入端的输出端。
13、如权利要求10所述的基于处理器的系统,其中,所述存储器集线器还包括存储器设备接口,所述存储器设备接口耦合到所述数据路径并且耦合到所述多个存储器设备中的至少一个,所述存储器设备接口用于将数据耦合到所述存储器设备。
14、如权利要求13所述的基于处理器的系统,其中,所述存储器集线器的存储器设备接口包括:
存储器控制器,其通过存储器控制器总线耦合到所述数据路径,并且还通过存储器设备总线耦合到所述多个存储器设备中的至少一个;
写缓存器,其耦合到所述存储器控制器,以用于存储被导引到与所述存储器控制器耦合的所述存储器设备的存储器请求;和
高速缓存存储器,其耦合到所述存储器控制器,以用于存储被提供给所述存储器设备或者从所述存储器设备取回的数据。
15、一种用于将数据写入存储器系统中的存储器单元的方法,所述存储器系统包括与双向存储器总线耦合的多个存储器模块,所述方法包括:
向所述存储器系统发出读命令;
在发出所述读命令之后并且在所述读命令完成之前,在所述双向存储器总线上向所述存储器系统提供写命令和相应的写数据;
将所述写数据耦合到所述存储器系统中的寄存器以用于临时存储所述写数据,以便在所述写数据被提供到所述双向存储器总线之后并且在所述写数据被写入之前,允许读数据在所述双向存储器总线上返回;
将所述读数据耦合到所述双向存储器总线并且提供所述读数据以用于读取;
将所述寄存器中存储的所述写数据耦合到所述双向存储器总线;并且
将所述写数据写入所述存储器单元。
16、如权利要求15所述的方法,其中,向所述存储器系统提供所述写数据包括在将所述写数据耦合到所述寄存器之前通过所述存储器系统的至少一个存储器模块来提供所述写数据。
17、如权利要求15所述的方法,其中,所述多个存储器模块在所述双向存储器总线中被串行耦合,并且其中向所述存储器单元写入所述写数据的步骤包括向位于第一存储器模块中的存储器单元写入所述写数据,其中该第一存储器模块位于从中访问所述读数据的第二存储器模块的下游。
18、一种用于在存储器系统中执行存储器命令的方法,所述存储器系统包括耦合到双向存储器总线的多个存储器模块,所述方法包括:
向所述存储器系统发出读命令;
在所述读命令完成之前,向所述存储器系统中的存储器单元发出写命令并且向所述存储器系统的双向存储器总线提供写数据;
访问所述存储器系统中的读数据;
在所述存储器系统中,旁路电路从所述双向存储器总线临时捕获所述写数据;
在所述双向存储器总线上从所述存储器系统接收所述读数据;
将所述写数据释放到所述双向存储器总线;和
恢复到所述存储器单元的所述写命令。
19、如权利要求18所述的方法,还包括在接收所述读数据的过程中将所述写数据临时存储在旁路缓存器中。
20、如权利要求18所述的方法,其中,向所述存储器总线提供所述写数据包括:在将所述写数据从所述存储器总线临时捕获之前,通过所述存储器系统的至少一个存储器模块来提供所述写数据。
21、一种用于在存储器系统中执行读命令和写命令的方法,所述存储器系统包括耦合到双向存储器总线的多个存储器模块,所述方法包括:
发出读命令来访问所述存储器系统中的第一存储器单元;
在所述读命令完成之前,调度写命令来向所述存储器系统中的第二存储器单元写入数据;
从所述第一存储器单元取回读数据;
向所述存储器系统的双向存储器总线提供与所述写命令相对应的写数据;
在所述存储器系统中,旁路电路在所述双向存储器总线上临时捕获所述写数据;
在所述双向存储器总线上从所述存储器系统接收所述读数据;并且
向所述双向存储器总线释放所述写数据。
22、如权利要求21所述的方法,其中,在所述双向存储器总线上临时捕获所述写数据包括:在一段时间内将所述写数据从所述存储器总线临时捕获,以避免在所述双向存储器总线上发生数据冲突。
23、如权利要求22所述的方法,还包括在接收所述读数据的过程中将所述写数据临时存储在旁路缓存器中。
24、如权利要求22所述的方法,其中,向所述存储器总线提供所述写数据包括:在从所述存储器总线临时捕获所述写数据之前,通过所述存储器系统的至少一个存储器模块来提供所述写数据。
CN200580010700A 2004-02-05 2005-01-25 用于基于集线器的存储器子系统中的双向数据总线的数据旁路的装置和方法 Active CN100578466C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/773,583 US7788451B2 (en) 2004-02-05 2004-02-05 Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US10/773,583 2004-02-05

Publications (2)

Publication Number Publication Date
CN101084489A CN101084489A (zh) 2007-12-05
CN100578466C true CN100578466C (zh) 2010-01-06

Family

ID=34826794

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580010700A Active CN100578466C (zh) 2004-02-05 2005-01-25 用于基于集线器的存储器子系统中的双向数据总线的数据旁路的装置和方法

Country Status (7)

Country Link
US (4) US7788451B2 (zh)
EP (2) EP1725936B1 (zh)
JP (1) JP4568290B2 (zh)
KR (1) KR100855193B1 (zh)
CN (1) CN100578466C (zh)
TW (1) TWI321727B (zh)
WO (1) WO2005076816A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108631130A (zh) * 2017-03-17 2018-10-09 新唐科技股份有限公司 集线器

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7133991B2 (en) * 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7120743B2 (en) 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US7366864B2 (en) 2004-03-08 2008-04-29 Micron Technology, Inc. Memory hub architecture having programmable lane widths
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7539812B2 (en) * 2005-06-30 2009-05-26 Intel Corporation System and method to increase DRAM parallelism
SG135073A1 (en) * 2006-02-27 2007-09-28 Trek 2000 Int Ltd Method and apparatus for cascade memory
DE102006051514B4 (de) * 2006-10-31 2010-01-21 Qimonda Ag Speichermodul und Verfahren zum Betreiben eines Speichermoduls
US7865674B2 (en) * 2007-08-31 2011-01-04 International Business Machines Corporation System for enhancing the memory bandwidth available through a memory module
US8082482B2 (en) 2007-08-31 2011-12-20 International Business Machines Corporation System for performing error correction operations in a memory hub device of a memory module
US8086936B2 (en) 2007-08-31 2011-12-27 International Business Machines Corporation Performing error correction at a memory device level that is transparent to a memory channel
US8019919B2 (en) * 2007-09-05 2011-09-13 International Business Machines Corporation Method for enhancing the memory bandwidth available through a memory module
US20100269021A1 (en) * 2007-09-05 2010-10-21 Gower Kevin C Method for Performing Error Correction Operations in a Memory Hub Device of a Memory Module
US7930470B2 (en) 2008-01-24 2011-04-19 International Business Machines Corporation System to enable a memory hub device to manage thermal conditions at a memory device level transparent to a memory controller
US8140936B2 (en) 2008-01-24 2012-03-20 International Business Machines Corporation System for a combined error correction code and cyclic redundancy check code for a memory channel
US7925824B2 (en) 2008-01-24 2011-04-12 International Business Machines Corporation System to reduce latency by running a memory channel frequency fully asynchronous from a memory device frequency
US7930469B2 (en) * 2008-01-24 2011-04-19 International Business Machines Corporation System to provide memory system power reduction without reducing overall memory system performance
US7925825B2 (en) 2008-01-24 2011-04-12 International Business Machines Corporation System to support a full asynchronous interface within a memory hub device
US7925826B2 (en) 2008-01-24 2011-04-12 International Business Machines Corporation System to increase the overall bandwidth of a memory channel by allowing the memory channel to operate at a frequency independent from a memory device frequency
US8656082B2 (en) 2008-08-05 2014-02-18 Micron Technology, Inc. Flexible and expandable memory architectures
US8250328B2 (en) 2009-03-24 2012-08-21 Micron Technology, Inc. Apparatus and method for buffered write commands in a memory
US8803214B2 (en) 2010-06-28 2014-08-12 Micron Technology, Inc. Three dimensional memory and methods of forming the same
US8759895B2 (en) 2011-02-25 2014-06-24 Micron Technology, Inc. Semiconductor charge storage apparatus and methods
US8996822B2 (en) 2011-07-29 2015-03-31 Micron Technology, Inc. Multi-device memory serial architecture
CN103164366A (zh) * 2011-12-09 2013-06-19 鸿富锦精密工业(深圳)有限公司 具有通用输入输出扩展器的电子设备及信号侦测方法
US9190133B2 (en) * 2013-03-11 2015-11-17 Micron Technology, Inc. Apparatuses and methods for a memory die architecture including an interface memory
US9496052B2 (en) * 2014-12-11 2016-11-15 Freescale Semiconductor, Inc. System and method for handling memory repair data
US10339050B2 (en) 2016-09-23 2019-07-02 Arm Limited Apparatus including a memory controller for controlling direct data transfer between first and second memory modules using direct transfer commands
TWI680374B (zh) * 2017-10-20 2019-12-21 慧榮科技股份有限公司 儲存裝置以及其介面晶片
TWI721565B (zh) * 2017-10-20 2021-03-11 慧榮科技股份有限公司 儲存裝置以及其介面晶片
TWI658363B (zh) 2017-10-20 2019-05-01 慧榮科技股份有限公司 儲存裝置以及其介面晶片
JP2022185463A (ja) * 2021-06-02 2022-12-14 ルネサスエレクトロニクス株式会社 半導体装置

Family Cites Families (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US149603A (en) * 1874-04-14 Improvement in the manufacture of composition pipe
US177677A (en) * 1876-05-23 Improvement in watch-keys
US163649A (en) * 1875-05-25 Improvement in bale-ties
US294862A (en) * 1884-03-11 Hydrocarbon-gas generator
US174070A (en) * 1876-02-29 Improvement in electric fire-alarms
US162882A (en) * 1875-05-04 Improvement in firemen s extension-ladders
US177695A (en) * 1876-05-23 Improvement in rotary knitting-machines
US149809A (en) * 1874-04-14 Improvement in station-indicators
US177320A (en) * 1876-05-16 Improvement in meal-chests
US213611A (en) * 1879-03-25 Improvement in door-holders
US271746A (en) * 1883-02-06 Augustus sequeiea
US236885A (en) * 1881-01-25 Mineral paint
US16885A (en) * 1857-03-24 Improvement in operating ships windlasses
US126115A (en) * 1872-04-23 Improvement in vegetable-cutters
US38611A (en) * 1863-05-19 Improved cut-off-valve gear
US136683A (en) * 1873-03-11 Improvement in fastenings for railroad-rail joints
US225847A (en) * 1880-03-23 John f
US86441A (en) * 1869-02-02 Improvement in bee-hives
US112119A (en) * 1871-02-28 Improvement in self-centering chucks for lathes
US294856A (en) * 1884-03-11 Inking-pad
US227798A (en) * 1880-05-18 Buckle
US160206A (en) * 1875-02-23 Improvement in air-chambers for steam-pumps
US22724A (en) * 1859-01-25 Bobing-machibte
US47169A (en) * 1865-04-04 Improvement in bee-hives
US3742253A (en) * 1971-03-15 1973-06-26 Burroughs Corp Three state logic device with applications
GB1530405A (en) * 1975-03-24 1978-11-01 Okura Denki Co Ltd Loop data highway communication system
US4045781A (en) 1976-02-13 1977-08-30 Digital Equipment Corporation Memory module with selectable byte addressing for digital data processing system
US4253146A (en) * 1978-12-21 1981-02-24 Burroughs Corporation Module for coupling computer-processors
US4253144A (en) * 1978-12-21 1981-02-24 Burroughs Corporation Multi-processor communication network
US4245306A (en) * 1978-12-21 1981-01-13 Burroughs Corporation Selection of addressed processor in a multi-processor network
US4240143A (en) 1978-12-22 1980-12-16 Burroughs Corporation Hierarchical multi-processor network for memory sharing
JPH06104707B2 (ja) 1984-05-31 1994-12-21 三井東圧化学株式会社 塩化ビニル樹脂の製造方法
US4608702A (en) 1984-12-21 1986-08-26 Advanced Micro Devices, Inc. Method for digital clock recovery from Manchester-encoded signals
US4724520A (en) * 1985-07-01 1988-02-09 United Technologies Corporation Modular multiport data hub
US4843263A (en) * 1986-01-10 1989-06-27 Nec Corporation Clock timing controller for a plurality of LSI chips
US4707823A (en) 1986-07-21 1987-11-17 Chrysler Motors Corporation Fiber optic multiplexed data acquisition system
US4831520A (en) * 1987-02-24 1989-05-16 Digital Equipment Corporation Bus interface circuit for digital data processor
JPH07117863B2 (ja) * 1987-06-26 1995-12-18 株式会社日立製作所 オンラインシステムの再立上げ方式
US4891808A (en) * 1987-12-24 1990-01-02 Coherent Communication Systems Corp. Self-synchronizing multiplexer
US5251303A (en) 1989-01-13 1993-10-05 International Business Machines Corporation System for DMA block data transfer based on linked control blocks
US5442770A (en) 1989-01-24 1995-08-15 Nec Electronics, Inc. Triple port cache memory
US4953930A (en) 1989-03-15 1990-09-04 Ramtech, Inc. CPU socket supporting socket-to-socket optical communications
US4982185A (en) * 1989-05-17 1991-01-01 Blh Electronics, Inc. System for synchronous measurement in a digital computer network
JPH03156795A (ja) 1989-11-15 1991-07-04 Toshiba Micro Electron Kk 半導体メモリ回路装置
US5327553A (en) 1989-12-22 1994-07-05 Tandem Computers Incorporated Fault-tolerant computer system with /CONFIG filesystem
US5317752A (en) * 1989-12-22 1994-05-31 Tandem Computers Incorporated Fault-tolerant computer system with auto-restart after power-fall
US5313590A (en) 1990-01-05 1994-05-17 Maspar Computer Corporation System having fixedly priorized and grouped by positions I/O lines for interconnecting router elements in plurality of stages within parrallel computer
JP2772103B2 (ja) 1990-03-28 1998-07-02 株式会社東芝 計算機システム立上げ方式
IL96808A (en) 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5243703A (en) 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
BE1004668A3 (nl) * 1991-04-02 1993-01-05 Bell Telephone Mfg Beschermingsinrichting voor een optische zender/ontvangerinrichting.
US5255239A (en) * 1991-08-13 1993-10-19 Cypress Semiconductor Corporation Bidirectional first-in-first-out memory device with transparent and user-testable capabilities
US5461627A (en) 1991-12-24 1995-10-24 Rypinski; Chandos A. Access protocol for a common channel wireless network
JP2554816B2 (ja) 1992-02-20 1996-11-20 株式会社東芝 半導体記憶装置
DE4345604B3 (de) 1992-03-06 2012-07-12 Rambus Inc. Vorrichtung zur Kommunikation mit einem DRAM
US5355391A (en) 1992-03-06 1994-10-11 Rambus, Inc. High speed bus system
ES2170066T3 (es) 1992-03-25 2002-08-01 Sun Microsystems Inc Sistema de acoplamiento de memoria de fibra optica.
US5432907A (en) 1992-05-12 1995-07-11 Network Resources Corporation Network hub with integrated bridge
US5270964A (en) 1992-05-19 1993-12-14 Sun Microsystems, Inc. Single in-line memory module
JPH05342084A (ja) 1992-06-08 1993-12-24 Matsushita Electric Ind Co Ltd データ記憶装置及びデータ記憶方法
GB2270780A (en) * 1992-09-21 1994-03-23 Ibm Scatter-gather in data processing systems.
JPH06104707A (ja) 1992-09-24 1994-04-15 Canon Inc 遅延装置
JPH0713945A (ja) 1993-06-16 1995-01-17 Nippon Sheet Glass Co Ltd 演算処理部および制御・記憶部分離型マルチプロセッサ ・システムのバス構造
US5497494A (en) 1993-07-23 1996-03-05 International Business Machines Corporation Method for saving and restoring the state of a CPU executing code in protected mode
US5467455A (en) * 1993-11-03 1995-11-14 Motorola, Inc. Data processing system and method for performing dynamic bus termination
US5729709A (en) * 1993-11-12 1998-03-17 Intel Corporation Memory controller with burst addressing circuit
US5502621A (en) * 1994-03-31 1996-03-26 Hewlett-Packard Company Mirrored pin assignment for two sided multi-chip layout
US5566325A (en) 1994-06-30 1996-10-15 Digital Equipment Corporation Method and apparatus for adaptive memory access
US6175571B1 (en) * 1994-07-22 2001-01-16 Network Peripherals, Inc. Distributed memory switching hub
US5978567A (en) 1994-07-27 1999-11-02 Instant Video Technologies Inc. System for distribution of interactive multimedia and linear programs by enabling program webs which include control scripts to define presentation by client transceiver
US6217234B1 (en) 1994-07-29 2001-04-17 Discovision Associates Apparatus and method for processing data with an arithmetic unit
US5553070A (en) * 1994-09-13 1996-09-03 Riley; Robert E. Data link module for time division multiplexing control systems
JPH08123717A (ja) 1994-10-25 1996-05-17 Oki Electric Ind Co Ltd 半導体記憶装置
US6804760B2 (en) 1994-12-23 2004-10-12 Micron Technology, Inc. Method for determining a type of memory present in a system
US5715456A (en) * 1995-02-13 1998-02-03 International Business Machines Corporation Method and apparatus for booting a computer system without pre-installing an operating system
US5638534A (en) * 1995-03-31 1997-06-10 Samsung Electronics Co., Ltd. Memory controller which executes read and write commands out of order
US5875352A (en) * 1995-11-03 1999-02-23 Sun Microsystems, Inc. Method and apparatus for multiple channel direct memory access control
US5834956A (en) * 1995-12-29 1998-11-10 Intel Corporation Core clock correction in a 2/N mode clocking scheme
US7681005B1 (en) 1996-01-11 2010-03-16 Micron Technology, Inc. Asynchronously-accessible memory device with mode selection circuitry for burst or pipelined operation
US5966724A (en) 1996-01-11 1999-10-12 Micron Technology, Inc. Synchronous memory device with dual page and burst mode operations
US5832250A (en) 1996-01-26 1998-11-03 Unisys Corporation Multi set cache structure having parity RAMs holding parity bits for tag data and for status data utilizing prediction circuitry that predicts and generates the needed parity bits
US5819304A (en) 1996-01-29 1998-10-06 Iowa State University Research Foundation, Inc. Random access memory assembly
US5659798A (en) 1996-02-02 1997-08-19 Blumrich; Matthias Augustin Method and system for initiating and loading DMA controller registers by using user-level programs
US5799048A (en) 1996-04-17 1998-08-25 Sun Microsystems, Inc. Phase detector for clock synchronization and recovery
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6064706A (en) * 1996-05-01 2000-05-16 Alcatel Usa, Inc. Apparatus and method of desynchronizing synchronously mapped asynchronous data
US5818844A (en) 1996-06-06 1998-10-06 Advanced Micro Devices, Inc. Address generation and data path arbitration to and from SRAM to accommodate multiple transmitted packets
US5900020A (en) * 1996-06-27 1999-05-04 Sequent Computer Systems, Inc. Method and apparatus for maintaining an order of write operations by processors in a multiprocessor computer to maintain memory consistency
US5875454A (en) * 1996-07-24 1999-02-23 International Business Machiness Corporation Compressed data cache storage system
JPH1049511A (ja) 1996-08-02 1998-02-20 Oki Electric Ind Co Ltd 1チップマイクロコンピュータ
JP4070255B2 (ja) 1996-08-13 2008-04-02 富士通株式会社 半導体集積回路
TW304288B (en) * 1996-08-16 1997-05-01 United Microelectronics Corp Manufacturing method of semiconductor memory device with capacitor
US5706224A (en) * 1996-10-10 1998-01-06 Quality Semiconductor, Inc. Content addressable memory and random access memory partition circuit
US6272600B1 (en) 1996-11-15 2001-08-07 Hyundai Electronics America Memory request reordering in a data processing system
US6167486A (en) 1996-11-18 2000-12-26 Nec Electronics, Inc. Parallel access virtual channel memory system with cacheable channels
KR19980064365A (ko) 1996-12-19 1998-10-07 윌리엄비.켐플러 메모리 모듈로의 어드레스 및 데이타 분산용 장치 및 방법
KR100239716B1 (ko) * 1996-12-30 2000-01-15 김영환 소형 컴퓨터 시스템 인터페이스 콘트롤러의 진단 테스트 장치
US6308248B1 (en) * 1996-12-31 2001-10-23 Compaq Computer Corporation Method and system for allocating memory space using mapping controller, page table and frame numbers
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US6271582B1 (en) 1997-04-07 2001-08-07 Micron Technology, Inc. Interdigitated leads-over-chip lead frame, device, and method for supporting an integrated circuit die
KR100202385B1 (ko) 1997-06-04 1999-06-15 윤종용 Hdlc를 이용한 반이중 통신용 송신 장치
US5946712A (en) 1997-06-04 1999-08-31 Oak Technology, Inc. Apparatus and method for reading data from synchronous memory
US6044429A (en) * 1997-07-10 2000-03-28 Micron Technology, Inc. Method and apparatus for collision-free data transfers in a memory device with selectable data or address paths
US6073190A (en) * 1997-07-18 2000-06-06 Micron Electronics, Inc. System for dynamic buffer allocation comprising control logic for controlling a first address buffer and a first data buffer as a matched pair
US6243769B1 (en) * 1997-07-18 2001-06-05 Micron Technology, Inc. Dynamic buffer allocation for a computer system
US6760833B1 (en) 1997-08-01 2004-07-06 Micron Technology, Inc. Split embedded DRAM processor
US6105075A (en) 1997-08-05 2000-08-15 Adaptec, Inc. Scatter gather memory system for a hardware accelerated command interpreter engine
US6137780A (en) 1997-08-07 2000-10-24 At&T Corp Apparatus and method to monitor communication system status
JP4014708B2 (ja) 1997-08-21 2007-11-28 株式会社ルネサステクノロジ 半導体集積回路装置の設計方法
US6249802B1 (en) * 1997-09-19 2001-06-19 Silicon Graphics, Inc. Method, system, and computer program product for allocating physical memory in a distributed shared memory network
JP4484359B2 (ja) * 1997-10-10 2010-06-16 ラムバス・インコーポレーテッド 最小限の待ち時間とフェイルセーフ再同期化するための方法および装置
JPH11120120A (ja) 1997-10-13 1999-04-30 Fujitsu Ltd カードバス用インターフェース回路及びそれを有するカードバス用pcカード
FR2770008B1 (fr) 1997-10-16 2001-10-12 Alsthom Cge Alkatel Dispositif de communication entre plusieurs processeurs
US5987196A (en) 1997-11-06 1999-11-16 Micron Technology, Inc. Semiconductor structure having an optical signal path in a substrate and method for forming the same
US6098158A (en) 1997-12-18 2000-08-01 International Business Machines Corporation Software-enabled fast boot
US6014721A (en) * 1998-01-07 2000-01-11 International Business Machines Corporation Method and system for transferring data between buses having differing ordering policies
US6023726A (en) * 1998-01-20 2000-02-08 Netscape Communications Corporation User configurable prefetch control system for enabling client to prefetch documents from a network server
US6721860B2 (en) * 1998-01-29 2004-04-13 Micron Technology, Inc. Method for bus capacitance reduction
GB2333896B (en) * 1998-01-31 2003-04-09 Mitel Semiconductor Ab Vertical cavity surface emitting laser
US7024518B2 (en) 1998-02-13 2006-04-04 Intel Corporation Dual-port buffer-to-memory interface
US6742098B1 (en) * 2000-10-03 2004-05-25 Intel Corporation Dual-port buffer-to-memory interface
US6186400B1 (en) * 1998-03-20 2001-02-13 Symbol Technologies, Inc. Bar code reader with an integrated scanning component module mountable on printed circuit board
US6038630A (en) * 1998-03-24 2000-03-14 International Business Machines Corporation Shared access control device for integrated system with multiple functional units accessing external structures over multiple data buses
US6079008A (en) * 1998-04-03 2000-06-20 Patton Electronics Co. Multiple thread multiple data predictive coded parallel processing system and method
US6247107B1 (en) * 1998-04-06 2001-06-12 Advanced Micro Devices, Inc. Chipset configured to perform data-directed prefetching
JPH11316617A (ja) 1998-05-01 1999-11-16 Mitsubishi Electric Corp 半導体回路装置
KR100283243B1 (ko) 1998-05-11 2001-03-02 구자홍 운영체제의 부팅방법
US6167465A (en) 1998-05-20 2000-12-26 Aureal Semiconductor, Inc. System for managing multiple DMA connections between a peripheral device and a memory and performing real-time operations on data carried by a selected DMA connection
SG75958A1 (en) 1998-06-01 2000-10-24 Hitachi Ulsi Sys Co Ltd Semiconductor device and a method of producing semiconductor device
US6405280B1 (en) 1998-06-05 2002-06-11 Micron Technology, Inc. Packet-oriented synchronous DRAM interface supporting a plurality of orderings for data block transfers within a burst sequence
US6134624A (en) 1998-06-08 2000-10-17 Storage Technology Corporation High bandwidth cache system
US6301637B1 (en) 1998-06-08 2001-10-09 Storage Technology Corporation High performance data paths
US6067649A (en) * 1998-06-10 2000-05-23 Compaq Computer Corporation Method and apparatus for a low power self test of a memory subsystem
US6453377B1 (en) * 1998-06-16 2002-09-17 Micron Technology, Inc. Computer including optical interconnect, memory unit, and method of assembling a computer
US6289068B1 (en) 1998-06-22 2001-09-11 Xilinx, Inc. Delay lock loop with clock phase shifter
JP2000011640A (ja) 1998-06-23 2000-01-14 Nec Corp 半導体記憶装置
FR2780535B1 (fr) * 1998-06-25 2000-08-25 Inst Nat Rech Inf Automat Dispositif de traitement de donnees d'acquisition, notamment de donnees d'image
JP3178423B2 (ja) 1998-07-03 2001-06-18 日本電気株式会社 バーチャルチャネルsdram
US6735679B1 (en) * 1998-07-08 2004-05-11 Broadcom Corporation Apparatus and method for optimizing access to memory
US6286083B1 (en) 1998-07-08 2001-09-04 Compaq Computer Corporation Computer system with adaptive memory arbitration scheme
US6862622B2 (en) 1998-07-10 2005-03-01 Van Drebbel Mariner Llc Transmission control protocol/internet protocol (TCP/IP) packet-centric wireless point to multi-point (PTMP) transmission system architecture
JP3248617B2 (ja) * 1998-07-14 2002-01-21 日本電気株式会社 半導体記憶装置
US6272609B1 (en) 1998-07-31 2001-08-07 Micron Electronics, Inc. Pipelined memory controller
US6061296A (en) * 1998-08-17 2000-05-09 Vanguard International Semiconductor Corporation Multiple data clock activation with programmable delay for use in multiple CAS latency memory devices
US6219725B1 (en) * 1998-08-28 2001-04-17 Hewlett-Packard Company Method and apparatus for performing direct memory access transfers involving non-sequentially-addressable memory locations
US6029250A (en) * 1998-09-09 2000-02-22 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing offset between a clock signal and digital signals transmitted coincident with that clock signal, and memory device and system using same
US6622188B1 (en) * 1998-09-30 2003-09-16 International Business Machines Corporation 12C bus expansion apparatus and method therefor
US6910109B2 (en) 1998-09-30 2005-06-21 Intel Corporation Tracking memory page state
US6587912B2 (en) 1998-09-30 2003-07-01 Intel Corporation Method and apparatus for implementing multiple memory buses on a memory module
TW498215B (en) 1998-10-30 2002-08-11 Ind Tech Res Inst Ring topology network switch using dual-port memory
US6243831B1 (en) * 1998-10-31 2001-06-05 Compaq Computer Corporation Computer system with power loss protection mechanism
JP3248500B2 (ja) * 1998-11-12 2002-01-21 日本電気株式会社 半導体記憶装置およびそのデータ読み出し方法
US6434639B1 (en) 1998-11-13 2002-08-13 Intel Corporation System for combining requests associated with one or more memory locations that are collectively associated with a single cache line to furnish a single memory operation
US6453370B1 (en) 1998-11-16 2002-09-17 Infineion Technologies Ag Using of bank tag registers to avoid a background operation collision in memory systems
US6425021B1 (en) 1998-11-16 2002-07-23 Lsi Logic Corporation System for transferring data packets of different context utilizing single interface and concurrently processing data packets of different contexts
US6438622B1 (en) 1998-11-17 2002-08-20 Intel Corporation Multiprocessor system including a docking system
US6100735A (en) 1998-11-19 2000-08-08 Centillium Communications, Inc. Segmented dual delay-locked loop for precise variable-phase clock generation
US6430696B1 (en) 1998-11-30 2002-08-06 Micron Technology, Inc. Method and apparatus for high speed data capture utilizing bit-to-bit timing correction, and memory device using same
US6463059B1 (en) 1998-12-04 2002-10-08 Koninklijke Philips Electronics N.V. Direct memory access execution engine with indirect addressing of circular queues in addition to direct memory addressing
US6349363B2 (en) 1998-12-08 2002-02-19 Intel Corporation Multi-section cache with different attributes for each section
US6374360B1 (en) 1998-12-11 2002-04-16 Micron Technology, Inc. Method and apparatus for bit-to-bit timing correction of a high speed memory bus
US6067262A (en) * 1998-12-11 2000-05-23 Lsi Logic Corporation Redundancy analysis for embedded memories with built-in self test and built-in self repair
FR2787600B1 (fr) 1998-12-17 2001-11-16 St Microelectronics Sa Memoire tampon associee a plusieurs canaux de communication de donnees
US6487556B1 (en) 1998-12-18 2002-11-26 International Business Machines Corporation Method and system for providing an associative datastore within a data processing system
US6191663B1 (en) * 1998-12-22 2001-02-20 Intel Corporation Echo reduction on bit-serial, multi-drop bus
US6367074B1 (en) * 1998-12-28 2002-04-02 Intel Corporation Operation of a system
US6061263A (en) * 1998-12-29 2000-05-09 Intel Corporation Small outline rambus in-line memory module
US6598154B1 (en) 1998-12-29 2003-07-22 Intel Corporation Precoding branch instructions to reduce branch-penalty in pipelined processors
US6324485B1 (en) 1999-01-26 2001-11-27 Newmillennia Solutions, Inc. Application specific automated test equipment system for testing integrated circuit devices in a native environment
EP1703520B1 (en) 1999-02-01 2011-07-27 Renesas Electronics Corporation Semiconductor integrated circuit and nonvolatile memory element
US6327650B1 (en) 1999-02-12 2001-12-04 Vsli Technology, Inc. Pipelined multiprocessing with upstream processor concurrently writing to local register and to register of downstream processor
US6285349B1 (en) 1999-02-26 2001-09-04 Intel Corporation Correcting non-uniformity in displays
US6564329B1 (en) * 1999-03-16 2003-05-13 Linkup Systems Corporation System and method for dynamic clock generation
US6496909B1 (en) 1999-04-06 2002-12-17 Silicon Graphics, Inc. Method for managing concurrent access to virtual memory data structures
US6249937B1 (en) * 1999-04-14 2001-06-26 Capewell Components Company Limited Partnership Parachute canopy release
US6381190B1 (en) * 1999-05-13 2002-04-30 Nec Corporation Semiconductor memory device in which use of cache can be selected
JP3376315B2 (ja) 1999-05-18 2003-02-10 日本電気株式会社 ビット同期回路
US6233376B1 (en) * 1999-05-18 2001-05-15 The United States Of America As Represented By The Secretary Of The Navy Embedded fiber optic circuit boards and integrated circuits
US6294937B1 (en) 1999-05-25 2001-09-25 Lsi Logic Corporation Method and apparatus for self correcting parallel I/O circuitry
US6449308B1 (en) 1999-05-25 2002-09-10 Intel Corporation High-speed digital distribution system
JP3721283B2 (ja) 1999-06-03 2005-11-30 株式会社日立製作所 主記憶共有型マルチプロセッサシステム
TW548547B (en) 1999-06-18 2003-08-21 Ibm Method and system for maintaining cache coherency for write-through store operations in a multiprocessor system
JP2001014840A (ja) * 1999-06-24 2001-01-19 Nec Corp 複数ラインバッファ型メモリlsi
US6434736B1 (en) 1999-07-08 2002-08-13 Intel Corporation Location based timing scheme in memory design
US6401213B1 (en) * 1999-07-09 2002-06-04 Micron Technology, Inc. Timing circuit for high speed memory
US6477592B1 (en) 1999-08-06 2002-11-05 Integrated Memory Logic, Inc. System for I/O interfacing for semiconductor chip utilizing addition of reference element to each data element in first data stream and interpret to recover data elements of second data stream
US6629220B1 (en) 1999-08-20 2003-09-30 Intel Corporation Method and apparatus for dynamic arbitration between a first queue and a second queue based on a high priority transaction type
US6493803B1 (en) 1999-08-23 2002-12-10 Advanced Micro Devices, Inc. Direct memory access controller with channel width configurability support
US6539490B1 (en) * 1999-08-30 2003-03-25 Micron Technology, Inc. Clock distribution without clock delay or skew
US6552564B1 (en) * 1999-08-30 2003-04-22 Micron Technology, Inc. Technique to reduce reflections and ringing on CMOS interconnections
US6307769B1 (en) 1999-09-02 2001-10-23 Micron Technology, Inc. Semiconductor devices having mirrored terminal arrangements, devices including same, and methods of testing such semiconductor devices
US6594713B1 (en) 1999-09-10 2003-07-15 Texas Instruments Incorporated Hub interface unit and application unit interfaces for expanded direct memory access processor
US6438668B1 (en) 1999-09-30 2002-08-20 Apple Computer, Inc. Method and apparatus for reducing power consumption in a digital processing system
US6467013B1 (en) 1999-09-30 2002-10-15 Intel Corporation Memory transceiver to couple an additional memory channel to an existing memory channel
US6636912B2 (en) 1999-10-07 2003-10-21 Intel Corporation Method and apparatus for mode selection in a computer system
US6421744B1 (en) 1999-10-25 2002-07-16 Motorola, Inc. Direct memory access controller and method therefor
US6633576B1 (en) 1999-11-04 2003-10-14 William Melaragni Apparatus and method for interleaved packet storage
KR100319292B1 (ko) 1999-12-02 2002-01-05 윤종용 빠른 부팅 속도를 갖는 컴퓨터 시스템 및 그 방법
JP2001161912A (ja) 1999-12-09 2001-06-19 Maruhon Ind Co Ltd パチンコ機における遊技球の発射異常報知装置
US6501471B1 (en) 1999-12-13 2002-12-31 Intel Corporation Volume rendering
JP3546788B2 (ja) * 1999-12-20 2004-07-28 日本電気株式会社 メモリ制御回路
JP3356747B2 (ja) 1999-12-22 2002-12-16 エヌイーシーマイクロシステム株式会社 半導体記憶装置
US6628294B1 (en) 1999-12-31 2003-09-30 Intel Corporation Prefetching of virtual-to-physical address translation for display data
KR100343383B1 (ko) * 2000-01-05 2002-07-15 윤종용 반도체 메모리 장치 및 이 장치의 데이터 샘플링 방법
US6297702B1 (en) 2000-01-10 2001-10-02 Honeywell International Inc. Phase lock loop system and method
US6745275B2 (en) 2000-01-25 2004-06-01 Via Technologies, Inc. Feedback system for accomodating different memory module loading
US6823023B1 (en) 2000-01-31 2004-11-23 Intel Corporation Serial bus communication system
US6185352B1 (en) * 2000-02-24 2001-02-06 Siecor Operations, Llc Optical fiber ribbon fan-out cables
JP2001265539A (ja) 2000-03-16 2001-09-28 Fuji Xerox Co Ltd アレイ型記憶装置及び情報処理システム
JP2001274323A (ja) 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置とそれを搭載した半導体モジュール、および半導体装置の製造方法
US6728800B1 (en) * 2000-06-28 2004-04-27 Intel Corporation Efficient performance based scheduling mechanism for handling multiple TLB operations
US6594722B1 (en) 2000-06-29 2003-07-15 Intel Corporation Mechanism for managing multiple out-of-order packet streams in a PCI host bridge
JP2002014875A (ja) * 2000-06-30 2002-01-18 Mitsubishi Electric Corp 半導体集積回路、半導体集積回路のメモリリペア方法およびその方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体
US6799268B1 (en) 2000-06-30 2004-09-28 Intel Corporation Branch ordering buffer
US6754812B1 (en) 2000-07-06 2004-06-22 Intel Corporation Hardware predication for conditional instruction path branching
US6816947B1 (en) 2000-07-20 2004-11-09 Silicon Graphics, Inc. System and method for memory arbitration
US6845409B1 (en) * 2000-07-25 2005-01-18 Sun Microsystems, Inc. Data exchange methods for a switch which selectively forms a communication channel between a processing unit and multiple devices
US6453393B1 (en) 2000-09-18 2002-09-17 Intel Corporation Method and apparatus for interfacing to a computer memory
US6625687B1 (en) 2000-09-18 2003-09-23 Intel Corporation Memory module employing a junction circuit for point-to-point connection isolation, voltage translation, data synchronization, and multiplexing/demultiplexing
US6526483B1 (en) * 2000-09-20 2003-02-25 Broadcom Corporation Page open hint in transactions
US6523092B1 (en) * 2000-09-29 2003-02-18 Intel Corporation Cache line replacement policy enhancement to avoid memory page thrashing
US6523093B1 (en) * 2000-09-29 2003-02-18 Intel Corporation Prefetch buffer allocation and filtering system
US6859208B1 (en) 2000-09-29 2005-02-22 Intel Corporation Shared translation address caching
US6658509B1 (en) 2000-10-03 2003-12-02 Intel Corporation Multi-tier point-to-point ring memory interface
US7187742B1 (en) * 2000-10-06 2007-03-06 Xilinx, Inc. Synchronized multi-output digital clock manager
US6631440B2 (en) 2000-11-30 2003-10-07 Hewlett-Packard Development Company Method and apparatus for scheduling memory calibrations based on transactions
US6792059B2 (en) 2000-11-30 2004-09-14 Trw Inc. Early/on-time/late gate bit synchronizer
TW502174B (en) 2000-12-08 2002-09-11 Silicon Integrated Sys Corp Pipelined SDRAM memory controller to optimize bus utilization
US6807630B2 (en) 2000-12-15 2004-10-19 International Business Machines Corporation Method for fast reinitialization wherein a saved system image of an operating system is transferred into a primary memory from a secondary memory
US6801994B2 (en) 2000-12-20 2004-10-05 Microsoft Corporation Software management systems and methods for automotive computing devices
US6751703B2 (en) 2000-12-27 2004-06-15 Emc Corporation Data storage systems and methods which utilize an on-board cache
US6622227B2 (en) 2000-12-27 2003-09-16 Intel Corporation Method and apparatus for utilizing write buffers in memory control/interface
US6493250B2 (en) 2000-12-28 2002-12-10 Intel Corporation Multi-tier point-to-point buffered memory interface
US20020118692A1 (en) * 2001-01-04 2002-08-29 Oberman Stuart F. Ensuring proper packet ordering in a cut-through and early-forwarding network switch
US6889304B2 (en) * 2001-02-28 2005-05-03 Rambus Inc. Memory device supporting a dynamically configurable core organization
DE10110469A1 (de) 2001-03-05 2002-09-26 Infineon Technologies Ag Integrierter Speicher und Verfahren zum Testen und Reparieren desselben
US6877079B2 (en) 2001-03-06 2005-04-05 Samsung Electronics Co., Ltd. Memory system having point-to-point bus configuration
US6782435B2 (en) * 2001-03-26 2004-08-24 Intel Corporation Device for spatially and temporally reordering for data between a processor, memory and peripherals
US6904499B2 (en) 2001-03-30 2005-06-07 Intel Corporation Controlling cache memory in external chipset using processor
US7107399B2 (en) 2001-05-11 2006-09-12 International Business Machines Corporation Scalable memory
US6670959B2 (en) * 2001-05-18 2003-12-30 Sun Microsystems, Inc. Method and apparatus for reducing inefficiencies in shared memory devices
SE524110C2 (sv) 2001-06-06 2004-06-29 Kvaser Consultant Ab Anordning och förfarande vid system med lokalt utplacerade modulenheter samt kontaktenhet för anslutning av sådan modulenhet
US6697926B2 (en) * 2001-06-06 2004-02-24 Micron Technology, Inc. Method and apparatus for determining actual write latency and accurately aligning the start of data capture with the arrival of data at a memory device
US6920533B2 (en) * 2001-06-27 2005-07-19 Intel Corporation System boot time reduction method
US20030005344A1 (en) 2001-06-29 2003-01-02 Bhamidipati Sriram M. Synchronizing data with a capture pulse and synchronizer
US6721195B2 (en) * 2001-07-12 2004-04-13 Micron Technology, Inc. Reversed memory module socket and motherboard incorporating same
US6665498B1 (en) 2001-07-20 2003-12-16 Wenbin Jiang High-speed optical data links
US6792496B2 (en) 2001-08-02 2004-09-14 Intel Corporation Prefetching data for peripheral component interconnect devices
US6727609B2 (en) * 2001-08-08 2004-04-27 Hamilton Sundstrand Corporation Cooling of a rotor for a rotary electric machine
US6904556B2 (en) 2001-08-09 2005-06-07 Emc Corporation Systems and methods which utilize parity sets
US6681292B2 (en) * 2001-08-27 2004-01-20 Intel Corporation Distributed read and write caching implementation for optimized input/output applications
EP1421588B1 (en) 2001-08-29 2012-01-18 MediaTek Inc. Method and apparatus utilizing flash burst mode to improve processor performance
US7941056B2 (en) * 2001-08-30 2011-05-10 Micron Technology, Inc. Optical interconnect in high-speed memory systems
US6665202B2 (en) 2001-09-25 2003-12-16 Integrated Device Technology, Inc. Content addressable memory (CAM) devices that can identify highest priority matches in non-sectored CAM arrays and methods of operating same
US6718440B2 (en) * 2001-09-28 2004-04-06 Intel Corporation Memory access latency hiding with hint buffer
DE10153657C2 (de) * 2001-10-31 2003-11-06 Infineon Technologies Ag Anordnung zur Datenübertragung in einem Halbleiterspeichersystem und Datenübertragungsverfahren dafür
US6886048B2 (en) * 2001-11-15 2005-04-26 Hewlett-Packard Development Company, L.P. Techniques for processing out-of-order requests in a processor-based system
US6646929B1 (en) 2001-12-05 2003-11-11 Lsi Logic Corporation Methods and structure for read data synchronization with minimal latency
KR100454123B1 (ko) 2001-12-06 2004-10-26 삼성전자주식회사 반도체 집적 회로 장치 및 그것을 구비한 모듈
US6775747B2 (en) 2002-01-03 2004-08-10 Intel Corporation System and method for performing page table walks on speculative software prefetch operations
US6523483B1 (en) * 2002-01-16 2003-02-25 Holland Company Cement hopper car hatch anti-accumulator
US6804764B2 (en) 2002-01-22 2004-10-12 Mircron Technology, Inc. Write clock and data window tuning based on rank select
US6670833B2 (en) 2002-01-23 2003-12-30 Intel Corporation Multiple VCO phase lock loop architecture
US7006533B2 (en) 2002-02-19 2006-02-28 Intel Corporation Method and apparatus for hublink read return streaming
US20040022094A1 (en) 2002-02-25 2004-02-05 Sivakumar Radhakrishnan Cache usage for concurrent multiple streams
US6774687B2 (en) 2002-03-11 2004-08-10 Micron Technology, Inc. Method and apparatus for characterizing a delay locked loop
US6795899B2 (en) 2002-03-22 2004-09-21 Intel Corporation Memory system with burst length shorter than prefetch length
US6735682B2 (en) * 2002-03-28 2004-05-11 Intel Corporation Apparatus and method for address calculation
US7110400B2 (en) 2002-04-10 2006-09-19 Integrated Device Technology, Inc. Random access memory architecture and serial interface with continuous packet handling capability
JP2003309564A (ja) 2002-04-17 2003-10-31 Mitsubishi Electric Corp マイクロコンピュータシステムおよびそれに使用されるトランシーバ
US20030217223A1 (en) 2002-05-14 2003-11-20 Infineon Technologies North America Corp. Combined command set
US6731548B2 (en) 2002-06-07 2004-05-04 Micron Technology, Inc. Reduced power registered memory module and method
US7133972B2 (en) * 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
US6898674B2 (en) 2002-06-11 2005-05-24 Intel Corporation Apparatus, method, and system for synchronizing information prefetch between processors and memory controllers
US7486894B2 (en) * 2002-06-25 2009-02-03 Finisar Corporation Transceiver module and integrated circuit with dual eye openers
DE10234934A1 (de) * 2002-07-31 2004-03-18 Advanced Micro Devices, Inc., Sunnyvale Antwortreihenwiederherstellungsmechanismus
US7117316B2 (en) 2002-08-05 2006-10-03 Micron Technology, Inc. Memory hub and access method having internal row caching
US7149874B2 (en) 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US7836252B2 (en) * 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US6820181B2 (en) 2002-08-29 2004-11-16 Micron Technology, Inc. Method and system for controlling memory accesses to memory modules having a memory hub architecture
US7102907B2 (en) * 2002-09-09 2006-09-05 Micron Technology, Inc. Wavelength division multiplexed memory module, memory system and method
US6667926B1 (en) 2002-09-09 2003-12-23 Silicon Integrated Systems Corporation Memory read/write arbitration method
US6821029B1 (en) 2002-09-10 2004-11-23 Xilinx, Inc. High speed serial I/O technology using an optical link
US6811320B1 (en) 2002-11-13 2004-11-02 Russell Mistretta Abbott System for connecting a fiber optic cable to an electronic device
US6727600B1 (en) * 2002-11-18 2004-04-27 Ilich Abdurachmanov Small underwater generator with self-adjusting axial gap
DE10255937B4 (de) 2002-11-29 2005-03-17 Advanced Micro Devices, Inc., Sunnyvale Ordnungsregelgesteuerte Befehlsspeicherung
US6978351B2 (en) 2002-12-30 2005-12-20 Intel Corporation Method and system to improve prefetching operations
US7366423B2 (en) 2002-12-31 2008-04-29 Intel Corporation System having multiple agents on optical and electrical bus
US6961259B2 (en) 2003-01-23 2005-11-01 Micron Technology, Inc. Apparatus and methods for optically-coupled memory systems
US7469316B2 (en) * 2003-02-10 2008-12-23 Intel Corporation Buffered writes and memory page control
JP3841762B2 (ja) 2003-02-18 2006-11-01 ファナック株式会社 サーボモータ制御システム
US7020757B2 (en) 2003-03-27 2006-03-28 Hewlett-Packard Development Company, L.P. Providing an arrangement of memory devices to enable high-speed data access
US7366854B2 (en) 2003-05-08 2008-04-29 Hewlett-Packard Development Company, L.P. Systems and methods for scheduling memory requests utilizing multi-level arbitration
US7016213B2 (en) 2003-05-13 2006-03-21 Advanced Micro Devices, Inc. Method for initializing a system including a host and plurality of memory modules connected via a serial memory interconnect
US7386768B2 (en) * 2003-06-05 2008-06-10 Intel Corporation Memory channel with bit lane fail-over
US6937076B2 (en) 2003-06-11 2005-08-30 Micron Technology, Inc. Clock synchronizing apparatus and method using frequency dependent variable delay
US20050015426A1 (en) 2003-07-14 2005-01-20 Woodruff Robert J. Communicating data over a communication link
US7174432B2 (en) * 2003-08-19 2007-02-06 Nvidia Corporation Asynchronous, independent and multiple process shared memory system in an adaptive computing architecture
US7133991B2 (en) 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7120743B2 (en) 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
US7177211B2 (en) * 2003-11-13 2007-02-13 Intel Corporation Memory channel test fixture and method
US7098714B2 (en) 2003-12-08 2006-08-29 Micron Technology, Inc. Centralizing the lock point of a synchronous circuit
US7529800B2 (en) 2003-12-18 2009-05-05 International Business Machines Corporation Queuing of conflicted remotely received transactions
US7412574B2 (en) 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
US7181584B2 (en) * 2004-02-05 2007-02-20 Micron Technology, Inc. Dynamic command and/or address mirroring system and method for memory modules
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7447240B2 (en) 2004-03-29 2008-11-04 Micron Technology, Inc. Method and system for synchronizing communications links in a hub-based memory system
US6980042B2 (en) 2004-04-05 2005-12-27 Micron Technology, Inc. Delay line synchronizer apparatus and method
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7046060B1 (en) * 2004-10-27 2006-05-16 Infineon Technologies, Ag Method and apparatus compensating for frequency drift in a delay locked loop
US7116143B2 (en) 2004-12-30 2006-10-03 Micron Technology, Inc. Synchronous clock generator including duty cycle correction
JP4812016B2 (ja) 2006-07-25 2011-11-09 ダイコク電機株式会社 遊技機用ドラム式表示装置
US7768325B2 (en) 2008-04-23 2010-08-03 International Business Machines Corporation Circuit and design structure for synchronizing multiple digital signals

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108631130A (zh) * 2017-03-17 2018-10-09 新唐科技股份有限公司 集线器
CN108631130B (zh) * 2017-03-17 2019-11-01 新唐科技股份有限公司 集线器

Also Published As

Publication number Publication date
US20130007384A1 (en) 2013-01-03
WO2005076816A2 (en) 2005-08-25
US8291173B2 (en) 2012-10-16
TWI321727B (en) 2010-03-11
US7788451B2 (en) 2010-08-31
EP2565794A2 (en) 2013-03-06
US20050177695A1 (en) 2005-08-11
EP2565794B1 (en) 2014-07-16
KR100855193B1 (ko) 2008-09-01
KR20060132925A (ko) 2006-12-22
JP4568290B2 (ja) 2010-10-27
EP1725936B1 (en) 2014-12-31
CN101084489A (zh) 2007-12-05
TW200606636A (en) 2006-02-16
US8694735B2 (en) 2014-04-08
EP2565794A3 (en) 2013-03-13
JP2007520826A (ja) 2007-07-26
EP1725936A4 (en) 2009-12-02
WO2005076816A3 (en) 2007-03-15
US20100287323A1 (en) 2010-11-11
US9164937B2 (en) 2015-10-20
EP1725936A2 (en) 2006-11-29
US20140207993A1 (en) 2014-07-24

Similar Documents

Publication Publication Date Title
CN100578466C (zh) 用于基于集线器的存储器子系统中的双向数据总线的数据旁路的装置和方法
CN100595720C (zh) 用于基于集线器的存储系统中直接存储器访问的设备和方法
EP1738267B1 (en) System and method for organizing data transfers with memory hub memory modules
US9032166B2 (en) Memory arbitration system and method having an arbitration packet protocol
US8788765B2 (en) Buffer control system and method for a memory system having outstanding read and write request buffers
US6002883A (en) System with intersystem information links for intersystem traffic having I/O traffic being transmitted to and from processor bus via processor means
US6360305B1 (en) Method and apparatus for optimizing memory performance with opportunistic pre-charging
JPH10260895A (ja) 半導体記憶装置およびそれを用いた計算機システム
JP4928683B2 (ja) データ処理装置
JPH06202981A (ja) 情報処理装置及び、該情報処理装置におけるステータスデータ転送制御方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant