CN101044596A - 使用间距倍增的集成电路制造方法 - Google Patents

使用间距倍增的集成电路制造方法 Download PDF

Info

Publication number
CN101044596A
CN101044596A CNA2005800357643A CN200580035764A CN101044596A CN 101044596 A CN101044596 A CN 101044596A CN A2005800357643 A CNA2005800357643 A CN A2005800357643A CN 200580035764 A CN200580035764 A CN 200580035764A CN 101044596 A CN101044596 A CN 101044596A
Authority
CN
China
Prior art keywords
pattern
layer
described method
mask
forms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800357643A
Other languages
English (en)
Inventor
米尔扎夫·K·阿巴切夫
古尔特基·桑赫
仑·德兰
威廉·T·热日哈
马克·D·杜尔詹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101044596A publication Critical patent/CN101044596A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/95Multilayer mask including nonradiation sensitive layer

Abstract

在单个步骤中,将集成电路(100)的阵列(102)和外围(104)中的不同尺寸的特征在衬底(110)上形成图案。特别是,在单个掩模层(160)上形成组合两个独立形成图案(177、230)的混合图案,然后将其转移到下面的衬底(110)上。通过间距倍增形成第一独立形成的图案(177),并且通过常规光刻形成第二独立形成的图案(230)。第一独立形成的图案(177)包含在用于形成第二独立形成的图案(230)的光刻法的分辨率以下的特征(175)。通过在光致抗蚀剂上形成图案,然后在无定形碳层中刻蚀该图案制造这些线。在所述无定形碳的侧壁上形成宽度小于所述无定形碳的未刻蚀部分的宽度的侧壁隔体(175)。然后除去所述无定形碳,留下所述侧壁隔体(175)以形成所述掩模图案(177)。因此,所述隔体(175)形成特征尺寸小于用于在所述光致抗蚀剂形成所述图案的光刻法的分辨率的所述掩模(177)。将保护材料(200)沉积在所述隔体(175)周围。还使用硬质掩模(210)保护所述隔体(175),然后在所述硬质掩模(210)上形成光致抗蚀剂(220),并且将其形成图案。将光致抗蚀剂图案(230)通过所述硬质掩模(210)转移到所述保护材料(200)中。然后,将由所述隔体(175)和所述保护材料(200)制造出的所述图案(177)和(230)的组合转移到下面的无定形碳硬质掩模层(160)中。然后将具有不同尺寸的特征的所述组合图案转移到所述下面的衬底(110)上。

Description

使用间距倍增的集成电路制造方法
技术领域
总体而言,本发明涉及集成电路制造,更具体而言,涉及掩模技术。
背景技术
在现代电子仪器中,作为包括增加的可携带性、计算能力、存储容量和能量效率的需要的诸多因素的结果,集成电路正在不断降低尺寸。为了促进这种尺寸降低,形成集成电路的组件特征的尺寸如电器件和互连线宽度也在不断降低。
例如,在存储电路或器件如动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、铁电(FE)存储器等中,降低特征尺寸的趋向是明显的。举例来说,DRAM典型地包含数百万相同的称为存储单元的电路元件。在其最普通的形式中,存储单元典型地由两种电器件组成:存储电容器和存取场效应晶体管。每一个存储单元是可以存储数据的一个位(二进制数字)数据的可寻址位置。可以通过晶体管将位写入到单元中,并且通过从参比电极侧感应在存储电极上的电荷进行读取。通过降低组件电器件和然后接触的导线的尺寸,可以降低结合这些特征的存储器的尺寸。另外,通过将更多的存储单元安装到存储器中,可以增加存储容量。
特征尺寸的连续降低对用于形成所述特征的技术寄予更高的要求。例如,通常使用光刻将衬底上的特征如导线形成图案。可以使用间距的概念描述这些特征的尺寸。间距定义为在两个相邻的特征中的相同点之间的距离。这些特征典型地由相邻的特征之间的空间限定,所述空间典型地由一种材料如绝缘体填充。结果,间距可以被视为特征宽度和隔开该特征与相邻特征的空间的宽度之和。然而,由于如光学和光或辐射波长的因素,每一种光刻技术均具有最小间距,在该最小间距之下,特定的光刻技术不能可靠地形成特征。因此,光刻技术的最小间距可能限制特征尺寸的降低。
间距加倍是提出用于将光刻技术的能力扩展到它们的最小间距之外的一种方法。在图1A-1F中说明了这种方法,并且在授予Lowrey等的美国专利5,328,810中描述了这种方法。参考图1A,首先使用光刻在覆盖在消耗性材料层20和衬底30上面的光致抗蚀剂层中形成线10的图案。如图1B所示,然后通过刻蚀步骤(优选为各向异性的)将所述图案转移到层20中,从而形成占位符或者芯棒40。如图1C中所示,可以剥离光致抗蚀剂线10,并且可以各向同性刻蚀芯棒40以增加在相邻芯棒40之间的距离。如图1D所示,随后在芯棒40上沉积材料层50。如图1E所示,然后通过在定向隔体刻蚀中从水平表面70和80优先刻蚀隔体材料,在芯棒40的侧部形成隔体60,即延伸的或原来形成的从另一种材料的侧壁延伸的材料。如图1F所示,然后除去保留的芯棒40,从而只留下隔体60,隔体60同时担当用于形成图案的掩模。因此,在原来包含限定一个特征和一个空间的图案的给定间距的地方,现在相同的宽度包含两个特征和由隔体60限定的两个空间。结果,有效降低了光刻技术所能实现的最小特征尺寸。
应该理解,尽管间距在上述实例中实际上是减半的,但是这种间距的降低常规上称为间距″加倍″,或者更普遍地称为间距″倍增″。即,常规上通过某个因素的间距″倍增″实际上涉及通过该因素降低间距。在此保留常规的术语。
因为隔体材料层50典型地具有单一的厚度90(参见图1D和图1E),并且因为通过隔体60形成的特征的尺寸通常对应厚度90,所以间距加倍典型地制造只有一个宽度的特征。然而,电路通常使用不同尺寸的特征。例如,随机存取存储电路典型地在所谓″外围″中包含存储单元阵列和逻辑电路。在所述阵列中,所述存储单元典型地通过导线连接,并且在所述外围中,导线典型地接触用于将阵列连接到逻辑电路上的连接焊盘(landingpad)。然而,外围特征如连接焊盘可以大于导线。另外,外围电器件如晶体管可以大于在阵列中的电器件。此外,即使可以形成与阵列间距相同的外围特征,典型地,限定电路需要的灵活性在使用单个掩模时是不可能的,特别是如果图案限于可以沿着形成图案的光致抗蚀剂的侧壁形成的那些,更是如此。
一些提出的用于在外围和在阵列形成图案的方法包括将图案独立地刻蚀到衬底的阵列区中和衬底的外围中。因此,首先形成在阵列中的图案并且使用一个掩模将其转移到衬底中,然后形成在外围中的另一图案并且使用另一个掩模将其独立地转移到所述衬底中。因为这些方法在衬底上的不同位置使用不同的掩模形成图案,所以它们在形成需要交叠图案的特征的能力方面是有限的,例如当连接焊盘与互连线交叠时,并且还可能需要第三掩模以使用互连″缝合″两个独立的图案。另外,由于使用间距倍增技术限定的精细特征,这种第三掩模将面临关于掩模对准的更大挑战。
因此,需要形成不同尺寸的特征的方法,特别是在特征需要不同的交叠图案并且特别是与间距倍增结合时。
                        发明内容
根据本发明的一个方面,提供一种用于半导体加工的方法。所述方法包括提供衬底,所述衬底具有覆盖在该衬底上面的初级掩模层,覆盖在所述初级掩模层上面的临时层和覆盖在所述临时层上面的第一光致抗蚀剂层。在第一光致抗蚀剂层中形成光致抗蚀剂图案。在所述临时层中形成第一图案,第一图案具有由所述光致抗蚀剂图案的特征得到的特征。随后在第一图案的水平面(level)上形成第二光致抗蚀剂层,并且在第二光致抗蚀剂层中形成其它光致抗蚀剂图案。将所述其它光致抗蚀剂图案和第一图案转移到所述初级掩模层中以在所述初级掩模层中形成混合图案。通过所述初级掩模层中的混合图案加工所述衬底。应该理解所述衬底可以包含通过所述初级掩模层加工的任何一种或多种材料。
根据本发明的另一个方面,提供一种用于形成集成电路的方法。所述方法包括提供衬底和在所述衬底上形成无定形碳层。在第一无定形碳层上形成第一硬质掩模层。在第一硬质掩模层上形成临时层,并且在所述临时层上形成第二硬质掩模层。
根据本发明的另一个方面,提供一种用于半导体制造的方法。所述方法包括通过间距倍增形成第一图案和通过没有间距倍增的光刻独立形成第二图案。将第一和第二图案转移到掩模层中,并且通过所述掩模层刻蚀衬底。
根据本发明的再一个方面,提供一种用于形成集成电路的方法。所述方法包括形成掩模图案,其中所述掩模图案的第一部分具有第一间距并且所述掩模图案的第二部分具有第二间距。第一间距在用于限定第二图案的光刻技术的最小间距以下。所述方法还包括通过所述掩模图案刻蚀衬底。
根据本发明的另一个方面,提供一种用于形成存储器的方法。所述方法包括在第一碳层上的层中形成临时占位符的图案。将掩模材料层沉积在所述临时占位符的表面上,然后从存储器的水平表面上选择性除去。相对于所述掩模材料选择性除去所述临时占位符,形成与在所述存储器的阵列区中的特征对应的掩模材料图案。
根据本发明的再一个方面,提供一种用于制造集成电路的方法。所述方法包括形成多个芯棒条。在每一个芯棒条的侧壁上形成隔体。除去所述芯棒条以形成间隔的隔体的图案。在所述隔体上的平面中形成掩模层,并且在所述掩模层中形成图案。将所述图案转移到与所述隔体相同的水平面中。
根据本发明的另一个方面,提供一种用于制造集成电路的方法。所述方法包括在衬底上提供多根掩模材料的间隔线,其中所述掩模材料与光致抗蚀剂不同。通过光刻技术,在所述衬底上的可光限定的材料中限定多个特征。在所述间隔线下面的无定形碳层中复制所述间隔线和所述多个特征。
根据本发明的另一个方面,提供一种用于形成掩模图案以制造集成电路的方法。所述方法包括提供多根第一掩模材料的线。所述线由第一临时材料隔开。选择性刻蚀第一临时材料。使用第二临时材料填充在所述线之间的空间。选择性刻蚀第二临时材料以打开所述空间。然后通过所述空间进行选择性刻蚀,在所述多根线下面的另一种掩模材料层中形成图案。
根据本发明的另一个方面,提供一种用于制造集成电路的方法。所述方法包括提供在部分制造的集成电路的第一和第二区上延伸的掩模层。在所述掩模层中形成图案。与第一区对应的所述图案的一部分的最小特征尺寸等于或小于与第二区对应的所述图案的另一部分的最小特征尺寸的约一半。
根据本发明的另一个方面,提供一种部分形成的集成电路。所述部分形成的集成电路包含碳层和在覆盖在所述碳层上面的水平面上的多个间距倍增的隔体。所述隔体具有约为100nm或更小的间距。
根据本发明的再一个方面,提供一种部分形成的集成电路。所述部分形成的集成电路包含衬底和覆盖在所述衬底上面的初级掩模层。所述初级掩模层由与光致抗蚀剂不同的材料形成。将限定第一图案的掩模材料设置于覆盖在所述初级掩模层上面的第一平面中。将限定第二图案的可光限定的材料设置于覆盖在所述掩模材料上面的第二平面中。
                         附图说明
从优选实施方案的详细描述和后附的附图可以更好地理解本发明,所附的附图意在说明而不是限制本发明,其中:
图1A-1F是部分形成的导线的示意性横截面侧视图,所述导线是根据现有技术间距加倍方法形成的;
图2A-2B是根据本发明的优选实施方案部分形成的存储器的示意性横截面的顶视图和侧视图;
图3是根据本发明的优选实施方案,图2的部分形成的存储器在所述存储器的阵列中的可选择性限定层内形成线之后的示意性横截面侧视图;
图4是根据本发明的优选实施方案,图3的部分形成的存储器在加宽光致抗蚀剂线之间的空间之后的示意性横截面侧视图;
图5是根据本发明的优选实施方案,图4的部分形成的存储器在通过硬质掩模层进行刻蚀之后的示意性横截面侧视图;
图6是根据本发明的优选实施方案,图5的部分形成的存储器在将图案从光致抗蚀剂层转移到临时层中之后的示意性横截面侧视图;
图7是根据本发明的优选实施方案,图6的部分形成的存储器在沉积隔体材料层之后的示意性横截面侧视图;
图8是根据本发明的优选实施方案,图7的部分形成的存储器在隔体刻蚀之后的示意性横截面侧视图;
图9是根据本发明的优选实施方案,图8的部分形成的存储器在除去临时层的保留部分以在存储器的阵列中留下隔体的图案之后的示意性横截面侧视图;
图10是根据本发明的优选实施方案,图9的部分形成的存储器在使用可除去材料包围所述隔体并且在所述隔体上形成硬质掩模层和可选择性限定层之后的示意性横截面侧视图;
图11是根据本发明的优选实施方案,图10的部分形成的存储器在所述存储器的外围中的可选择性限定层内形成图案之后的示意性横截面侧视图;
图12是根据本发明的优选实施方案,图11的部分形成的存储器在通过顶部硬质掩模层进行刻蚀之后的示意性横截面侧视图;
图13是根据本发明的优选实施方案,图12的部分形成的存储器在将所述图案从所述可选择性限定层转移到与所述隔体相同的水平面(level)中之后的示意性横截面侧视图;
图14是根据本发明的优选实施方案,图13的部分形成的存储器在将外围中的图案和阵列中的隔体图案刻蚀到下面的硬质掩模层中之后的示意性横截面侧视图;
图15是根据本发明的优选实施方案,图14的部分形成的存储器在将外围中的图案和阵列中的隔体图案一起转移到初级掩模层中之后的示意性横截面侧视图;
图16是根据本发明的优选实施方案,图15的部分形成的存储器在将外围图案和隔体图案转移到下面的衬底中之后的示意性横截面侧视图;
图17A和17B分别是根据本发明的优选实施方案形成的部分形成的存储器的刻蚀到阵列和外围中的图案通过扫描电子显微镜观察的显微图。
                      具体实施方式
除在形成不同尺寸特征的情况下的问题以外,还发现间距加倍技术可能难以将隔体图案转移到衬底中。特别是,在转移图案的普通方法中,使隔体和下面的衬底均暴露于优先刻蚀衬底材料的蚀刻剂中。然而,应该理解尽管速度较慢,但是蚀刻剂还损耗隔体。因此,在转移图案的过程中,在图案转移完成之前隔体可能被蚀刻剂损耗。降低特征尺寸的趋向,例如由于这些沟的宽度降低,从而愈加导致更高的纵横比,加重了这些困难。连同制造不同特征尺寸的结构体的困难,这些图案转移限制使得将间距加倍原理用于集成电路制造更加困难。
考虑到这些困难,本发明的优选实施方案允许结合间距加倍改善图案转移并且形成不同尺寸的特征。在所述方法的第一阶段中,优选使用光刻和间距加倍形成隔体图案。这典型地在芯片的一个区域,例如存储器芯片的阵列中形成一种尺寸的特征。在第二阶段中,在覆盖在隔体图案上面的层中再次进行光刻以在芯片的另一个区域,例如存储器芯片的外围中形成第二图案。然后将隔体图案和第二图案均转移到下面的初级掩模层中,所述初级掩模层优选可以相对于下面的衬底进行优先刻蚀。然后,在单个步骤中将隔体和第二图案从初级掩模层转移到下面的衬底中。因此,可以形成用于形成不同尺寸特征的图案,所述不同尺寸特征中的一些在用于形成图案的光刻技术的最小间距以下,并且可以将这些图案成功地转移到下面的衬底中。
此外,因为首先在覆盖在隔体图案上面的层上形成第二图案,所以第二图案与隔体图案可以交叠。结果,可以有利地形成不同尺寸的交叠特征,如导线和连接焊盘或外围晶体管。
优选地,初级掩模层是直接上覆的掩模层,并且由于刻蚀选择性,主要用于通过该初级掩模层在衬底上进行加工(例如,刻蚀)。特别是,初级掩模层优选由如下材料形成,所述材料相对于隔体材料和衬底材料均可以有良好的刻蚀选择性,使得可以将隔体图案有效地转移到其中;使得可以在不损害衬底的情况下,在加工之后选择性地除去初级掩模层;并且在将掩模用于刻蚀衬底时,使得可以将其中的图案有效地转移到所述衬底中。由于其相对于包括氧化物、氮化物和硅的各种材料的优异的刻蚀选择性,初级掩模层优选由碳形成,并且更优选由无定形碳形成。
应该理解衬底可以包含通过所述初级掩模层加工的任何一种或多种材料。因此,衬底可以包含单一材料层、多个不同材料的层、其中具有不同材料或结构的区域的一层或多层等。这些材料可以包含半导体、绝缘体、导体或它们的组合。典型地,所述衬底包含最终形成制造的集成电路的一部分的结构或层。
还应该理解将图案从第一水平面(level)转移到第二水平面涉及形成通常与第一水平面上的特征对应的处于第二水平面的特征。例如,第二水平面的线的路径通常遵循在第一水平面上的线的路径,并且在第二水平面上的其它特征的位置对应在第一水平面上的类似特征的位置。然而,从第一水平面到第二水平面,特征的精确形状和尺寸可以变化。例如,依赖于刻蚀化学和条件,相对于在第一水平面上的图案,可以放大或减小形成转移图案的特征的尺寸和之间的相对间距,但是仍然类似于上述最初的″图案″。
现在将参考附图,其中相同的标记全部指相同的部件。应该理解图2-16没有必要按比例进行绘制。
尽管优选实施方案将应用于其中在衬底上形成不同尺寸的特征的任何环境中,但是在特别有利的实施方案中,通过间距倍增形成将要转移到衬底中的图案的一部分,该部分的间距在用于加工衬底的光刻技术的最小间距以下。另外,尽管优选实施方案可以用于形成任何集成电路,但是它们特别有利地用于形成具有电器件的阵列,包括逻辑或栅极阵列的器件以及易失性或非易失性存储器如DRAM、ROM或闪存。在这些器件中,可以使用间距倍增以形成例如晶体管栅极电极和芯片的阵列区中导线,而可以使用常规的光刻以在芯片的外围形成较大的特征,如接触。在附图中说明了在制造存储器芯片的过程中的示例性掩模步骤。
图2A显示了部分制造的集成电路或存储器芯片100的顶视图。中心区102,即″阵列″被外围区104,即″外围″包围。应该理解在完成集成电路100的制造之后,阵列102典型地密集组装有导线和电器件如晶体管和电容器。如下所述,适宜地,可以使用间距倍增以在阵列102中形成特征。另一方面,外围104可以具有比阵列102中的特征更大的特征。因为位于外围104中的逻辑电路的几何结构的复杂性使得使用间距倍增困难,所以典型地使用常规光刻,而不是间距倍增将这些特征形成图案。另外,由于电约束,外围中的一些器件需要更大的几何结构,从而使得与常规光刻相比,间距倍增对于这些器件不太有利。
参考图2B,提供部分形成的集成电路100。将衬底110安置在多层120-160下面。如下所述,将衬底110形成图案以形成各种特征,并且刻蚀层120-160以形成用于图案的掩模。优选基于在此所述的各种图案形成和图案转移步骤的化学和加工条件要求的考虑,选择覆盖在衬底110上面的层的材料。因为在最上面的可选择性限定层120,其优选可用平版印刷方法限定,和衬底110之间的层起着将从可选择性限定层120得到的图案转移到衬底110上的作用,所以优选选择在可选择性限定层120和衬底110之间的层,使得在它们的刻蚀过程中,它们可以相对于其它暴露材料进行选择性刻蚀。应该理解在材料的刻蚀速率比材料周围的刻蚀速率大至少约5倍,优选约10倍,更优选约20倍并且最优选约40倍时,该材料被认为是选择性或优先刻蚀的。
在举例说明的实施方案中,可选择性限定层120覆盖在第一硬质掩模,或刻蚀阻止层130上面,所述第一硬质掩模层130覆盖在临时层140上面,所述临时层140覆盖在第二掩模或刻蚀阻止层150上面,所述第二掩模层150覆盖在初级掩模层160上面,而所述初级掩模层160覆盖在将通过掩模进行加工(例如,刻蚀)的衬底110上面。所述层的厚度优选根据与在此所述的刻蚀化学和加工条件的相容性进行选择。例如,在通过选择性刻蚀下面的层,将图案从覆盖层转移到下面的层时,某种程度上将材料从两层中均除去。因此,上层优选足够厚,使其在刻蚀过程中不被消耗殆尽。
在举例说明的实施方案中,第一硬质掩模层130优选厚度在约10-50nm之间,并且更优选厚度在约10-30nm之间。所述临时层140优选厚度在约100-300nm之间,并且更优选厚度在约100-200nm之间。第二硬质掩模层150优选厚度在约10-50nm之间,并且更优选厚度在约20-40nm之间,并且初级掩模层160优选厚度在约100-1000nm之间,并且更优选厚度在约100-500nm之间。
参考图2,可选择性限定层120优选由包括本领域中已知的任何光致抗蚀剂的光致抗蚀剂形成。例如,所述光致抗蚀剂可以是与13.7nm、157nm、193nm、248nm或365nm波长系统、193nm波长浸没系统或电子束光刻系统相容的任何光致抗蚀剂。优选的光致抗蚀剂的实例包括氟化氩(ArF)敏感的光致抗蚀剂,即适合与ArF光源一起使用的光致抗蚀剂,和氟化氪(KrF)敏感的光致抗蚀剂,即适合与KrF光源一起使用的光致抗蚀剂。优选将ArF光致抗蚀剂与使用较短波长光,例如193nm的光刻系统一起使用。优选将KrF光致抗蚀剂与较长波长光刻系统,如248nm系统一起使用。在其它实施方案中,所述层120和任何随后的抗蚀剂层可以由抗蚀剂形成,所述抗蚀剂可以通过纳米刻印光刻(nano-imprintlithography),例如通过使用模具或机械力形成图案,从而将抗蚀剂形成图案。
用于第一硬质掩模层130的材料优选包含氧化硅(SiO2)、硅或电介质抗反射涂料(DARC),如富含硅的氧氮化硅。因为DARC可以通过将光反射减至最低而提高分辨率,所以它们对于形成具有接近光刻技术的分辨率极限的间距的图案特别有利。应该理解,光反射可以降低光刻可以限定图案边缘的精确度。任选地,除第一硬质掩模层130以外,还可以类似地使用底部抗反射涂层(BARC)(没有显示)以控制光反射。
临时层140优选由相对于优选的硬质掩模材料提供很高的刻蚀选择性的无定形碳形成。更具体而言,无定形碳是对光高度透明且由于对用于这种对准的光的波长透明而进一步改善光对准的透明碳的形式。在A.Helmbold,D.Meissner,Thin Solid Films,283(1996)196-203中可以找到用于形成高透明碳的沉积技术。
与第一硬质掩模层130一样,第二硬质掩模层150优选包含电介质抗反射涂料(DARC)(例如,氧氮化硅)、二氧化硅(SiO2)或硅。另外,还可以任选使用底部抗反射涂层(BARC)(没有显示)以控制光反射。尽管第一硬质掩模层130和第二硬质掩模层150可以由不同材料形成,但是这些层优选由相同的材料形成,以易于加工并且如下所述将使用的不同刻蚀化学品的数量减至最低。如同临时层140,初级掩模层160优选由无定形碳形成,并且更优选由透明碳形成。
应该理解可以通过本领域技术人员已知的各种方法形成在此所述的各种层。例如,可以使用各种气相沉积方法,如化学气相沉积,以形成硬质掩模层。优选地,使用低温化学气相沉积法以在掩模层160上沉积硬质掩模层或任何其它材料,例如隔体材料(图7),其中掩模层160由非晶硅形成。这种低温沉积方法有利地防止无定形碳层的化学或物理破裂。
可以使用旋涂法形成可光限定层。另外,通过使用烃化合物或这些化合物的混合物作为碳前体的化学气相沉积,可以形成无定形碳层。示例性前体包括丙烯、丙炔、丙烷、丁烷、丁烯、丁二烯和乙炔。在于2003年6月3日授予Fairbairn等的美国专利6,573,030 B1中描述了用于形成无定形碳层的适合的方法。
在根据优选实施方案的方法的第一阶段中并且参考图3-9,在部分形成的集成电路100的阵列中进行间距倍增。如图3所示,在可光限定层120上形成图案。可以通过例如光刻将可光限定层120形成图案,其中使层120暴露于通过分划板的辐照中,然后进行显影。在显影之后,保留的可光限定材料,在这种情况下为光致抗蚀剂,包含限定空间124的线122。
如图4所示,可以将空间122和光致抗蚀剂线122的宽度改变至需要的尺寸。例如,可以通过刻蚀光致抗蚀剂线124加宽空间122。优选使用各向同性刻蚀,如氧化硫等离子体,例如包含SO2、O2、N2和Ar的等离子体,刻蚀光致抗蚀剂线124。优选选择刻蚀的程度,使得到的线124a具有与将要形成的隔体的所需间距对应的宽度,如从下面对图8-16的论述中所理解的。有利地,除允许形成比通过用于将可光限定层120形成图案的光刻技术限定的特征更窄的线124a以外,这种刻蚀可以使线124的边缘变平滑,从而提高线124的均匀性。由此得到的光致抗蚀剂线124和124a构成其上将形成隔体175(图9)的图案的占位符或芯棒。在其它实施方案中,可以通过将线124扩充至需要的尺寸使空间122之间的空间变窄。例如,可以在线124上沉积另外的材料,或者可以使线124进行化学反应,形成具有更大体积的材料以增加它们的尺寸。
优选将(改变的)可光限定层120的图案转移到可以承受下述用于隔体材料沉积的处理条件的材料的层140中。除具有比光致抗蚀剂更高的耐热性以外,优选选择形成临时层140的材料,使得相对于隔体材料和下面的层可以选择性除去它。如上所述,层140优选由无定形碳形成。因为用于刻蚀光致抗蚀剂的优选的化学品还典型地刻蚀显著量的无定形碳,并且因为化学品可用于刻蚀相对于各种材料具有优异选择性的无定形碳,所以选自这些材料的硬质掩模层130优选隔开层120和140。用于硬质掩模层130的适合的材料包括,例如DARC、二氧化硅或氮化硅和硅。
如图5所示,优选将可光限定层120中的图案转移到硬质掩模层130中。尽管如果硬质掩模层130薄,则湿法(各向同性)刻蚀也可以是适合的,但是优选使用各向异性刻蚀,如使用氟碳等离子体的刻蚀实现这种转移。优选的氟碳等离子体刻蚀化学品可以包括CF4、CFH3、CF2H2、CF3H等。
如图6所示,然后优选使用含SO2的等离子体,例如含SO2、O2和Ar的等离子体,将图案转移到临时层140中。有利地,所述含SO2等离子体可以以比刻蚀硬质掩模层130的速率大20倍、更优选大40倍的速率刻蚀优选的临时层140的碳。在2004年8月31日提交的Abatchev等的题目为Critical Dimension Control的美国专利申请10/931,772中描述了适合的含SO2等离子体。应该理解所述含SO2等离子体同时刻蚀临时层140并且除去可光限定层120。
如图7所示,接着优选在硬质掩模层130和临时层140上沉积隔体材料层170。优选通过化学气相沉积或原子层沉积来沉积隔体材料。隔体材料可以是能够用作将图案转移到下面的初级掩模层160中的掩模的任何材料。所述隔体材料优选:1)可以以良好的阶梯覆盖度进行沉积,2)可以在与临时层140相容的低温进行沉积,并且3)可以相对于临时层140和在临时层140下面的任何层进行选择性刻蚀。优选的材料包括氮化硅和二氧化硅。
如图8所示,然后将隔体层170进行各向异性刻蚀以从部分形成的集成电路100的水平表面180除去隔体材料。这种刻蚀也称为隔体刻蚀,可以使用氟碳等离子体进行,所述氟碳等离子体还可以有利地刻蚀硬质掩模层130。接着,可以使用例如含SO2的等离子体选择性除去无定形碳层140。图9显示了在无定形碳刻蚀之后留下的隔体175的图案。因此,可以在部分形成的集成电路100的阵列中实现间距倍增,并且在举例说明的实施方案中,隔体的间距是最初通过光刻形成的光致抗蚀剂线124(图3)的间距的一半。应该理解隔体175通常遵循最初在可光限定层120中形成的图案或线124的轮廓。
接着,在根据优选实施方案的方法的第二阶段中,在外围104中形成第二图案。为了形成这种第二图案,如图10所示,将隔体175保护起来并且形成另一个可光限定层220,以允许将第二图案在外围104形成图案。通过形成在隔体175上形成保护层200保护隔体175。保护层200优选至少与隔体175一样高,并且优选厚约100-500nm,更优选厚约100-300nm。接着,优选在保护层200上形成硬质掩模层210以帮助将图案从可光限定层220转移到保护层200中。优选地,硬质掩模层210厚约40-80nm,并且更优选厚约50-60nm。
保护层200优选由相对于隔体175容易选择性除去的材料形成。例如,保护层200可以由光致抗蚀剂形成,并且可以是与用于形成可光限定层120(图2-5)的光致抗蚀剂相同或不同的光致抗蚀剂,其可以是与用于形成可光限定层220(图10)的材料相同或不同的材料。更具体而言,保护层200由可以相对于隔体175以优异的选择性进行刻蚀的无定形碳形成。
在其中保护层200由可以相对于隔体175和可光限定层220进行选择性刻蚀的材料形成的其它实施方案中,可以省略硬质掩模层210。例如,保护层200可以由底部抗反射涂层(BARC)形成,并且可以在BARC的正上方形成光致抗蚀剂。隔体175可以由对于BARC允许良好的刻蚀选择性的材料形成,所述材料包括氮化硅或二氧化硅。
尽管可以使用任何光刻技术将它形成图案,但是优选使用与用于将可光限定层120形成图案的相同光刻技术将可光限定层220形成图案。因此,参考图11,在可光限定层220中形成图案230。尽管图案177优选具有比光刻技术的最小间距或分辨率更小的间距或分辨率,但是图案230优选具有等于或大于光刻技术的最小间距或分辨率的最小间距或分辨率。应该理解在外围104的图案230可以用于形成连接焊盘、晶体管、局部互连等。还应该理解,尽管是与图案177横向隔开进行说明的,但是图案230还可以与图案177交叠。因此,这些图案的不同参考标记(177和230)的使用表明它们最初是在不同步骤中形成的。
然后,将图案230转移到与隔体175的图案177相同的水平面。如图12中所示,优选使用各向异性刻蚀如氟碳等离子体刻蚀,相对于可光限定层220选择性刻蚀硬质掩模层210。备选地,因为硬质掩模层210是适当薄的,所以湿法(各向同性)刻蚀也可以是适合的。如图13所示,然后通过另一各向异性刻蚀,如使用含SO2等离子体的刻蚀,将图案230转移到保护层200中。因为已经预先除去了覆盖在隔体175上面的硬质掩模层210,所以这种刻蚀还可以除去在隔体175周围的保护层200,从而让这些隔体175暴露。
参考图14和15,然后将图案177和230向下转移到初级掩模层160中,所述初级掩模层160优选包含对于衬底110具有良好的刻蚀选择性的材料,反之亦然,以允许将图案177和230同时转移到衬底110中。因此,图案177和230在初级掩模层160中形成混合图案。
为了转移图案177和230,首先刻蚀覆盖在初级掩模层160上面的硬质掩模层150(图14)。优选使用氟碳等离子体,优选各向异性刻蚀硬质掩模层150。备选地,如果硬质掩模层150相对薄,则可以使用各向同性刻蚀。
然后优选使用含SO2等离子体各向异性刻蚀初级掩模层160,同时可以除去可光限定层200(图15)。如上所述,相对于硬质掩模层150,含SO2等离子体对于初级掩模层160的无定形碳具有优异的选择性。因此,在初级掩模层160中可以形成足够厚的掩模,以随后使用常规的刻蚀化学品并且在图案转移完成之前不损耗初级掩模层160的情况下,将掩模图案有效地转移到衬底110中。
如图16中说明,在将两种图案转移到初级掩模层160中后,使用层160作为掩模将图案177和230转移到衬底110中。假定典型用于初级掩模层160和衬底110的完全不同材料(例如,分别为无定形碳和硅或硅化合物),可以使用适于包含衬底110的一种或多种材料的常规刻蚀容易地实现图案转移。例如,可以将包含含CF4、CHF3和/或NF3等离子体的氟碳刻蚀用于刻蚀氮化硅,可以将包含含CF4、CHF3、CH2F2和/或C4F8等离子体的氟碳刻蚀用于刻蚀二氧化硅,并且可以将包含HBr、Cl2、NF3、SF6和/或CF4的等离子体刻蚀用于刻蚀硅。另外,对于其它衬底材料,如导体,包括铝、过渡金属和过渡金属氮化物,本领域技术人员可以容易确定适合的刻蚀化学品。例如,可以使用氟碳刻蚀来刻蚀铝衬底。
应该理解,在衬底110包含不同材料层时,可以使用一系列不同化学品,优选使用干法刻蚀化学品以通过这些不同层连续地进行刻蚀。还应该理解,根据使用的一种或多种化学品,可以刻蚀隔体175和硬质掩模层150。然而,对于常规的刻蚀化学品,特别是用于刻蚀含硅材料的那些,初级掩模层160的无定形碳有利地提供优异的抵抗力。因此,可以有效地使用初级掩模层160作为通过多个衬底层进行刻蚀的掩模,或用于形成高的纵横比的沟的掩模。另外,可以在单个刻蚀步骤中,将间距加倍的图案177和通过常规技术形成的图案230同时转移到衬底110或衬底110的各个层中。
图17A和17B显示了得到的结构。图17A显示了集成电路100的阵列部分,而图17B显示了集成电路100(图2-16)的外围。如上所述,衬底110可以是在其中刻蚀图案177和230的一种或多种材料的任何层。衬底110的组成可以取决于例如将要形成的电器件。因此,在图17A和17B中,衬底110包含Si3N4层110a、多晶硅层110b、SiO2层110c和硅层110d。在例如晶体管的形成中可以有利地使用这种层结构。
应指出刻蚀表面具有特别低的边缘粗糙度。另外,即使以低的100nm的间距拍摄,在阵列中形成的沟也显示出优异的均匀性。有利地,如图17B所示,还在外围中形成界限分明和平滑的线时得到这些结果。
应该理解,根据优选实施方案形成图案提供许多优点。例如,因为在转移到衬底之前,可以将具有不同尺寸特征的多个图案合并到单个最后的掩模层上,所以可以将交叠图案容易地转移到衬底中。因此,可以容易相互连接地形成间距加倍的特征和通过常规技术形成的特征。此外,如在图17A和17B中显而易见的,可以形成特别小的特征,同时达到特别并且意外低的线边缘粗糙度。尽管不限于理论,但是据认为这种低的线边缘粗糙度是使用层140和160的结果。据认为形成隔体175并且进行多次各向异性刻蚀以将图案177和230从临时层140的水平面转移到初级掩模层160中,然后转移到衬底110中有利地使形成图案177和230的特征的表面变平滑。此外,在此公开的优选的无定形碳的刻蚀化学品允许使用薄的硬质掩模层如层130和150,所述薄的硬质掩模层是相对于刻蚀下面的无定形碳层如层140和160的深度而言的。这有利地降低对覆盖在硬质掩模层上面的层(例如,光致抗蚀剂层)的同一性的要求,并且还降低对用于刻蚀硬质掩模层的化学品的要求,同时确保初级掩模层形成足够厚的掩模以承受随后的衬底刻蚀。
还应该理解,举例说明的工艺流程的各种变更是可以的。例如,因为图案是由包围芯棒的隔体形成的,所以间距倍增的图案典型地形成闭合回路。因此,在间距倍增的图案用于形成导线时,优选使用另外的加工步骤除去这些回路的端部,使得每一个回路形成两根独立的、不连接的线。
而且,尽管基于刻蚀化学品和加工条件的考虑选择在此所述的各种层的组成,但是与初级掩模层一样,各种硬质掩模层优选各自由相同材料形成。有利地,这种安置降低加工复杂性。
另外,可以使图案177的间距增加两倍以上。例如,通过在隔体175周围形成隔体,然后除去隔体175,然后在原先在隔体175周围的隔体周围形成隔体,等等,可以将图案177进一步进行间距倍增。在Lowrey等的美国专利5,328,810中描述了用于进一步间距倍增的示例性方法。另外,尽管优选实施方案可以有利地用于形成的同时具有间距倍增和常规光刻限定的特征的图案,但是图案177和230可以都是间距倍增的,或者可以具有不同的间距倍增程度。
此外,在需要时可以将多于两种图案177和230的图案合并到初级掩模层160中。在这种情况下,可以将附加掩模层沉积在层140和160之间。例如,可以将图案177和230转移到覆盖在硬质掩模层150上面的附加掩模层中,然后可以进行在图10-16中说明的一系列步骤以保护图案77和230,在上覆的可光限定层中形成新图案并且将所述图案转移到衬底110中。所述附加掩模层优选包含相对于硬质掩模层150和在转移到该附加掩模层中之后包围图案177和230的保护层可以进行选择性刻蚀的材料。
而且,尽管通过各种掩模层的″加工″优选涉及刻蚀下面的层,但是通过所述掩模层的加工可以涉及将在所述掩模层下面的层进行任何半导体制造加工。例如,加工可以涉及通过掩模层且在下面的层上的离子注入、扩散掺杂、沉积或湿法刻蚀等。另外,可以使用掩模层作为化学机械抛光(CMP)用的阻止或阻挡层,或者可以在掩模层上进行CMP以既允许掩模层的平面化又允许下面的层的刻蚀。
因此,本领域技术人员应该理解,在不偏离本发明的范围的情况下,可以对上述方法和结构进行各种其它的省略、添加和修改。所有这些修改和改变意在落入由后附权利要求限定的本发明的范围内。

Claims (121)

1.一种用于半导体加工的方法,所述方法包括:
提供衬底,其中初级掩模层覆盖在所述衬底上面,其中临时层覆盖在所述初级掩模层上面,其中第一光致抗蚀剂层覆盖在所述临时层上面;
在第一光致抗蚀剂层中形成光致抗蚀剂图案;
在所述临时层中形成第一图案,其中第一图案的特征由所述光致抗蚀剂图案的特征得到;
在第一图案的水平面上形成第二光致抗蚀剂层;
在第二光致抗蚀剂层中形成其它光致抗蚀剂图案;
将所述其它光致抗蚀剂图案和第一图案转移到所述初级掩模层中以在所述初级掩模层中形成混合图案;和
通过在所述初级掩模层中的所述混合图案加工所述衬底。
2.权利要求1所述的方法,其中加工所述衬底包括通过刻蚀所述衬底,将所述混合图案转移到所述衬底中。
3.权利要求1所述的方法,其中形成光致抗蚀剂图案和/或形成其它光致抗蚀剂图案包括进行电子束光刻。
4.权利要求1所述的方法,其中形成光致抗蚀剂图案和形成其它光致抗蚀剂图案包括使用波长选自13.7nm、157nm、193nm、248nm或365nm的光波长的光进行光刻。
5.权利要求4所述的方法,其中第一和第二光致抗蚀剂层包含相同的光致抗蚀剂材料。
6.权利要求4所述的方法,其中形成第一图案还包括通过各向同性刻蚀所述光致抗蚀剂,将在进行光刻之后保留的所述光致抗蚀剂的宽度降低至需要的宽度。
7.权利要求6所述的方法,其中第一图案遵循在各向同性刻蚀之后保留的光致抗蚀剂的线的轮廓,其中形成第一图案包括:
通过所述光致抗蚀剂层刻蚀所述临时层;
在刻蚀所述临时层之后,在所述临时层的剩余物的侧壁上形成隔体;和
相对于所述隔体优先除去临时层材料,其中所述隔体形成第一图案。
8.权利要求1所述的方法,其中所述临时层包含无定形碳。
9.权利要求8所述的方法,其中所述初级掩模层包含无定形碳。
10.权利要求9所述的方法,其中硬质掩模层直接覆盖在所述临时层和所述初级掩模层上。
11.权利要求10所述的方法,其中所述硬质掩模层包含选自硅、二氧化硅或抗反射涂层材料中的材料。
12.权利要求11所述的方法,其中所述抗反射涂层材料是电介质抗反射涂料。
13.权利要求1所述的方法,其中所述临时层包含底部抗弯曲涂层。
14.权利要求13所述的方法,其中第二光致抗蚀剂层直接接触并且覆盖在所述底部抗弯曲涂层上面。
15.权利要求1所述的方法,其中所述衬底是绝缘体。
16.权利要求15所述的方法,其中加工所述衬底限定存储器阵列的导线。
17.一种用于形成集成电路的方法:
提供衬底;
在所述衬底上形成无定形碳层;
在第一无定形碳层上形成第一硬质掩模层;
在第一硬质掩模层上形成临时层;和
在所述临时层上形成第二硬质掩模层。
18.权利要求17所述的方法,其中形成无定形碳层包括化学气相沉积。
19.权利要求17所述的方法,其中所述临时层包含无定形碳。
20.权利要求19所述的方法,其中形成第二无定形碳层包括化学气相沉积。
21.权利要求17所述的方法,其中形成第一硬质掩模层和形成第二硬质掩模层包括化学气相沉积。
22.权利要求17所述的方法,其中第一硬质掩模层包含选自氧化硅、硅或电介质抗反射涂料中的材料。
23.权利要求22所述的方法,其中所述第二硬质掩模层包含选自氧化硅、硅或电介质抗反射涂料中的材料。
24.权利要求17所述的方法,其中所述无定形碳层厚约100-1000nm。
25.权利要求17所述的方法,其中第一硬质掩模层厚约10-50nm。
26.权利要求17所述的方法,其中所述临时碳层厚约100-300nm。
27.权利要求17所述的方法,其中所述第二硬质掩模层厚约10-50nm。
28.权利要求17所述的方法,所述方法还包括通过纳米刻印在第二硬质掩模层上的抗蚀剂层中沉积并且形成图案。
29.权利要求17所述的方法,所述方法还包括在第二硬质掩模层上沉积光致抗蚀剂层。
30.权利要求29所述的方法,所述方法还包括将所述光致抗蚀剂层形成图案以形成光致抗蚀剂图案。
31.权利要求30所述的方法,所述方法还包括改变在所述光致抗蚀剂图案中的开口的尺寸。
32.权利要求31所述的方法,其中改变所述开口的尺寸包括使所述开口的尺寸变窄。
33.权利要求31所述的方法,其中改变所述开口的尺寸包括各向同性刻蚀所述光致抗蚀剂图案以形成加宽的光致抗蚀剂图案。
34.权利要求33所述的方法,所述方法还包括将所述加宽的光致抗蚀剂图案转移到第二硬质掩模层中。
35.权利要求34所述的方法,所述方法还包括将所述加宽的光致抗蚀剂图案转移到所述临时层中。
36.权利要求35所述的方法,所述方法还包括在转移所述加宽的光致抗蚀剂图案形成的临时层的侧壁上形成隔体。
37.权利要求36所述的方法,所述方法还包括相对于所述隔体优先除去所述临时层以形成隔体图案。
38.权利要求37所述的方法,所述方法还包括将所述隔体图案转移到第一硬质掩模层中。
39.权利要求38所述的方法,所述方法还包括将所述隔体图案转移到所述无定形碳层中。
40.权利要求39所述的方法,所述方法还包括将所述隔体图案转移到所述衬底中。
41.权利要求17所述的方法,其中所述衬底包含多个不同材料的层。
42.权利要求41所述的方法,其中所述多个层包含半导体、绝缘体和/或导体。
43.一种用于半导体制造的方法,所述方法包括:
通过间距倍增形成第一图案;
通过没有间距倍增的光刻独立形成第二图案;
将第一和第二图案转移到掩模层中;和
通过所述掩模层刻蚀衬底。
44.权利要求43所述的方法,其中形成所述第一图案包括:
形成由无定形碳组成的多根芯棒;
在所述芯棒上沉积含硅材料的覆盖层;和
各向异性刻蚀所述覆盖层。
45.权利要求43所述的方法,其中形成第一图案是通过间距加倍实现的。
46.权利要求43所述的方法,其中独立形成第二图案包括使第二图案与第一图案交叠。
47.权利要求43所述的方法,其中所述衬底包含多个不同材料的层。
48.权利要求47所述的方法,其中刻蚀衬底包括对所述多个层的每一个使用不同的刻蚀化学品。
49.一种用于形成集成电路的方法,所述方法包括:
形成掩模图案,其中所述掩模图案的第一部分具有第一间距并且其中所述掩模图案的第二部分具有第二间距,其中第一间距在用于限定第二图案的光刻技术的最小间距以下;和
通过所述掩模图案刻蚀衬底。
50.权利要求49所述的方法,其中形成掩模图案包括将对应第二部分的区域形成图案和将对应第一部分的区域独立形成图案。
51.权利要求50所述的方法,其中将对应第二部分的区域形成图案是使用采用248nm的光的光刻技术进行的。
52.权利要求50所述的方法,其中形成掩模图案包括刻蚀无定形碳层。
53.权利要求50所述的方法,其中刻蚀无定形碳层包括使所述无定形碳层暴露于含SO2的等离子体中。
54.权利要求50所述的方法,其中所述衬底包含绝缘层和导电层。
55.一种用于形成存储器的方法,所述方法包括:
在碳层上的层中形成临时占位符的图案;
将掩模材料层沉积在所述临时占位符的表面上;
从水平表面上选择性除去所述掩模材料;和
相对于所述掩模材料选择性除去所述临时占位符以形成与所述存储器的阵列区中的特征对应的掩模材料图案。
56.权利要求55所述的方法,其中沉积掩模材料层包括通过低温化学气相沉积法沉积所述掩模材料。
57.权利要求56所述的方法,其中所述掩模材料包含氮化硅或氧化硅。
58.权利要求57所述的方法,其中选择性除去所述掩模材料包括使用氟碳等离子体进行刻蚀。
59.权利要求55所述的方法,其中所述临时占位符包含无定形碳。
60.权利要求59所述的方法,其中选择性除去所述临时占位符包括使用含二氧化硫的等离子体进行刻蚀。
61.权利要求55所述的方法,其中硬质掩模层隔开所述临时占位符和所述碳层。
62.权利要求55所述的方法,所述方法还包括将所述掩模材料的图案转移到所述碳层中。
63.权利要求62所述的方法,其中将所述掩模材料的图案转移到所述碳层中包括使所述硬质掩模层暴露于氟碳等离子体中。
64.权利要求63所述的方法,其中将所述掩模材料的图案转移到所述碳层中包括随后使第二碳层暴露于含二氧化硫的等离子体中。
65.权利要求55所述的方法,其中所述掩模材料的图案的掩模材料的位置对应在所述阵列中的导线的位置。
66.一种用于制造集成电路的方法,所述方法包括:
形成多个芯棒条;
在每一个芯棒条的侧壁上形成隔体;
除去所述芯棒条以形成间隔的隔体的图案;
在所述隔体上的平面中形成掩模层;
在所述掩模层中形成图案;和
将所述图案转移到与所述隔体相同的水平面中。
67.权利要求66所述的方法,其中所述隔体至少在垂直所述隔体延伸的第一和第二间隔平面之间以相互间隔的、通常平行的关系延伸。
68.权利要求66所述的方法,所述方法还包括将所述图案和由所述隔体形成的另一图案转移到在所述水平面下面的另一掩模层中。
69.权利要求66所述的方法,其中所述芯棒条具有基本垂直的侧壁。
70.权利要求69所述的方法,其中形成隔体包括将隔体材料沉积在所述芯棒条的暴露表面上,和随后从除所述芯棒条的侧壁以外的表面选择性除去所述隔体材料。
71.权利要求70所述的方法,其中沉积隔体材料包括进行化学气相沉积或原子层沉积处理。
72.权利要求70所述的方法,其中所述隔体材料包含氮化硅或氧化硅。
73.权利要求66所述的方法,其中形成掩模层包括:
使用可除去材料层包围所述隔体,其中所述可除去材料层相对于所述隔体是可选择性刻蚀的;
在所述可除去材料层正上方形成硬质掩模层;和
在所述隔体上的所述平面中形成光致抗蚀剂层。
74.权利要求73所述的方法,其中在所述掩模层中形成图案包括进行光刻。
75.权利要求66所述的方法,其中将所述图案转移到与所述隔体相同的水平面中包括各向异性刻蚀无定形碳。
76.一种用于制造集成电路的方法,所述方法包括:
在衬底上提供多根掩模材料的间隔线,其中所述掩模材料与光致抗蚀剂不同;
通过光刻技术,在所述衬底上的可光限定的材料中将多个特征形成图案;和
在所述间隔线下面的无定形碳层中复制所述间隔线和所述多个特征。
77.权利要求76所述的方法,其中所述线至少在垂直所述线延伸的第一和第二间隔平面之间以相互间隔的、通常平行的关系延伸。
78.权利要求76所述的方法,其中所述线的间距小于所述用于将多个特征形成图案的光刻技术的最小间距。
79.权利要求78所述的方法,其中将多个特征形成图案包括使光致抗蚀剂暴露于波长为248nm的光中。
80.权利要求76所述的方法,其中所述线基本上在存储电路的阵列区中,并且其中所述多个特征基本上在所述存储电路的外围中。
81.权利要求76所述的方法,其中所述线被相对于所述掩模线可选择性除去的材料封装,并且其中所述可光限定的材料在所述多根掩模线和所述可除去的材料上。
82.权利要求76所述的方法,所述方法还包括通过各向异性刻蚀所述可除去的材料,在所述可除去的材料中复制开口。
83.权利要求76所述的方法,其中所述线包含氮化硅或氧化硅。
84.一种用于形成掩模图案以制造集成电路的方法,所述方法包括:
提供多根第一掩模材料的线,所述线通过第一临时材料隔开;
选择性刻蚀第一临时材料;
使用第二临时材料填充在所述线之间的空间;
选择性刻蚀第二临时材料以打开所述空间;和
通过所述空间选择性刻蚀以在另一种掩模材料层中形成图案。
85.权利要求84所述的方法,其中选择性刻蚀第一临时材料包括刻蚀无定形碳。
86.权利要求85所述的方法,其中刻蚀无定形碳包括使第一材料暴露于含二氧化硫的等离子体中。
87.权利要求85所述的方法,其中填充在所述线之间的空间包括沉积无定形碳。
88.权利要求85所述的方法,其中填充在所述线之间的空间包括沉积下层光致抗蚀剂。
89.权利要求88所述的方法,其中所述下层抗蚀剂是氟化氪光致抗蚀剂。
90.权利要求85所述的方法,其中选择性刻蚀第二材料包括使用含二氧化硫等离子体进行刻蚀。
91.权利要求85所述的方法,其中通过所述空间进行选择性刻蚀包括进行硬质掩模刻蚀,然后使用含二氧化硫的等离子体刻蚀无定形碳层。
92.权利要求91所述的方法,所述方法还包括通过所述空间进行刻蚀,以在所述无定形碳层下面的衬底中形成开口。
93.权利要求92所述的方法,其中通过所述空间进行刻蚀以在衬底中形成开口包括在绝缘层中形成所述开口。
94.一种用于制造集成电路的方法,所述方法包括:
提供在部分制造的集成电路的第一区和第二区上延伸的掩模层;
在所述掩模层中形成图案,其中与第一区对应的所述图案的一部分的最小特征尺寸约等于或小于与第二区对应的所述图案的另一部分的最小特征尺寸的一半。
95.权利要求94所述的方法,其中所述掩模层包含无定形碳。
96.权利要求94所述的方法,其中所述集成电路是存储器,其中第一区对应所述存储器的阵列,并且其中第二区对应所述存储器的外围。
97.权利要求94所述的方法,其中在所述掩模层中形成图案包括对在第一区上的所述图案的部分进行间距倍增,并且对在第二区上的所述图案的部分进行没有间距倍增的光刻。
98.一种部分形成的集成电路,其包含:
碳层;和
在覆盖在所述碳层上面的水平面上的多个间距倍增的隔体,其中所述隔体具有约为100nm或更小的间距。
99.权利要求98所述的部分形成的集成电路,其中所述隔体至少在垂直所述条延伸的第一和第二间隔平面之间以相互间隔的、通常平行的关系延伸。
100.权利要求98所述的部分形成的集成电路,其中所述碳层包含无定形碳。
101.权利要求98所述的部分形成的集成电路,其中所述多个隔体的每一个包含氮化硅或氧化硅。
102.权利要求98所述的部分形成的集成电路,其中所述无定形碳层的厚度在约100-1000nm之间。
103.权利要求98所述的部分形成的集成电路,其中硬质掩模层隔开所述无定形碳层和所述多个隔体。
104.权利要求103所述的部分形成的集成电路,其中所述硬质掩模层的厚度在约10-50nm之间。
105.权利要求103所述的部分形成的集成电路,其中所述隔体基本上位于所述部分形成的集成电路的阵列区。
106.权利要求105所述的部分形成的存储器,所述部分形成的存储器还包含由覆盖在所述硬质掩模层上面的碳材料限定的图案。
107.权利要求106所述的部分形成的存储器,其中所述由碳材料限定的图案基本上位于所述部分形成的集成电路的外围。
108.一种部分形成的集成电路,其包含:
衬底;和
覆盖在所述衬底上面的初级掩模层,所述初级掩模层由与光致抗蚀剂不同的材料形成;
掩模材料,所述掩模材料在覆盖在所述初级掩模层上面的第一平面中限定第一图案;和
可光限定的材料,所述可光限定的材料在覆盖在所述掩模材料上面的第二平面中限定第二图案。
109.权利要求108所述的部分形成的集成电路,其中所述掩模材料被相对于所述掩模材料可选择性除去的材料包围。
110.权利要求109所述的部分形成的集成电路,其中所述可选择性除去的材料包括下层抗蚀剂。
111.权利要求110所述的部分形成的集成电路,其中所述下层抗蚀剂包括氟化氪光致抗蚀剂。
112.权利要求109所述的部分形成的集成电路,其中所述可选择性除去的材料包括无定形碳。
113.权利要求108所述的部分形成的集成电路,其中所述初级掩模层是无定形碳层。
114.权利要求113所述的部分形成的集成电路,其中硬质掩模层隔开所述无定形碳层和所述掩模材料。
115.权利要求113所述的部分形成的集成电路,其中所述掩模材料包括含硅材料。
116.权利要求115所述的部分形成的集成电路,其中所述掩模材料是氮化硅或氧化硅。
117.权利要求115所述的部分形成的集成电路,其中所述的可光限定的材料是光致抗蚀剂。
118.权利要求117所述的部分形成的集成电路,其中所述光致抗蚀剂是与氟化氪、氟化氩或157nm波长光刻系统,或193nm波长浸没系统相容的光致抗蚀剂。
119.权利要求108所述的部分形成的集成电路,其中衬底在所述初级掩模层下面。
120.权利要求108所述的部分形成的集成电路,其中所述衬底是导电的。
121.权利要求108所述的部分形成的集成电路,其中所述衬底包含多个不同材料的层。
CNA2005800357643A 2004-09-02 2005-08-26 使用间距倍增的集成电路制造方法 Pending CN101044596A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/934,778 US7115525B2 (en) 2004-09-02 2004-09-02 Method for integrated circuit fabrication using pitch multiplication
US10/934,778 2004-09-02

Publications (1)

Publication Number Publication Date
CN101044596A true CN101044596A (zh) 2007-09-26

Family

ID=35943911

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800357643A Pending CN101044596A (zh) 2004-09-02 2005-08-26 使用间距倍增的集成电路制造方法

Country Status (8)

Country Link
US (5) US7115525B2 (zh)
EP (2) EP1789997A2 (zh)
JP (1) JP4945802B2 (zh)
KR (1) KR100879499B1 (zh)
CN (1) CN101044596A (zh)
SG (1) SG140614A1 (zh)
TW (1) TWI278020B (zh)
WO (1) WO2006026699A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101609814A (zh) * 2008-06-17 2009-12-23 三星电子株式会社 半导体器件及存储系统的形成方法
CN102034755A (zh) * 2009-10-05 2011-04-27 海力士半导体有限公司 半导体器件及其制造方法
CN101471282B (zh) * 2007-12-27 2011-05-11 海力士半导体有限公司 一种形成半导体器件金属线的方法
CN102768956A (zh) * 2012-07-02 2012-11-07 北京大学 一种制备边缘粗糙度较小的细线条的方法
CN103515323A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种nand器件的制造方法
CN101562125B (zh) * 2008-04-17 2014-04-09 三星电子株式会社 形成半导体器件的精细图案的方法
CN104064471A (zh) * 2014-05-21 2014-09-24 上海华力微电子有限公司 一种用于双重图形化工艺流程的侧墙形成方法
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
CN107731665A (zh) * 2017-11-13 2018-02-23 睿力集成电路有限公司 用于间距倍增的集成电路制造
CN109075123A (zh) * 2016-04-14 2018-12-21 东京毅力科创株式会社 用于使用具有多种材料的层对基板进行图案化的方法
CN109216168A (zh) * 2017-07-04 2019-01-15 联华电子股份有限公司 图案化方法
CN113078105A (zh) * 2021-03-29 2021-07-06 长鑫存储技术有限公司 掩膜结构的制备方法、半导体结构及其制备方法

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7259023B2 (en) * 2004-09-10 2007-08-21 Intel Corporation Forming phase change memory arrays
JP2006186562A (ja) * 2004-12-27 2006-07-13 Sanyo Electric Co Ltd ビデオ信号処理装置
WO2006070474A1 (ja) * 2004-12-28 2006-07-06 Spansion Llc 半導体装置の製造方法
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US20080048340A1 (en) * 2006-03-06 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same
KR100640640B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
EP1746645A3 (en) 2005-07-18 2009-01-21 Saifun Semiconductors Ltd. Memory array with sub-minimum feature size word line spacing and method of fabrication
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7322138B2 (en) * 2005-08-31 2008-01-29 Southern Imperial, Inc. Shelf edge sign holder
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US20070085152A1 (en) * 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US20070120180A1 (en) * 2005-11-25 2007-05-31 Boaz Eitan Transition areas for dense memory arrays
US7910289B2 (en) * 2006-01-06 2011-03-22 Texas Instruments Incorporated Use of dual mask processing of different composition such as inorganic/organic to enable a single poly etch using a two-print-two-etch approach
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7998874B2 (en) * 2006-03-06 2011-08-16 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7892982B2 (en) * 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7662721B2 (en) * 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7704680B2 (en) * 2006-06-08 2010-04-27 Advanced Micro Devices, Inc. Double exposure technology using high etching selectivity
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843948B1 (ko) * 2006-07-10 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
KR100823706B1 (ko) 2006-07-21 2008-04-21 삼성전자주식회사 반도체 장치의 신호 라인 구조물 및 이를 제조하는 방법
KR20080012055A (ko) * 2006-08-02 2008-02-11 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR20080022375A (ko) * 2006-09-06 2008-03-11 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7959818B2 (en) * 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7790357B2 (en) * 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
KR100752674B1 (ko) * 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US7772126B2 (en) * 2006-10-19 2010-08-10 Qimonda Ag Hard mask arrangement, contact arrangement and methods of patterning a substrate and manufacturing a contact arrangement
KR100898678B1 (ko) * 2006-10-31 2009-05-22 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US7808053B2 (en) * 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
US7592225B2 (en) * 2007-01-15 2009-09-22 Sandisk Corporation Methods of forming spacer patterns using assist layer for high density semiconductor devices
US7773403B2 (en) * 2007-01-15 2010-08-10 Sandisk Corporation Spacer patterns using assist layer for high density semiconductor devices
WO2008089153A2 (en) * 2007-01-15 2008-07-24 Sandisk Corporation Methods of forming spacer patterns using assist layer for high density semiconductor devices
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
KR100817088B1 (ko) * 2007-02-16 2008-03-26 삼성전자주식회사 다마신 공정을 이용한 반도체 소자의 미세 금속 배선 패턴형성 방법
KR100817090B1 (ko) * 2007-02-28 2008-03-26 삼성전자주식회사 반도체 소자의 제조 방법
US8072601B2 (en) * 2007-02-28 2011-12-06 Kabushiki Kaisha Toshiba Pattern monitor mark and monitoring method suitable for micropattern
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100842763B1 (ko) 2007-03-19 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7659166B2 (en) * 2007-04-11 2010-02-09 Globalfoundries Inc. Integration approach to form the core floating gate for flash memory using an amorphous carbon hard mask and ArF lithography
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
KR101368544B1 (ko) 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US7794614B2 (en) * 2007-05-29 2010-09-14 Qimonda Ag Methods for generating sublithographic structures
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
US7846849B2 (en) * 2007-06-01 2010-12-07 Applied Materials, Inc. Frequency tripling using spacer mask having interposed regions
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
CN102203921A (zh) * 2007-06-15 2011-09-28 应用材料股份有限公司 在基板间隙中形成氧化物牺牲衬层的氧气sacvd方法
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
KR100876892B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100842753B1 (ko) * 2007-06-29 2008-07-01 주식회사 하이닉스반도체 스페이서를 이용한 반도체소자의 패턴 형성방법
US8026180B2 (en) * 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090035902A1 (en) * 2007-07-31 2009-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated method of fabricating a memory device with reduced pitch
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US7759242B2 (en) * 2007-08-22 2010-07-20 Qimonda Ag Method of fabricating an integrated circuit
US8021933B2 (en) * 2007-08-29 2011-09-20 Qimonda Ag Integrated circuit including structures arranged at different densities and method of forming the same
KR100905157B1 (ko) * 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8357618B2 (en) * 2007-10-26 2013-01-22 Applied Materials, Inc. Frequency doubling using a photo-resist template mask
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
KR100874433B1 (ko) * 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US20090127722A1 (en) * 2007-11-20 2009-05-21 Christoph Noelscher Method for Processing a Spacer Structure, Method of Manufacturing an Integrated Circuit, Semiconductor Device and Intermediate Structure with at Least One Spacer Structure
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
KR101192359B1 (ko) 2007-12-17 2012-10-18 삼성전자주식회사 Nand 플래시 메모리 소자 및 그 제조 방법
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US7846812B2 (en) 2007-12-18 2010-12-07 Micron Technology, Inc. Methods of forming trench isolation and methods of forming floating gate transistors
KR101024712B1 (ko) * 2007-12-20 2011-03-24 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US8685627B2 (en) 2007-12-20 2014-04-01 Hynix Semiconductor Inc. Method for manufacturing a semiconductor device
KR100919366B1 (ko) * 2007-12-28 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8304174B2 (en) * 2007-12-28 2012-11-06 Hynix Semiconductor Inc. Method for fabricating semiconductor device
KR100966976B1 (ko) * 2007-12-28 2010-06-30 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8029688B2 (en) * 2008-01-07 2011-10-04 Samsung Electronics Co., Ltd. Method of fine patterning semiconductor device
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7648898B2 (en) * 2008-02-19 2010-01-19 Dsm Solutions, Inc. Method to fabricate gate electrodes
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
KR101448854B1 (ko) * 2008-03-28 2014-10-14 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US20090256221A1 (en) * 2008-04-11 2009-10-15 Len Mei Method for making very small isolated dots on substrates
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
JP5123059B2 (ja) * 2008-06-09 2013-01-16 株式会社東芝 半導体装置の製造方法
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
KR101515907B1 (ko) * 2008-10-23 2015-04-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101540083B1 (ko) * 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP4719910B2 (ja) * 2008-11-26 2011-07-06 国立大学法人東北大学 半導体装置の製造方法
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
KR101565796B1 (ko) * 2008-12-24 2015-11-06 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
US7862962B2 (en) * 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
KR101063727B1 (ko) 2009-01-22 2011-09-07 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
KR20100104861A (ko) * 2009-03-19 2010-09-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US20100267237A1 (en) * 2009-04-20 2010-10-21 Advanced Micro Devices, Inc. Methods for fabricating finfet semiconductor devices using ashable sacrificial mandrels
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8293656B2 (en) * 2009-05-22 2012-10-23 Applied Materials, Inc. Selective self-aligned double patterning of regions in an integrated circuit device
US7972926B2 (en) 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures
US8110466B2 (en) * 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
KR101098062B1 (ko) * 2009-11-05 2011-12-26 주식회사 하이닉스반도체 반도체 소자의 형성방법
US8003482B2 (en) * 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
KR20110087976A (ko) * 2010-01-28 2011-08-03 삼성전자주식회사 반도체 소자용 배선 구조물의 형성방법 및 이를 이용하는 비휘발성 메모리 소자의 제조방법
US8518757B2 (en) * 2010-02-18 2013-08-27 International Business Machines Corporation Method of fabricating strained semiconductor structures from silicon-on-insulator (SOI)
US8492278B2 (en) 2010-03-30 2013-07-23 Micron Technology, Inc. Method of forming a plurality of spaced features
KR101159954B1 (ko) * 2010-04-15 2012-06-25 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
FR2960657B1 (fr) * 2010-06-01 2013-02-22 Commissariat Energie Atomique Procede de lithographie a dedoublement de pas
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US20120035905A1 (en) * 2010-08-09 2012-02-09 Xerox Corporation System and method for handling multiple languages in text
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US8216939B2 (en) 2010-08-20 2012-07-10 Micron Technology, Inc. Methods of forming openings
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
KR101169164B1 (ko) * 2010-10-27 2012-07-30 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
KR101225601B1 (ko) * 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
FR2973156B1 (fr) * 2011-03-24 2014-01-03 St Microelectronics Crolles 2 Procede de decomposition de lignes d'un circuit electronique
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8298954B1 (en) * 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US8722320B2 (en) 2011-07-27 2014-05-13 Micron Technology, Inc. Lithography method and device
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8962484B2 (en) 2011-12-16 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming pattern for semiconductor device
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8697537B2 (en) * 2012-02-01 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning for a semiconductor device
US8692296B2 (en) * 2012-02-09 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and manufacturing methods thereof
US8691696B2 (en) * 2012-05-21 2014-04-08 GlobalFoundries, Inc. Methods for forming an integrated circuit with straightened recess profile
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8836139B2 (en) * 2012-10-18 2014-09-16 Globalfoundries Singapore Pte. Ltd. CD control
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895453B2 (en) * 2013-04-12 2014-11-25 Infineon Technologies Ag Semiconductor device with an insulation layer having a varying thickness
US9064813B2 (en) * 2013-04-19 2015-06-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9406331B1 (en) 2013-06-17 2016-08-02 Western Digital (Fremont), Llc Method for making ultra-narrow read sensor and read transducer device resulting therefrom
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9070559B2 (en) 2013-07-25 2015-06-30 Kabushiki Kaisha Toshiba Pattern forming method and method of manufacturing semiconductor device
US20150035064A1 (en) * 2013-08-01 2015-02-05 International Business Machines Corporation Inverse side-wall image transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104425225A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 三重图形的形成方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10163652B2 (en) 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9412612B2 (en) * 2014-08-29 2016-08-09 Macronix International Co., Ltd. Method of forming semiconductor device
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR102224847B1 (ko) * 2014-10-10 2021-03-08 삼성전자주식회사 반도체 소자의 제조방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR20160084236A (ko) 2015-01-05 2016-07-13 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9525041B2 (en) 2015-02-12 2016-12-20 United Microelectronics Corp. Semiconductor process for forming gates with different pitches and different dimensions
US9312064B1 (en) 2015-03-02 2016-04-12 Western Digital (Fremont), Llc Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9324570B1 (en) 2015-03-13 2016-04-26 United Microelectronics Corp. Method of manufacturing semiconductor device
KR102337410B1 (ko) 2015-04-06 2021-12-10 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR102362065B1 (ko) 2015-05-27 2022-02-14 삼성전자주식회사 반도체 소자의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
TWI692872B (zh) 2016-01-05 2020-05-01 聯華電子股份有限公司 半導體元件及其形成方法
KR102328551B1 (ko) * 2016-04-29 2021-11-17 도쿄엘렉트론가부시키가이샤 복수의 재료의 층을 이용하여 기판을 패터닝하는 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102537525B1 (ko) 2016-05-25 2023-05-26 삼성전자 주식회사 반도체 소자의 패턴 형성 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102301850B1 (ko) 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10326004B1 (en) 2017-12-20 2019-06-18 International Business Machines Corporation Double patterning epitaxy fin
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10692727B2 (en) 2018-07-24 2020-06-23 Micron Technology, Inc. Integrated circuit, construction of integrated circuitry, and method of forming an array
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10957549B2 (en) * 2018-10-08 2021-03-23 Micron Technology, Inc. Methods of forming semiconductor devices using mask materials, and related semiconductor devices and systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111403343B (zh) * 2019-01-02 2022-08-30 联华电子股份有限公司 半导体图案的形成方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220005628A (ko) 2019-06-05 2022-01-13 어플라이드 머티어리얼스, 인코포레이티드 편평한 광학 디바이스들에 대한 애퍼처들
CN111276443B (zh) * 2020-02-10 2023-03-14 中国电子科技集团公司第十三研究所 微波薄膜混合集成电路的制备方法
CN114068408A (zh) 2020-07-31 2022-02-18 联华电子股份有限公司 半导体元件及其制作方法
US20220326611A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Nanoimprint and etch fabrication of optical devices
WO2024019122A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法
WO2024019123A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE280851C (zh)
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) * 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
GB9022644D0 (en) * 1990-10-18 1990-11-28 Ici Plc Heterocyclic compounds
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
US5709807A (en) * 1991-09-05 1998-01-20 Nkk Corporation Flow rate adjusting for rotary nozzle type molten metal pouring unit
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) * 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP3371196B2 (ja) * 1995-03-20 2003-01-27 ソニー株式会社 パターン形成方法
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3164026B2 (ja) 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
US6022815A (en) * 1996-12-31 2000-02-08 Intel Corporation Method of fabricating next-to-minimum-size transistor gate using mask-edge gate definition technique
US6149974A (en) 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
FR2810447B1 (fr) * 2000-06-16 2003-09-05 Commissariat Energie Atomique Procede de creation d'un etage de circuit integre ou conexistent des motifs fins et larges
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) * 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6680163B2 (en) * 2000-12-04 2004-01-20 United Microelectronics Corp. Method of forming opening in wafer layer
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
US6475867B1 (en) * 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) * 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) * 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) * 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US7169711B1 (en) * 2002-06-13 2007-01-30 Advanced Micro Devices, Inc. Method of using carbon spacers for critical dimension (CD) reduction
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
TW550827B (en) * 2002-08-15 2003-09-01 Nanya Technology Corp Floating gate and method thereof
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6794699B2 (en) * 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7186649B2 (en) * 2003-04-08 2007-03-06 Dongbu Electronics Co. Ltd. Submicron semiconductor device and a fabricating method thereof
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
JP2005116969A (ja) * 2003-10-10 2005-04-28 Toshiba Corp 半導体装置及びその製造方法
US7112454B2 (en) * 2003-10-14 2006-09-26 Micron Technology, Inc. System and method for reducing shorting in memory cells
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) * 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) * 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) * 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7132327B2 (en) * 2004-05-25 2006-11-07 Freescale Semiconductor, Inc. Decoupled complementary mask patterning transfer method
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
US7530113B2 (en) * 2004-07-29 2009-05-05 Rockwell Automation Technologies, Inc. Security system and method for an industrial automation system
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) * 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) * 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101471282B (zh) * 2007-12-27 2011-05-11 海力士半导体有限公司 一种形成半导体器件金属线的方法
CN101562125B (zh) * 2008-04-17 2014-04-09 三星电子株式会社 形成半导体器件的精细图案的方法
US9093454B2 (en) 2008-06-17 2015-07-28 Samsung Electronics Co., Ltd. Semiconductor devices having fine patterns
CN101609814B (zh) * 2008-06-17 2013-07-24 三星电子株式会社 半导体器件及存储系统的形成方法
CN101609814A (zh) * 2008-06-17 2009-12-23 三星电子株式会社 半导体器件及存储系统的形成方法
US8686563B2 (en) 2008-06-17 2014-04-01 Samsung Electronics Co., Ltd. Methods of forming fine patterns in the fabrication of semiconductor devices
CN102034755A (zh) * 2009-10-05 2011-04-27 海力士半导体有限公司 半导体器件及其制造方法
CN102034755B (zh) * 2009-10-05 2016-05-25 海力士半导体有限公司 半导体器件及其制造方法
US8741734B2 (en) 2009-10-05 2014-06-03 Hynix Semiconductor Inc. Semiconductor device and method of fabricating the same
CN103515323A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种nand器件的制造方法
CN103515323B (zh) * 2012-06-25 2016-01-13 中芯国际集成电路制造(上海)有限公司 一种nand器件的制造方法
CN102768956A (zh) * 2012-07-02 2012-11-07 北京大学 一种制备边缘粗糙度较小的细线条的方法
CN104064471A (zh) * 2014-05-21 2014-09-24 上海华力微电子有限公司 一种用于双重图形化工艺流程的侧墙形成方法
CN109075123A (zh) * 2016-04-14 2018-12-21 东京毅力科创株式会社 用于使用具有多种材料的层对基板进行图案化的方法
CN109075123B (zh) * 2016-04-14 2023-05-09 东京毅力科创株式会社 用于使用具有多种材料的层对基板进行图案化的方法
CN109216168A (zh) * 2017-07-04 2019-01-15 联华电子股份有限公司 图案化方法
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
CN107731665A (zh) * 2017-11-13 2018-02-23 睿力集成电路有限公司 用于间距倍增的集成电路制造
CN107731665B (zh) * 2017-11-13 2023-07-25 长鑫存储技术有限公司 用于间距倍增的集成电路制造
CN113078105A (zh) * 2021-03-29 2021-07-06 长鑫存储技术有限公司 掩膜结构的制备方法、半导体结构及其制备方法
CN113078105B (zh) * 2021-03-29 2022-07-05 长鑫存储技术有限公司 掩膜结构的制备方法、半导体结构及其制备方法

Also Published As

Publication number Publication date
JP2008512002A (ja) 2008-04-17
KR20070058578A (ko) 2007-06-08
US20070148984A1 (en) 2007-06-28
US7547640B2 (en) 2009-06-16
US20060262511A1 (en) 2006-11-23
US8216949B2 (en) 2012-07-10
WO2006026699A2 (en) 2006-03-09
EP1789997A2 (en) 2007-05-30
US7629693B2 (en) 2009-12-08
US20060046484A1 (en) 2006-03-02
JP4945802B2 (ja) 2012-06-06
US20100203727A1 (en) 2010-08-12
WO2006026699A3 (en) 2007-03-08
SG140614A1 (en) 2008-03-28
US7687408B2 (en) 2010-03-30
TWI278020B (en) 2007-04-01
TW200620408A (en) 2006-06-16
EP2219207A1 (en) 2010-08-18
US7115525B2 (en) 2006-10-03
US20060258162A1 (en) 2006-11-16
KR100879499B1 (ko) 2009-01-20

Similar Documents

Publication Publication Date Title
CN101044596A (zh) 使用间距倍增的集成电路制造方法
KR100921588B1 (ko) 포토리소그래피의 피쳐들에 관련된 감소된 피치를 갖는패턴들
US9679781B2 (en) Methods for integrated circuit fabrication with protective coating for planarization
KR101284410B1 (ko) 작은 조밀한 간격의 피처 배열 형성 방법
US8865598B2 (en) Method for positioning spacers in pitch multiplication
US9412591B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7390746B2 (en) Multiple deposition for integration of spacers in pitch multiplication process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned

Effective date of abandoning: 20070926

C20 Patent right or utility model deemed to be abandoned or is abandoned