CN101164121B - 等离子体氧化及氧化材料的去除 - Google Patents

等离子体氧化及氧化材料的去除 Download PDF

Info

Publication number
CN101164121B
CN101164121B CN2006800074117A CN200680007411A CN101164121B CN 101164121 B CN101164121 B CN 101164121B CN 2006800074117 A CN2006800074117 A CN 2006800074117A CN 200680007411 A CN200680007411 A CN 200680007411A CN 101164121 B CN101164121 B CN 101164121B
Authority
CN
China
Prior art keywords
conductive layer
etching
described conductive
layer
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800074117A
Other languages
English (en)
Other versions
CN101164121A (zh
Inventor
金允圣
A·贝利三世
尹央锡
A·M·豪瓦德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101164121A publication Critical patent/CN101164121A/zh
Application granted granted Critical
Publication of CN101164121B publication Critical patent/CN101164121B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Abstract

一种蚀刻导电层的方法,包括转化此导电层的至少一部分和蚀刻此导电层以基本去除此导电层的被转化部分并由此暴露保留的表面。保留的表面具有低于大约10nm的平均表面粗糙度。也公开了用于蚀刻导电层的系统。

Description

等离子体氧化及氧化材料的去除
背景技术
1.技术领域
本发明一般涉及双镶嵌(dual damascene)半导体的制备方法,和更特别地涉及用于在半导体制备方法中使特征和层平坦化以及控制控制表面粗糙度的方法和系统。
2.相关技术描述
双镶嵌制备方法在半导体的制备中变得越来越普遍。在典型的双镶嵌制备方法中,将一种或多种导电物质沉积在先前在半导体衬底中或者在半导体衬底上形成的膜中形成的图案化的沟槽和通道中衬底,以形成所期望的电路相互连接。经常形成导电材料过量或者部分过载。导电材料的过载部分是不必要的和不希望的,而且是必须得去除以产生出镶嵌特征和为随后的处理提供均匀和平整的表面。
这些导电材料的过载部分典型地通过化学机械抛光(CMP)和电化学抛光(ECP)(如:蚀刻)方法或者这两种方法结合起来进行去除。这些方法的每个都有明显的缺点。举例而言,ECP一般具有相对低的生产能力、差的均匀性和不能有效地去除非导电材料。
CMP要求物理性接触方法,该方法通常留下导电性的残余物,或者造成各种物质的腐蚀,或产生不均匀的去除,及不能适当地使互连线和层间介电(interlevel dielectric,ILD)顶表面实现平坦化。CMP同样会对剩余的互连接和ILD结构造成与应力相关的破坏(如,层间的脱层、剥落)。由于近来所用的材料具有非常差的层间粘合特性,这使CMP所造成的应力破坏进一步恶化。为了减少物理应力而降低CMP方法的物理作用力则经常导致不可接受的低生产速率及其他不良的过程性能参数。
着眼于前述,需要改善的系统和方法来去除层的至少一部分和提供基本光滑的表面,同时使剩下的特征的物理应力最小。这种改善系统和方法应该适合用于半导体制备种,并应该能运用在方法比如双镶嵌方法或其他半导体制备方法中。
发明内容
总的说来,本发明通过提供用于蚀刻导电层的系统和方法来满足这些需求。应该认识到本发明能通过很多方式得到实施,包括作为方法、设备、系统、计算机可读介质或装置。本发明的一些发明性实施方案在下文得到描述。
一个实施方案提供了蚀刻导电层的方法,包括转换至少一部分的导电层和蚀刻该导电层以基本上去除该导电层的被转换部分并且就此暴露出保留的表面。这保留的表面具有小于大约10nm的平均表面粗糙度。
导电层能包括铜层或者铜合金层。转换所述至少一部分的导电层可以包括氧化所述至少一部分的导电层。转换所述至少一部分的导电层可以包括氮化所述至少一部分的导电层。
导电层能在下层上形成。下层可以在衬底上形成。下层可以是阻挡层。转换所述至少一部分的导电层可以包括基本转换整个导电层和转换至少一部分所述下层。
转换所述导电层至少一部分可以与蚀刻此导电层以基本上去除此导电层的被转换部分基本上同时发生。
转换所述导电层至少一部分可以与蚀刻此导电层以基本去除掉所述导电层的被转换部分原位发生。
蚀刻导电层以基本去除此导电层的被转换部分可以包括用BCl3进行蚀刻。转换此导电层的至少一部分可以包括用包括氯和氧的氧化混合物氧化导电层的所述至少一部分。
转换此导电层的所述至少一部分可以包括用包括氩和氧的氧化混合物氧化此导电层的所述至少一部分。转换此导电层的所述至少一部分可以包括在大于大约200℃的温度下转换此导电层的所述至少一部分。转换此导电层的所述至少一部分可以包括在等离子体中转换此导电层的所述至少一部分。
蚀刻此导电层以基本去除此导电层的被转换部分可以包括在低于大约150℃蚀刻此导电层。蚀刻此导电层以基本去除此导电层的被转换部分可以包括用动态弯液面蚀刻。蚀刻此导电层以基本去除此导电层的被转换部分可以包括在等粒子体中蚀刻此导电层。
保留表面的平均表面粗糙度小于大约0.04倍的此导电层被转换部分的厚度。转换此导电层的所述至少一部分和蚀刻此导电层以基本上去除此导电层的被转换部分基本上同时发生。
另一实施方案提供了蚀刻铜层的方法,包括在高于大约200℃的温度在等离子体室中用第一等离子体氧化该此铜层的至少一部分。此铜层被蚀刻以基本上去除此铜层的被氧化部分从而暴露保留表面。所述保留表面的平均表面粗糙度小于大约10nm。在该等离子体室中在低于大约100℃的温度用第二等离子体蚀刻此铜层。
另一实施方案提供了用于蚀刻导电层的系统。此系统包括等离子体室。此等离子体室可以密封衬底并且此衬底具有暴露的导电物质层。此等离子体室可以支持温度高于大约200℃的的第一等离子体和温度低于大约100℃的第二等离子体。此系统也包括连接到等离子体室的转换物种源和连接到等离子体室的蚀刻物种源。此系统也包括与等离子体室连接的控制器。此控制器可以控制转换物种和蚀刻物种进入等离子体室的流动。此控制器也包括方案(recipe)。任选地,此系统还可以包括动态弯液面蚀刻过程室。
从下面的具体描述并结合附图和对本发明原理的举例说明,本发明的其他方面和优点将变得显而易见。
附图说明
本发明将通过下面的详述与附图的结合变得容易理解。
图1A显示的是根据本发明的一个实施方案在双镶嵌方法中的图案化的半导体衬底。
图1B是根据本发明的一个实施方案在半导体衬底上的层的剖面图。
图1C和1D显示的是根据本发明的一个实施方案在经过了典型的蚀刻过程后半导体衬底上的层的剖面图。
图2A和2B是根据本发明的一个实施方案可以在半导体衬底上形成的层的剖面图。
图3是根据本发明的一个实施方案转换和蚀刻铜层一部分的操作方法的流程图。
图4举例说明了根据本发明的一个实施方案能够支撑动态弯液面的贴近头(proximity head)420。
图5是根据本发明的实施方案用于蚀刻导电层的系统的简略示意图。
图6A-E显示的是根据本发明的一个实施方案用于图案化电镀过程的转换和蚀刻过程。
图7A-E显示的是根据本发明的一个实施方案用于图案化过程的转换和蚀刻过程。
示例性实施方案详述
现在将描述一些用于蚀刻铜层的改善系统和方法的示例性实施方案。在没有一些或全部在本文中提到的特定细节的情况下可以实施本发明,这对于此领域的技术人员来说是明显的。
在半导体制备过程中,铜和铜合金正变成广泛运用的导体材料。举例而言,很多导电迹线和通道被用来在半导体中形成的器件之间形成互连接。
第一层典型地具有在其中形成的沟槽和通孔。然后,将导电材料填充到所述沟槽和通孔之中和之上。结果,相当部分的导电材料形成过载部分,其不均匀地分布在沟槽和通孔之上。此过载部分必须去除以消除不期望的短路和为随后的半导体过程提供基本平整的表面。
典型地,运用CMP过程或者相似的机械方法去除此过载部分。不幸的是,如上所述,CMP会赋予半导体结构过量的应力并因此给互连接结构和下层造成损害。
各种蚀刻和无应力平坦化方法包括:接收图案化的半导体衬底,使导电互连接材料填充所述图案中的多个特征,所述导电互连接材料具有不均匀的过载部分;在过载部分上形成附加层;使此附加层和此过载部分平坦化而不施加机械应力给所述多个特征,所述附加层在平坦化过程中基本上全部被去除。在过载部分上形成附加层能包括化学转换此过载部分的上表面和上部分。化学转换此过载部分的上表面和上部分可以包括将此过载部分的顶表面暴露到反应性气体。此反应性气体可以是卤素。此附加层可以是此过载部分的卤化物反应产物。使此附加层和过载部分平坦化可以包括蚀刻此附加层和至少一部分此过载部分。使此附加层和过载部分平坦化可以包括重复过程,此重复过程包括蚀刻此附加层,形成第二附加层和蚀刻第二附加层。此重复过程能在原位进行。此导电互连接材料可以包括铜。
蚀刻铜过载层可以用来去除和平坦化此过载铜层。不幸的是,大多数现有铜蚀刻过程导致铜蚀刻表面(即,保留的铜表面)的表面粗糙度过大。所述过大的表面粗糙度可能导致经过多重路径使器械失效的可能性增大。举例而言,过大的表面粗糙度促使空隙的产生、在阻挡层或介电覆盖层界面的电子迁移、变化的电阻系数、在通道底部过大的互连接和接触电阻,以上仅举少数路径来说明。相似的问题同样可能在包括电子抛光和CMP的其它回蚀刻(etch-back)方法中产生。
图1A显示的是根据本发明一个实施方案在双镶嵌过程中的图案化的半导体衬底100。作为半导体制备过程比如双镶嵌制备过程的一部分,此衬底100已经被图案化。可利用掩模来图案化衬底100。衬底100包括大的稍微隔开的特征102(例如,沟道、通道等)、小的稍微隔开的特征104和多个被致密堆集在一起的特征106。还包括阻挡层108。此阻挡层108典型的是与衬底100或导电互连接材料120不同的材料。导电互连接材料120可以是铜或者铜合金或者其他合适的导电材料。
导电互连接材料120的过载部分110延伸到了特征102、104、106的上方,且包括在过载部分110的厚度上相应的局部变化124、116、118。如显示,同较小的特征104相比,较大的的特征102在过载部分110的厚度上具有相应较大的减少,而较小的特征104在过载部分110的厚度上具有稍微小些的变化。而致密堆积的特征106具有稍微增大厚度的过载部分110。
典型的蚀刻过程以相当均匀的速度在全部晶片区域上蚀刻导电互连接材料120的过载部分112,因此,典型的蚀刻过程会在致密堆积的特征106附近的阻挡层110被暴露前,使靠近大特征102的阻挡层108暴露。过载部分112可以在如上所述的无应力平坦化过程中被大部分地去除和平坦化。
图1B是根据本发明一个实施方案在半导体衬底100上的层108和110的剖面图。衬底100具有第一下层108(如,阻挡层或衬底)和在其上形成的铜或铜合金层110(铜层110)。铜层110以多种晶体结构110A-110D形成。晶体结构110A-110D中的每一个具有不同的晶粒结构,如不同方向的断面线所示。晶体结构110A-110D也可以具有形成于每种晶体结构间的晶界。下层108和铜层110具有组合厚度h。
图1C和1D显示的是根据本发明的一个实施方案在应用了典型蚀刻过程之后,在半导体衬底100上的层108和110的剖面图。首先,参考图1C,铜层110被蚀刻,蚀刻过程在形成于每种其他晶体结构110A-110D之间的晶界中形成了明显的凹谷112。举例而言,铜层110的表面被蚀刻到d的深度,而凹谷112被蚀刻到大约d’的额外深度。蚀刻过程侵蚀性地蚀刻此晶界以形成凹谷112。结果,铜层110的保留表面将过度粗糙。
现在参考图1D,铜层110已经被蚀刻。蚀刻过程已经非均匀地蚀刻了不同的晶体结构110A-110D,这至少部分因为在蚀刻化学和晶体结构110A-110D取向之间的不同反应速度。结果,铜层110表面已经被蚀刻到深度d,而此凹谷114已经被蚀刻了额外的大约d’’的深度。与在蚀刻化学和晶体结构110B和110C间较慢的第二蚀刻速度相比较,通过在蚀刻化学和晶体结构110A间的较快的第一蚀刻速度形成凹谷114。结果,铜层110的保留表面将过度粗糙。
铜层110的保留表面的过分粗糙也可能是由于蚀刻晶界的蚀刻化学(即如图1C所描述)和不均匀的蚀刻不同晶体结构110A-110D的蚀刻化学的组合造成的。在经过传统的等粒子体回蚀刻过程后,典型的过度粗糙度在大约10到100nm之间。所期望的粗糙度小于大约10nm。
对于生成的铜化合物的等离子体转换和去除可以用来基本消除所述的过量表面粗糙度。所述等离子体转换和去除过程是无应力过程,所以消除了与应力相关的问题,比如在传统的CMP中所典型遭遇的在阻挡层/介电层界面处的分层或通道拨出。
图2A和2B是根据本发明一个实施方案的可以在半导体衬底200上形成的层108和110的剖面图。图3是根据本发明一个实施方案的转化和蚀刻铜层110的一部分的操作方法的流程图。如图2A和操作305中所示,铜层110的表面的一部分202能被转化成更均匀的材料。铜层110的表面的部分202能转化为如上所述的卤化物。
举例而言,铜层110的表面的部分202能转化为铜氧化物或铜氮化物和其组合。因为整个部分202基本上由单一的均匀材料组成,所以没有不同取向的不同取向晶粒结构(如上图1A-1C所示的不同的晶粒结构110A-110D)。结果,随后的蚀刻过程能提供更加均匀的蚀刻速度和更加均匀的蚀刻结果。
再次参考上面图1A,如果阻挡层108是导电材料,那么阻挡层108能在特征102和104间提供电途径,结果,通常期望去除阻挡层108。转化过程305也可以包括转化下层108的至少一部分。举例而言,下层108可以是阻挡层。阻挡层108也可以被转化为氧化物或氮化物,这样,整个转化的铜层110和转化的阻挡层108都能在单个后续蚀刻过程中被去除。可替换地,在去除铜层110后,可以转化和去除阻挡层108。
又或者,操作305的转化过程可以是阻挡层108选择性的。举例而言,导电层110可以是铜,阻挡层108可以是钽(Ta)、氮化钽(TaN)、钛(Ti)或者氮化钛(TiN)或者其他适合的材料或其化合物。操作305的转化过程会转化铜层110向下直到阻挡层108,然后停止,这是因为与铜比较,用于转化此铜层的转化化学具有明显更慢的反应速度(如,大约10∶1)。
转化过程305可以包括暴露铜层110到氯和氧的混合物中。举例而言,氯和氧的混合物可以包括大约50%的氯和大约50%的氧。或者,转化过程305可以包括暴露铜层110到大约50%的氩和大约50%的氧的混合物中。或者,转化过程305可以包括暴露铜层110于大约50%的氩气和大约50%的氮气的混合物。在转化过程305中,氙气、氢气(H2)、氯气(Cl2)、溴(Br2)和氢氯酸(HCl)中的一种或多种也可以与氧气混合。再或,转化过程305可以包括暴露铜层110于一氧化碳(CO)、二氧化碳(CO2)或氧化亚氮(N2O)的一种或多种中,以转化铜层110。
转化过程305可以用等离子体进行。或者,转化过程305可以在没有等离子体的情况下进行。转化过程305可以在低于大约-20℃和高于大约300℃之间的温度进行。转化过程305可以在任何合适的工艺室中进行。举例而言,转化过程305可以在电容或电感耦合等离子体室中进行,此电容或电感耦合等离子体室以在低于大约2MHz和高于大约27MHz间的频率运转。转化过程305可以在等离子体室中进行,如由Bailey III等人在2003年12月22日提交的发明名称为“Small VolumeProcess Chamber with Hot Inner Surfaces”的美国专利申请号为No.10/744,355的专利中请所述,其全部内容在此引用作为参考。
转化过程305可以在大约1mTorr到大约1Torr的压力下进行。转化过程305可能需要少于大约20秒到多于大约1分钟。转化过程305可以转化介于小于大约100nm和大于大约1500nm之间的铜层110的上表面。
如在图2B和操作310中所示,铜层110的表面的转化部分202可以在蚀刻过程中被去除。蚀刻过程310留下铜层110的基本光滑的表面204(即,表面204具有小于大约10nm的平均表面粗糙度)。平均表面粗糙度定义为在表面204的35μm×35μm区域内峰与谷之间的平均差。蚀刻过程310可以在低于大约-20℃和高于大约300℃之间的温度进行。
蚀刻过程310可以是湿蚀刻或者等离子体蚀刻。举例而言,蚀刻过程310能在其中进行转化过程305的相同等离子体室中原位进行。或者,蚀刻过程310可以是在本领域公知的蚀刻槽中在湿蚀刻中以湿蚀刻进行。湿蚀刻同样可以通过如下面图4中所描述的动态弯液面进行。
蚀刻过程310可以对导电层的在下面的未转化部分具有选择性。举例而言,如果转化的部分202是被转化为铜氧化物并且保留的铜层得以保留,那么选择的蚀刻化学物质可以去除此铜氧化物而基本上不蚀刻保留的铜。包括BCl3的蚀刻化学物质对于氧化铜与元素铜的选择性为10∶1。包括BCl3的蚀刻化学物质可以包括氩气和BCl3的混合物。举例而言,包括BCl3的蚀刻化学物质可以以大约400nm/分钟-大约700nm/分钟的速度蚀刻铜氧化物,蚀刻元素铜的速度仅大约60nm/分钟。或者,蚀刻化学物质可以对于阻挡层108具有选择性。
在一个或多个上述实施方案中,保留表面的平均表面粗糙度可以是导电层的被转化部分的厚度的函数。举例而言,如果将被去除的导电层期望的厚度是大约250nm,那么上述的Ar/O2过程可以导致保留表面的表面粗糙度是大约10nm。再次说明,在Ar/O2过程中,平均表面粗糙度小于大约0.04倍的导电层被转化部分的厚度。
转化过程305和蚀刻过程310可以重复使用以逐渐转化和蚀刻掉铜110的连续部分。
蚀刻过程310可以包括用一种或多种浓度的BCl3来蚀刻被转化的铜。举例而言,在蚀刻过程310期间,BCl3可以是BCl3/氩气混合物的大约10%-大约100%。在蚀刻过程310期间,衬底100也可以被加热到大于大约250℃的温度。举例而言,在蚀刻过程310期间,夹盘能同时支持和加热衬底100。蚀刻过程310可具有大约100-大约700nm/分钟的蚀刻速度。
转化过程305和蚀刻过程310可以基本上同时进行。举例而言,在大约20℃暴露铜层110于大约50%氩气和大约50%氧气的混合物中将导致此表面基本上同时被转化和蚀刻掉。或者,氩气和氧气混合物可以包括浓度范围,所述范围包括大约2%-大约100%的氧气和大约98%-大约0%的氩气。转化过程305可以在低于大约-20℃-高于大约300℃的温度范围内进行。此基本同时发生的转化和蚀刻过程可以每分钟转化和去除大约10nm-大约200nm。
转化过程305和蚀刻过程310可以在不同温度和压力下原位进行。举例而言,转化过程305可以在大于大约200℃的温度应用,蚀刻过程310可以在低于大约100℃的温度下应用。温度的这种变化能显著减少极薄铜层的任何铜团聚,所述极薄铜层比如在过载110的主体被去除后保留的铜层。
蚀刻过程310也可以包括大约10%-大约100%的BCl3/氩气混合物,压力在大约5mT到大约100mT之间,温度低于大约100℃。可以产生最高功率在大约500W到大约2000W之间、夹盘偏压(chuck bias)在大约100W到大约1000W之间的等离子体。
图4举例说明的是根据本发明的一个实施方案能够支持动态弯液面416的贴近头420。在一个实施方案中,贴近头420紧密靠近晶片400的上表面430a移动,以进行清洗、干燥、蚀刻或其他处理操作。应该认识到,贴近头430也可以用来处理(如清洗、干燥、蚀刻等)晶片400的底表面430b。在一个实施方案中,晶片400是旋转的,所以贴近头400可以以直线的方式横跨晶片400的表面430a移动。当贴近头横跨晶片400的表面430a移动时,动态弯液面416也被拖曳跨过此表面430a。通过这样的方式,动态弯液面416可以横跨晶片400的表面430a移动。由于动态弯液面416可以横跨晶片400的表面430a移动,所以基本上所有的流体、微粒和其他松散物质被从表面430a上除掉。以此方式,动态弯液面416基本上干燥了表面430a。
动态弯液面416形成于在晶片400的表面430a和贴近头420之间的相对狭窄的空间里。动态弯液面416由通过源出口404的真空412和通过源入口406的流体414(例如,去离子水、蚀刻化学物质等)形成。可以通过入口端口402加入IPA(异丙醇)410,以辅助此动态弯液面416的形成。IPA410强化了动态弯液面416的边缘。
动态弯液面416可以支持数个过程中的任何一个或多个。举例而言,如果蚀刻化学物质416被用于形成动态弯液面416,那么此动态弯液面可以支持蚀刻过程,此蚀刻过程可以集中于特定位置和/或在整个表面430a上进行。相似地,可利用清洗流体(如,去离子水)来形成动态弯液面416,而且在单个工序中冲洗和干燥表面430a。
图5是根据本发明实施方案用于蚀刻导电层的系统500的简化示意图。系统500包括第一过程室502。第一过程室502可以是如上所述的等离子体室。系统500也包括连接到过程室502并能够控制此过程室502的控制器510。控制器510包括方案512。方案512包括第一过程室502的所有操作参数(如,温度、压力、流速、过程气体、定时、时序等),这可能是操作过程室所需的。举例而言,方案512可以定义来自一个或者多个与第一过程室502连接的转化物种源504的转化物种(或者物种的组合)的流速和压力。更进一步,方案512可以定义来自一个或多个与第一过程室502连接的蚀刻物种源506的蚀刻物种(或者物种组合)的流速和压力。
系统500也可以包括第二过程室520。第二过程室520可以是蚀刻室,如湿蚀刻过程室或用于动态弯液面的过程室。第二过程室520也可以是用于如上所述进行等离子体蚀刻的分离等离子体室。
上面关于转化和蚀刻一层或多层导电层(如铜层和/或下面阻挡层)的实施方案已经在铜回蚀刻过程的示例性上下文中加以描述。应该理解的是,基本相似的过程也可以用于图案化电镀过程和图案化过程。
图6A-E显示的是根据本发明一个实施方案用于图案化电镀过程的转化和蚀刻过程。首先参考图6A,在衬底602上形成第一层604。种晶层606形成于第一层604上。种晶层可以是相对薄的材料层(如铜),此材料层将形成于如图6B中所示的图案中。通过图案化技术形成图案608。图案608可以在光刻胶材料中形成。
现在参考图6B,铜层610沉积于图案608中。种晶层辅助铜层610的粘结。如图6C所示,图案608已经被去除。通过任何合适的方法可以去除图案608。举例而言,形成图案608的光刻胶材料能够通过暴露此光刻胶材料于合适的波长光下并随后在冲洗/清洁过程中去除掉来去除。去除图案608将在铜层610的区段间留下空间图案608A。因为已经去除掉光刻胶材料608,所以下面的种晶层606的部分再次被暴露。
现在参考图6D,在上面图2A-3中描述的转化过程可以用来转化(如氧化或氮化)铜层610的较薄的顶层610A。通过如上面图2A-3所述的转化过程同样可以转化(如氧化或氮化)种晶层606的暴露部分606A。种晶层606的暴露部分606A可以基本和铜610的较薄顶层610A的转化同时进行。
现在参考图6E,蚀刻过程已经去除了被转化的较薄顶层610A和种晶层606的转化部分606A。结果,在铜层610区段间的空间图案608B延伸到第一层604。去除种晶层606的转化部分606A将去除在铜层610区段间的不期望的导电互连接。
图7A-E显示的是根据本发明一个实施方案的用于图案化过程的转化和蚀刻过程。现在参考图7A,衬底702具有形成在其上的第一层704。种晶层706形成于第一层704上。导电层708形成于种晶层706上。种晶层706可以是较薄层的导电层708的材料(如铜)。种晶层706可以是任何其他合适的材料。种晶层706可以支持铜层708的粘结。通过图案化技术形成图案710。图案710可以是硬掩模。
现在参考图7B,导电层708的没有被图案710覆盖的部分708A被按照上面图2A-3所述那样转化(例如,氧化或者氮化)。如图7C显示,去除图案710。图案710可以通过任何合适的方法去除。举例而言,形成图案710硬掩模材料可以通过化学机械抛光(CMP)去除。
现在参考图7D,在上图2A-3中描述的蚀刻过程可以用来去除导电层708的被转化(如被氧化或氮化)部分708A。去除导电层708的被转化部分708A可以暴露部分种晶层706。
现参考图7E,种晶层706的暴露部分706A也可以通过上图2A-3中所示的转化过程进行转化(例如,氧化或者氮化)。种晶层706的暴露部分706A可以基本在导电层708的转化部分708A被转化的同时被转化。种晶层706的被转化部分706A可以如同上面图6E中所述被去除。去除种晶层706的被转化部分706A将去除铜层708A区段间的不期望的导电互连接。
本文描述的形成本发明一部分的任何操作是有用的机器操作。本发明也涉及用于执行这些操作的设备或者装置。装置可以针对所需目的进行特别构造,或者它可以是通用型计算机,通过在该计算机中存储的计算机程序选择性地激活或配置。特别地,各种通用型机器可以和根据本发明教导编写地计算机程序一起使用,或者可以更方便地构造更专用性的装置以执行所需操作。
本发明也可以以计算机可读介质上的计算机可读代码形式实施。计算机可读介质是任何可以储存数据并且所述数据随后可被计算机系统读取的数据储存设备。计算机可读介质的实例包括硬盘、网络附加存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带、和其他光学和非光学数据储存设备。计算机可读介质也可以分布于网络连接的计算机系统中,从而以分布方式存储和执行计算机可读代码。
应该进一步认识到在上面图中的这些操作代表的命令不是被要求以举例说明的顺序执行,而且这些操作代表的所有处理可能对实施本发明不是必要的。更进一步,在上面任何图中所描述的过程也可以在存储于RAM、ROM、或硬盘驱动器中的任何一个或者组合中的软件中被执行。
虽然已将前述的发明为了清楚理解而进行了一定的详细描述,但是显而易见的是,一些变化和改进可以在所附权利要求的范围内实施。相应地,这些实施方案被理解为说明性的而不是限制性的,而且本发明不是局限于在此所给的细节,而是可以在这些所附权利要求的范围和同等物中进行改变。

Claims (20)

1.导电层的蚀刻方法,包括:
在等离子体室中,转化在半导体衬底上的至少一部分所述导电层,包括氮化或氧化所述导电层的所述至少一部分以使被转化部分由单一均匀材料组成,所述单一均匀材料没有不同取向的晶粒结构,其中所述导电层暴露在所述等离子体室中;及
蚀刻所述导电层以基本去除所述导电层的被转化部分,从而暴露保留的表面,所述保留表面具有小于10nm的平均表面粗糙度,其中所述等离子体室能够支持温度高于200℃的第一等离子体和温度低于100℃的第二等离子体。
2.根据权利要求1的方法,其中所述导电层包括铜层或铜合金层。
3.根据权利要求1的方法,其中所述导电层形成于下层之上,所述下层形成于所述半导体衬底上。
4.根据权利要求3的方法,其中所述下层为阻挡层。
5.根据权利要求3的方法,其中转化所述导电层的所述至少一部分包括基本转化整个导电层和转化所述下层的至少一部分。
6.根据权利要求1的方法,其中转化所述导电层的所述至少一部分和蚀刻所述导电层以基本去除所述导电层的被转化部分基本上同时发生。
7.根据权利要求1的方法,其中转化所述导电层的所述至少一部分和蚀刻所述导电层以基本去除所述导电层的被转化部分在等离子体室中原位进行。
8.根据权利要求1的方法,其中蚀刻所述导电层以基本去除所述导电层的被转化部分包括用BCl3进行蚀刻。
9.根据权利要求1的方法,其中氧化所述导电层的所述至少一部分包括用包括氯和氧的氧化混合物来氧化所述导电层的所述至少一部分。
10.根据权利要求1的方法,其中氧化所述导电层的所述至少一部分包括用包括氩和氧的氧化混合物来氧化所述导电层的所述至少一部分。
11.根据权利要求1的方法,其中转化所述导电层的所述至少一部分包括在高于200℃的温度转化所述导电层的所述至少一部分。
12.根据权利要求1的方法,其中转化所述导电层的所述至少一部分包括在等离子体中转化所述导电层的所述至少一部分。
13.根据权利要求1的方法,其中蚀刻所述导电层以基本去除所述导电层的被转化部分包括在低于150℃的温度蚀刻所述导电层。
14.根据权利要求1的方法,其中蚀刻所述导电层以基本去除所述导电层的被转化部分包括用动态弯液面蚀刻。
15.根据权利要求1的方法,其中蚀刻所述导电层以基本去除所述导电层的被转化部分包括在等离子体中蚀刻所述导电层。
16.根据权利要求1的方法,其中保留表面的平均表面粗糙度低于0.04倍的所述导电层的被转化部分的厚度。
17.根据权利要求16的方法,转化所述导电层的所述至少一部分和蚀刻所述导电层以基本去除所述导电层的被转化部分基本上同时进行。
18.蚀刻铜层的方法,包括:
在等离子体室中在高于200℃的温度用第一等离子体氧化所述铜层的至少一部分,以使被氧化部分由单一均匀材料组成,所述单一均匀材料没有不同取向的晶粒结构;及
蚀刻所述铜层以基本去除所述铜层的被氧化部分从而暴露保留的表面,所述保留的表面具有小于10nm的平均表面粗糙度,其中所述铜层在所述等离子体室中在低于100℃的温度用第二等离子体蚀刻。
19.蚀刻导电层的系统,包括:
等离子体室,所述等离子体室能够封闭衬底,所述衬底具有暴露的导电材料层,所述等离子体室能够支持温度高于200℃的第一等离子体和温度低于100℃的第二等离子体;
与等离子体室相连的转化物种源;
与等离子体室相连的蚀刻物种源;和
与等离子体室相连的控制器,所述控制器能够控制进入等离子体室的转化物种和蚀刻物种的流量,所述控制器包括方案,所述方案包括氧化或氮化所述导电层的至少一部分,以使被氧化或氮化的部分由单一均匀材料组成,所述单一均匀材料没有不同取向的晶粒结构。
20.根据权利要求19的系统,进一步包括动态弯液面蚀刻过程室。
CN2006800074117A 2005-03-09 2006-02-27 等离子体氧化及氧化材料的去除 Expired - Fee Related CN101164121B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/076,725 2005-03-09
US11/076,725 US7540935B2 (en) 2003-03-14 2005-03-09 Plasma oxidation and removal of oxidized material
PCT/US2006/007401 WO2006098888A2 (en) 2005-03-09 2006-02-27 Plasma oxidation and removal of oxidized material

Publications (2)

Publication Number Publication Date
CN101164121A CN101164121A (zh) 2008-04-16
CN101164121B true CN101164121B (zh) 2011-01-26

Family

ID=36992195

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800074117A Expired - Fee Related CN101164121B (zh) 2005-03-09 2006-02-27 等离子体氧化及氧化材料的去除

Country Status (6)

Country Link
US (1) US7540935B2 (zh)
JP (1) JP2008536296A (zh)
KR (2) KR101376830B1 (zh)
CN (1) CN101164121B (zh)
TW (1) TWI310587B (zh)
WO (1) WO2006098888A2 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100729933B1 (ko) * 2005-12-19 2007-06-18 동부일렉트로닉스 주식회사 구리 시드층의 증착 온도 측정 방법 및 이를 이용한 구리층형성 방법
EP2073939B1 (en) * 2006-10-16 2012-08-08 Materials and Technologies Corporation Wet processing apparatus using a fluid meniscus
SG186015A1 (en) * 2007-11-21 2012-12-28 Lam Res Corp Bevel plasma treatment to enhance wet edge clean
US8414790B2 (en) * 2008-11-13 2013-04-09 Lam Research Corporation Bevel plasma treatment to enhance wet edge clean
US20120088370A1 (en) * 2010-10-06 2012-04-12 Lam Research Corporation Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
CN105225976A (zh) * 2014-06-25 2016-01-06 中芯国际集成电路制造(上海)有限公司 焊盘的制作方法及半导体器件
CN107980170B (zh) * 2015-06-17 2022-02-18 英特尔公司 用于器件制造的通过对氧化物层的原子层去除的过渡金属干法蚀刻
CN112382608A (zh) * 2020-11-04 2021-02-19 上海华力集成电路制造有限公司 铜互连线的制造方法
US11557487B2 (en) * 2021-06-04 2023-01-17 Tokyo Electron Limited Etching metal during processing of a semiconductor structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
CN1373899A (zh) * 1999-06-30 2002-10-09 兰姆研究有限公司 改善蚀刻率均匀性的技术
CN1412859A (zh) * 2001-10-09 2003-04-23 株式会社半导体能源研究所 半导体膜,半导体器件,和制造方法
CN1423825A (zh) * 1999-11-15 2003-06-11 兰姆研究有限公司 具有动态气体分布控制的等离子体加工系统

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468284A (en) * 1983-07-06 1984-08-28 Psi Star, Inc. Process for etching an aluminum-copper alloy
US4919750A (en) * 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
JP2885616B2 (ja) * 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
US6090701A (en) * 1994-06-21 2000-07-18 Kabushiki Kaisha Toshiba Method for production of semiconductor device
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
JP3417751B2 (ja) * 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4307592B2 (ja) * 1998-07-07 2009-08-05 Okiセミコンダクタ株式会社 半導体素子における配線形成方法
JP3892621B2 (ja) * 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6355979B2 (en) * 1999-05-25 2002-03-12 Stmicroelectronics, Inc. Hard mask for copper plasma etch
US20020072228A1 (en) * 1999-12-15 2002-06-13 Texas A&M University System Semiconductor conductive pattern formation method
US6613667B1 (en) * 2001-05-02 2003-09-02 The Texas A&M University System Forming an interconnect of a semiconductor device
TW550648B (en) * 2001-07-02 2003-09-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US6518183B1 (en) * 2001-09-06 2003-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Hillock inhibiting method for forming a passivated copper containing conductor layer
US6806948B2 (en) * 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
US6794245B2 (en) * 2002-07-18 2004-09-21 Micron Technology, Inc. Methods of fabricating double-sided hemispherical silicon grain electrodes and capacitor modules
JP2004193488A (ja) * 2002-12-13 2004-07-08 Tosoh Corp バリア金属用研磨液及び研磨方法
KR100483290B1 (ko) 2002-12-14 2005-04-15 동부아남반도체 주식회사 반도체 소자의 제조 방법
KR100482180B1 (ko) * 2002-12-16 2005-04-14 동부아남반도체 주식회사 반도체 소자 제조방법
US6939796B2 (en) * 2003-03-14 2005-09-06 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US7129167B1 (en) * 2003-03-14 2006-10-31 Lam Research Corporation Methods and systems for a stress-free cleaning a surface of a substrate
US20050287698A1 (en) * 2004-06-28 2005-12-29 Zhiyong Li Use of chalcogen plasma to form chalcogenide switching materials for nanoscale electronic devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1373899A (zh) * 1999-06-30 2002-10-09 兰姆研究有限公司 改善蚀刻率均匀性的技术
CN1423825A (zh) * 1999-11-15 2003-06-11 兰姆研究有限公司 具有动态气体分布控制的等离子体加工系统
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
CN1412859A (zh) * 2001-10-09 2003-04-23 株式会社半导体能源研究所 半导体膜,半导体器件,和制造方法

Also Published As

Publication number Publication date
CN101164121A (zh) 2008-04-16
KR20130036066A (ko) 2013-04-09
JP2008536296A (ja) 2008-09-04
KR101376830B1 (ko) 2014-03-20
KR20070112234A (ko) 2007-11-22
US7540935B2 (en) 2009-06-02
TWI310587B (en) 2009-06-01
US20060128152A1 (en) 2006-06-15
TW200644113A (en) 2006-12-16
WO2006098888A2 (en) 2006-09-21
WO2006098888A3 (en) 2007-12-21

Similar Documents

Publication Publication Date Title
CN101164121B (zh) 等离子体氧化及氧化材料的去除
TWI637426B (zh) 單一平台多循環之間隔物沉積與蝕刻
US8975088B2 (en) MRAM etching processes
KR101385419B1 (ko) 무전해 구리 도금을 통해 패터닝된 구리선을 형성하기 위한시스템 및 방법
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
US20050079706A1 (en) Dual damascene structure and method
TW200805495A (en) Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US10008391B2 (en) Method of forming copper interconnects
CN100419963C (zh) 使用平面化方法和电解抛光相结合的方法形成半导体结构
CN1231969C (zh) 具有埋入型导电层的半导体器件及其制造方法
TWI559396B (zh) 用於金屬化圖案描繪之乾蝕刻方法
KR20060127115A (ko) 동적 액체 메니스커스와 공동 동작하는 무응력 에칭프로세스
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
US7091612B2 (en) Dual damascene structure and method
US20110039415A1 (en) Method of fabricating dual damascene structure
US20220301883A1 (en) Methods for selective dry etching gallium oxide
US7129167B1 (en) Methods and systems for a stress-free cleaning a surface of a substrate
KR101149346B1 (ko) 스트레스 없는 버프용 방법 및 시스템
KR101102967B1 (ko) 반도체 소자 제조 방법
KR100837551B1 (ko) 반도체 소자의 금속 배선 형성 방법 및 애싱 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110126

Termination date: 20190227