CN101180708A - 形成小型紧密间隔特征阵列的方法 - Google Patents

形成小型紧密间隔特征阵列的方法 Download PDF

Info

Publication number
CN101180708A
CN101180708A CNA2006800179778A CN200680017977A CN101180708A CN 101180708 A CN101180708 A CN 101180708A CN A2006800179778 A CNA2006800179778 A CN A2006800179778A CN 200680017977 A CN200680017977 A CN 200680017977A CN 101180708 A CN101180708 A CN 101180708A
Authority
CN
China
Prior art keywords
line
pattern
feature
layer
row
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800179778A
Other languages
English (en)
Other versions
CN100547731C (zh
Inventor
米尔柴佛·阿巴契夫
居尔泰基·桑德胡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101180708A publication Critical patent/CN101180708A/zh
Application granted granted Critical
Publication of CN100547731C publication Critical patent/CN100547731C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Abstract

本发明揭示形成供集成电路中使用的小型密集间隔的孔或柱阵列的方法。可结合间距减小技术及使用各种图案转移和蚀刻步骤来产生密集堆积的特征。可结合间距减小技术及使用常规光刻步骤来形成可合并成单个层的交叉伸长特征的叠加的、间距减小的图案。

Description

形成小型紧密间隔特征阵列的方法
相关申请案的交叉参考
本申请案涉及以下申请案:2005年5月23日申请的第11/134,982号美国专利申请案(代理人案号:MICRON.317A);2004年9月9日申请的第10/932,993号美国专利申请案(代理人案号:MICRON.293A);2004年9月2日申请的第10/934,778号美国专利申请案(代理人案号:MICRON.294A);2004年8月31日申请的第10/931,771号美国专利申请案(代理人案号:MICRON.295A);2004年9月2日申请的第10/934,317号美国专利申请案(代理人案号:MICRON.296A)。上文提及的参考中的每一者的全文以引用的方式并入本文中,并构成本说明书的一部分。
技术领域
所揭示的本发明大体上涉及集成电路制造、用于制造计算机存储器的技术以及遮掩技术。
背景技术
由于许多因素(包含现代电子设备中对提高的便携性、计算能力、存储容量以及能量效率的需求),集成电路的尺寸不断减小。为了有助于此尺寸减小,继续研究减小集成电路的组成特征的尺寸的方法。所述组成特征的实例包含电容器、电触点、互连线以及其它电气装置。减小特征尺寸的趋势(例如)在存储器电路或装置中是明显的,所述存储器电路或装置例如是动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、铁电(FE)存储器、电子可擦除可编程只读存储器(EEPROM)、快闪存储器等。
计算机存储器通常包括数百万个相同的电路元件(称为存储器单元),其以具有相关联逻辑电路的多个阵列的形式布置。每个存储器单元按照惯例存储一个信息位,但是多电平单元装置每单元可存储一个以上位。存储器单元在最一般的形式下通常由两个电气装置组成:存储电容器和存取场效应晶体管。每个存储器单元都是可存储一个数据位(二进制数字)的可寻址位置。可通过晶体管将位写入到单元,且可通过从参考电极侧感测存储电极上的电荷来读取位。可从较高密度组件受益的一种常见类型的计算机存储器是DRAM。通过减小组成电气装置的尺寸、减少连接所述电气装置的导电线以及减少在电气装置之间运载电荷的导电触点,可减小并入有这些特征的存储器装置的尺寸。可通过将更多的存储器单元装配到存储器装置中来提高存储容量和电路速度。
对不断减小特征尺寸的需求对用于形成所述特征的技术提出越来越高的要求。举例来说,通常使用光刻来在衬底上对特征进行图案化。间距的概念可用于描述这些特征的尺寸。间距是两个相邻特征中的相同的点之间的距离。这些特征通常由邻近特征之间的间隔来界定,所述间隔可由例如绝缘体的材料来填充。因此,可将间距视为特征的宽度与使所述特征与相邻特征分离的间隔的宽度的总和。
某些光致抗蚀剂材料仅对某些光波长作出反应。可使用的一种常见波长范围是紫外线(UV)范围。因为许多光致抗蚀剂材料选择性地对特定波长作出反应,所以光刻技术每一者都具有最小间距,在所述最小间距以下,特定的光刻技术不能可靠地形成特征。此最小间距通常由可与所述技术一起使用的光波长来确定。因此,光刻技术的最小间距可能限制特征尺寸减小。
间距倍增(或间距加倍)可扩展光刻技术的能力,从而允许产生更密集布置的特征。在图1A到图1F中说明且在颁发给Lowrey等人的第5,328,810号美国专利中描述此类方法,所述美国专利的整个揭示内容以引用的方式并入本文中,并构成本说明书的一部分。为了方便起见,此处也将简要概述所述方法。
参看图1A,首先使用光刻来在上伏于耗材的层20和衬底30上的光致抗蚀剂层中形成线10的图案。图1中所示的层都是以横截面的形式示意性地展示。如图1B中所示,接着通过蚀刻步骤(优选各向异性的)将图案转移到层20,从而形成位置标志物或心轴40。如果蚀刻是各向异性的,那么所述心轴具有近似垂直的侧面,如图所示。可剥离光致抗蚀剂线10,且可对心轴40进行各向同性蚀刻以增加相邻心轴40之间的距离,如图1C中所示。此各向同性蚀刻(或缩小步骤)可替代地在转移之前对抗蚀剂执行。随后将间隔物材料层50沉积在心轴40上,如图1D中所示。接着通过在定向(各向异性)间隔物蚀刻中从水平表面70和80优选蚀刻间隔物材料,来在心轴40的侧面上形成间隔物60(即从另一材料的侧壁延伸或原始地形成为从另一材料的侧壁延伸的材料)。图1E中展示此类间隔物。接着去除剩余的心轴40,仅在衬底30上方留下间隔物60。间隔物60一起充当用于图案化的掩模,如图1F中所示。因此,在给定间距原先包含界定一个特征和一个间隔的图案的情况下,同一宽度现在包含由间隔物60界定的两个特征和两个间隔。因此,通过此“间距倍增”技术,有效地减小了光刻技术可能实现的最小特征尺寸。
虽然在上文的实例中,间距实际上减半,但间距的此减小按照惯例被称为间距“加倍”,或更通常地被称为间距“倍增”。即按照惯例,间距“倍增”某一因数实际上涉及使所述间距减小所述因数。事实上,“间距倍增”通过减小间距增加了特征的密度。因此,间距具有至少两个意义:重复图案中相同特征之间的线性间隔;以及每段线性距离的特征的密度或数目。本文保留常规术语。
掩模方案或电路设计的临界尺寸(critical dimension,CD)是所述方案的最小特征尺寸,或所述设计或方案中所存在的最小特征的最小宽度的测量值。由于例如集成电路的不同零件中的几何复杂性和对临界尺寸的不同要求的因素,通常不是集成电路的所有特征都将经历间距倍增。此外,间距倍增相对于常规平版印刷需要许多额外步骤;所述额外步骤可能涉及相当大的额外费用。间距倍增对所得特征提供的控制通常比通过无间距倍增的直接图案化所提供的控制少,因为间隔物图案仅仅遵循直接图案化的特征的轮廓。因此,通常认为间距倍增仅对规则间隔的线(例如用于存储器阵列的导电线)有用。另一方面,典型的微遮掩技术(例如各向同性缩小步骤)可导致特征尺寸减小,但不会相应地增加特征密度。将非常精密的图案转移到下伏层也存在难题,因为现存的技术不会在转移过程中充分地保持分辨度和保真度。需要可允许集成电路上的单元更小且更高效操作的方法;此类方法将有利地增加特征密度并减小芯片尺寸。
因此,需要减小集成电路的尺寸并增加计算机芯片上的电气装置阵列的可操作密度。因此,需要形成较小特征的经改进的方法;用于增加特征密度的经改进的方法;将产生更高效阵列的方法;以及将在不损害特征分辨度的情况下提供更紧凑阵列的技术。
发明内容
在一些实施例中,本发明包括在集成电路中形成隔离的特征的方法。所述方法可包括提供由多层遮掩材料覆盖的衬底,以及在第一层遮掩材料中产生第一系列的可选择性地界定的线。所述方法可进一步包括使用间隔物材料来减小所述第一系列的可选择性地界定的线的间距,以产生第一遮掩特征布置,其间距小于第一系列的可选择性地界定的线的间距。第一遮掩特征布置可包括由间距减小的间隔隔开的间距减小的遮掩线,且可对应于第一图案。所述方法可进一步包括在第二层遮掩材料中产生第二系列的可选择性地界定的线,其中所述第二系列的可选择性地界定的线不平行于第一系列的可选择性地界定的线。所述方法可进一步包括使用间隔物材料减小第二系列的可选择性地界定的线的间距,以产生第二遮掩特征布置,其间距小于第二系列的可选择性地界定的线的间距。第二遮掩特征布置可包括由间距减小的间隔隔开的间距减小的遮掩线,且可对应于第二图案。所述方法可进一步包括以通过叠加第一和第二图案而得出的第三图案对衬底进行蚀刻,以产生隔离的特征。
在一些实施例中,本发明包括一种在阵列中形成特征的方法。所述方法可包括减小第一光可界定线(photodefinable line)列的间距,以形成列图案。所述方法还可包括减小一行第二光可界定线的间距,以形成与列图案交叉的行图案。所述行图案可具有行线和行间隔。所述行线可遮掩掉下伏列图案的未暴露部分,且行间隔可留下下伏列图案的暴露部分。交叉的列和行图案可包括具有第三图案的组合掩模。
在一些实施例中,本发明包括用于集成电路的掩模图案。所述掩模图案可包括第一系列的伸长遮掩线和与第一系列的伸长遮掩线相交的第二系列的伸长遮掩线。在掩模图案中,每个系列的线的间距可小于通过光刻能实现的间距。
在一些实施例中,本发明包括隔离特征布置,其形成为集成电路制造工艺的一部分。所述布置可具有由间隔物材料形成的第一行伸长特征,和由间隔物材料形成的第二行伸长特征。第二行中的伸长特征可与第一行中的伸长特征交叉,使得一个行中的每个伸长特征与另一行中的多个伸长特征交叉。
在一些实施例中,本发明包括集成电路中的隔离特征布置。所述布置可具有规则间隔的特征,所述特征具有小于60纳米的第一宽度和不大于第一宽度的10倍的第一长度。此外,特征之间的间隔可具有小于60纳米的第二宽度。
在一些实施例中,本发明包括一种包含集成电路的系统。所述集成电路可包括由间隔物材料形成的一行伸长特征,其具有小于或近似120nm的宽度。所述集成电路可进一步包括由间隔物材料形成的一列伸长特征,其具有小于或近似120nm的宽度。所述列中的伸长特征可与所述行中的伸长特征交叉,使得所述列中的每个伸长特征与所述行中的多个伸长特征交叉。
在一些实施例中,本发明包括一种形成用于集成电路的特征阵列的方法。所述方法可包含在第一掩模层中形成第一间距倍增的特征阵列,所述特征沿伸长轴伸长。第一特征阵列可具有第一图案。所述方法可进一步包含在第二掩模层中形成第二间距倍增的特征阵列,所述第二间距倍增的特征阵列具有沿伸长轴伸长的特征,所述伸长轴不平行于第一特征阵列的伸长特征的轴。第二特征阵列可具有第二图案。所述方法可进一步包含使第一和第二图案合并成组合的第三图案,所述第三图案对应于集成电路的单个垂直级中的特征布置。
在一些实施例中,本发明包括一种用于以电格式处理信息的系统。所述系统可包含至少一个电路。所述系统可进一步包含重复图案形式的密集间隔的特征,其形成于所述电路中的一层材料中。每个特征可具有小于60纳米的第一宽度和小于所述第一宽度的10倍的第一长度。此外,每个特征可与邻近特征间隔开小于120纳米。
附图说明
根据具体实施方式且根据附图将更好地理解本发明,具体实施方式和附图意在说明而非限制本发明,且在附图中:
图1A到图1F是根据如上文所述的现有技术间距倍增方法形成的掩模线的示意性横截面侧视图。
图2是用于形成集成电路的遮掩和衬底层的示意性横截面侧视图。
图3展示对第一抗蚀剂层进行光刻图案化之后的图2的结构。
图4展示蚀刻已经使图3的图案中的特征的尺寸减小之后的图3的结构。
图5展示图4的图案已经延伸到下伏层中之后的用于形成集成电路的遮掩和衬底层的示意性横截面侧视图。
图6展示已经剥离了上伏层之后的图5的结构。
图7展示覆盖沉积(blanket deposition)间隔物材料之后的图6的结构。
图8展示间隔物蚀刻和随后的蚀刻之后留下已经延伸到下伏层中的自立间隔物的图案的图7的结构。
图9展示覆盖沉积填充物材料之后的图8的结构。
图10A到图10D展示CMP工艺或干式蚀刻已经去除了间隔物和过量的填充物材料之后的图9的结构。图10A展示表面的示意性平面图。图10B展示沿图10A的线10B-10B截取的示意性横截面侧视图。图10C展示沿图10B的线10C-10C截取的示意性横截面侧视图。图10D展示沿图10B的线10D-10D截取的示意性横截面侧视图。
图11A到图11D展示沉积多个新层之后的图10的结构。图11A展示表面的示意性平面图。图11B展示沿图11A的线11B-11B截取的示意性横截面侧视图。图11C展示沿图11B的线11C-11C截取的示意性横截面侧视图。图11D展示沿图11B的线11D-11D截取的示意性横截面侧视图。
图12A到图12D展示对第二抗蚀剂层进行光刻图案化之后的图11的结构。图12A展示表面的示意性平面图。图12B展示沿图12A的线12B-12B截取的示意性横截面侧视图。图12C展示沿图12B的线12C-12C截取的示意性横截面侧视图。图12D展示沿图12B的线12D-12D截取的示意性横截面侧视图。
图13A到图13D展示蚀刻已经使图12的图案中的特征的尺寸减小之后的图1 2的结构。图13A展示表面的示意性平面图。图13B展示沿图13A的线13B-13B截取的示意性横截面侧视图。图13C展示沿图13B的线13C-13C截取的示意性横截面侧视图。图13D展示沿图13B的线13D-13D截取的示意性横截面侧视图。
图14A到图14D展示图13A到图13D的特征的图案已经延伸到下伏层中以部分地暴露交叉的下伏图案之后的图13的结构。图14A展示表面的示意性平面图。图14B展示沿图14A的线14B-14B截取的示意性横截面侧视图。图14C展示沿图14B的线14C-14C截取的示意性横截面侧视图。图14D展示沿图14B的线14D-14D截取的示意性横截面侧视图。
图15A到图15D展示已经剥离了上伏层之后的图14的结构。图15A展示表面的示意性平面图。图15B展示沿图15A的线15B-15B截取的示意性横截面侧视图。图15C展示沿图15B的线15C-15C截取的示意性横截面侧视图。图15D展示沿图15B的线15D-15D截取的示意性横截面侧视图。
图16A到图16D展示覆盖沉积间隔物材料之后的图15的结构。图16A展示表面的示意性平面图。图16B展示沿图16A的线16B-16B截取的示意性横截面侧视图。图16C展示沿图16B的线16C-16C截取的示意性横截面侧视图。图16D展示沿图16B的线16D-16D截取的示意性横截面侧视图。
图17A到图17D展示间隔物蚀刻和随后的蚀刻(其已经去除了心轴)之后留下与下伏图案正交的自立间隔物的图案的图16的结构。图17A展示表面的示意性平面图。图17B展示沿图17A的线17B-17B截取的示意性横截面侧视图。图17C展示沿图17B的线17C-17C截取的示意性横截面侧视图。图17D展示沿图17B的线17D-17D截取的示意性横截面侧视图。
图18到图20说明可结合图17的结构使用以产生具有以有利方式密集间隔的小孔的掩模栅格的工艺流程。
图18A到图18E展示蚀刻(例如二氧化硅蚀刻)已经去除了若干暴露层的部分,而留下下伏图案的暴露部分的条带材料中的完整一者之后的图17的结构。图18A展示表面的示意性平面图。图18B展示沿图18A的线18B-18B截取的示意性横截面侧视图。图18C展示沿图18A和图18B的线18C-18C截取的示意性横截面侧视图。图18D展示沿图18A和图18B的线18D-18D截取的示意性横截面侧视图。图18E展示沿图18A的线18E-18E截取的示意性横截面侧视图。
图19A到图19D展示使两个上伏层的图案延伸到下伏掩模或临时层中,从而在下伏层中形成孔之后的图18的结构。在所说明的实施例中,所述下伏临时层是无定形碳。图19A展示表面的示意性平面图。图19B展示沿图19A的线19B-19B截取的示意性横截面侧视图。图20C展示沿图19B的线19C-19C截取的示意性横截面侧视图。图19D展示沿图19B的线19D-19D截取的示意性横截面侧视图。
图20A到图20D展示已经剥离了上伏层以在下面的临时或掩模(例如无定形碳)层中留下孔图案之后的图19的结构。图20A展示表面的示意性平面图。图20B展示沿图20A的线20B-20B截取的示意性横截面侧视图。图20C展示沿图20A的线20C-20C截取的示意性横截面侧视图。图20D展示沿图20A的线20D-20D截取的示意性横截面侧视图。
图21A展示第三临时层中的孔图案已经延伸到衬底中,已经去除了第三临时层且已经用导电材料填充所述孔之后的图20C的结构。
图21B展示已经蚀刻掉溢出导电材料之后的图21A的结构。
图22到图25说明可结合图17的结构使用以产生以有利方式密集堆积的小掩模柱的工艺流程。
图22A到图22E展示蚀刻(例如非晶硅蚀刻)去除下伏图案的暴露部分的条带材料中的一者之后的图17的结构。图22A展示表面的示意性平面图。图22B展示沿图22A的线22B-22B截取的示意性横截面侧视图。图22C展示沿图22A和图22B的线22C-22C截取的示意性横截面侧视图。图22D展示沿图22A和图22B的线22D-22D截取的示意性横截面侧视图。图22E展示沿图22A的线22E-22E截取的示意性横截面侧视图。
图23A到图23B展示选择性蚀刻(例如二氧化硅蚀刻)已经蚀刻下图22中的暴露材料的部分以暴露下伏掩模或临时层的部分之后的图22的结构。在所说明的实施例中,下伏临时层是无定形碳。所述选择性蚀刻尚未去除保留在临时层上的合适位置中的条带材料(例如硅)中的一者的岛状物。图23A展示表面的示意性平面图。图23B展示沿图23A的线23B-23B截取的示意性横截面侧视图。
图24A到图24B展示蚀刻下伏临时层的暴露部分之后的图23的结构。岛状图案因此已经延伸到下伏材料中,留下由硅盖保护的直立柱或支柱。
图25A到图25B展示硅蚀刻已经将硅盖从所述柱或支柱上去除之后的图24的结构。所述柱可用作下伏材料的掩模。
图26到图27说明可结合图17的结构使用以产生以有利方式密集且/或均匀间隔的小掩模柱、支柱或岛状物的替代工艺流程。
图26A到图26D展示蚀刻两个有条带材料的暴露部分,从而暴露下伏掩模或临时层的交叉线的非选择性蚀刻(例如溅式蚀刻或反应性离子蚀刻)之后的图17的结构。在所说明的实施例中,下伏临时层是无定形碳。图26A展示表面的示意性平面图。图26B展示沿图26A的线26B-26B截取的示意性横截面侧视图。图26C展示沿图26B的线26C-26C截取的示意性横截面侧视图。图26D展示沿图26B的线26D-26D截取的示意性横截面侧视图。
图27A到图27D展示间隔物图案已经延伸到下伏层(即无定形碳层)中之后的图26的结构。图27A展示表面的示意性平面图。图27B展示沿图27A的线27B-27B截取的示意性横截面侧视图。图27C展示沿图27B的线27C-27C截取的示意性横截面侧视图。图27D展示沿图27B的线27D-27D截取的示意性横截面侧视图。
图28A到图28B展示去除间隔物、条带材料中的一者,且岛状图案延伸到下伏层的剩余部分以留下由非晶硅盖保护的直立柱或支柱之后的图27的结构。
图29A到图29B是说明根据所描述的实施例形成的密集小孔阵列的横截面视图的扫描电子显微照片(scanning electron micrograph,SEM)。
图30A到图30B是说明根据所描述的实施例形成的密集小孔阵列的透视图的扫描电子显微照片(SEM)。
图31A到图31C是说明根据所描述的实施例形成的密集小孔阵列的SEM。图30B以一个维度说明图30A的横截面,且图30C以近似垂直的维度说明图30A的横截面。
图32是根据所揭示的实施例的小密集柱或支柱阵列的SEM。
图33A到图33B是根据所揭示的实施例的小密集柱或支柱阵列的SEM。
具体实施方式
参看图2,提供部分形成的集成电路100。在各个遮掩层120-170下方提供衬底110。可蚀刻所述层120-170以形成用于使下伏层或衬底110图案化的掩模。这些掩模可用于形成各种特征,如下文所论述。所述特征可包括以下任一者的部分:一个或多个晶体管、二极管、电容器、导电线、栅极、源极、漏极或到达以上任一者的触点。这些组件可包括DRAM或快闪存储器阵列、或非逻辑阵列、与非逻辑阵列等的部分。在一些实施例中,所述特征可由包括半导电材料的衬底材料形成。举例来说,半导电材料可以是硅、硅-锗化合物或III-V材料。
如本说明书中所使用,术语“衬底”可不仅指代衬底层110,而且指代任一位于另一层之下的层。术语“衬底”还可描述其中由于受上伏遮掩层控制的半导体工艺(例如蚀刻、掺杂、沉积等)的缘故而形成有特征或结构的一个层或多个层。
如本说明书中所使用,术语“图案”可指代从上方观看时会在表面上可见的形状阵列或系列。图案可指代对应于一个或多个层中所形成的特征的横截面或阴影的形状的整体。图案通常不是特征本身,而是对应于特征的尺寸和布置的设计。图案可由从多个重叠或并排层得出的图案的组合来界定。图案可起源于一个层(例如光可界定层)中,且接着转移到另一层(例如临时层或硬掩模层)。据称,即使特征大小和间隔改变(例如通过上文所述的特征缩小步骤),图案也转移到下面的层。相反,新的图案可通过间距倍增来界定,借此第二图案中的两个或两个以上特征代替第一图案的一个特征。
一个层中的图案可从另一之前或上伏层中的一个或一个以上图案得出。据称,即使所得层中的特征并不完全类似产生原始图案的那些特征,而是下伏图案通常在尺寸上有微小偏离的情况下遵循上伏图案的轮廓,也可从一个图案得出另一图案。术语“图案化”还可用作动词并表示产生或形成图案。
特定层中所形成的特征布置可产生图案。阵列也可产生图案。阵列是以重复配置形成的电气组件或特征的集合,其可跨越集成电路的多个层。如上文所述,多个单元可形成用于(例如)DRAM或与非快闪存储器电路的存储器阵列,或逻辑阵列。
优选基于对本文所论述的各个图案形成和图案转移步骤的化学和工艺条件的考虑,选择上伏于衬底110上的层120-170的材料。因为最上面的可选择性地界定的层120(其优选可由平版印刷工艺界定)与衬底110之间的层将优选用于将从可选择性地界定的层120得出的图案转移到衬底110,所以优选将可选择性地界定的层120与衬底110之间的层选择为使得它们可相对于其它暴露材料而被选择性地蚀刻。当针对一种材料的蚀刻速率比针对周围材料的蚀刻速率大至少约两倍、优选大约十倍且最优选大至少约四十倍时,可认为所述材料是被选择性地或优选蚀刻。
在图2的所说明的实施例中,可选择性地界定的层120上伏于第一硬掩模或蚀刻停止层130上,第一硬掩模或蚀刻停止层130上伏于第一临时层140上,第一临时层140上伏于第二临时层150上,第二临时层150上伏于第二硬掩模或蚀刻停止层160上,第二硬掩模或蚀刻停止层160上伏于待穿过掩模而处理(例如蚀刻)的第三临时层170上,第三临时层170上伏于衬底层110上。在所说明的实施例中,第三临时层170将充当最终掩模,蚀刻(或其它处理)将穿过所述最终掩模而执行。在一些实施例中,对第三临时层来说,无定形碳是优选材料,因为可在不会显著损害碳层的情况下,选择性地蚀刻许多其它材料(硅、氧化硅、氮化硅等)。对于所说明的实施例来说,衬底110可包括层间电介质(ILD)层,穿过其而形成触点。
在转移图案的常见方法中,使掩模和下伏衬底两者暴露于蚀刻剂,蚀刻剂优选将衬底材料蚀刻掉。然而,蚀刻剂也可能损耗掉掩模材料,虽然是以较慢的速率。因此,在转移图案的过程中,掩模可能在图案转移完成之前被蚀刻剂损耗掉。在衬底110包括多个不同的待蚀刻材料的情况下,这些困难加剧。在此类情况下,可使用额外的掩模层(未图示)来防止掩模图案在图案转移完成之前被损耗掉。
因为可基于化学和工艺条件的要求来选择各个层,所以可在一些实施例中省略所述层中的一者或一者以上。在所说明的实施例中,硬掩模层130和160有利地起到保护作用,在蚀刻上伏层期间保护下伏层,使其不会不必要地降解。类似地,对于特别简单的衬底110来说,可省略各个其它层(例如第二硬掩模层160本身),且上伏掩模层对所需的图案转移来说可能是足够的。对于将图案转移到难以蚀刻的衬底(例如包括多种材料或多个材料层的衬底),或对于应形成小且高纵横比的特征来说,更高数目的掩模层是有利的。
参看图2,可选择性地界定的层120优选由光致抗蚀剂形成,所述光致抗蚀剂包含此项技术中已知的任何光致抗蚀剂。举例来说,所述光致抗蚀剂可以是任何适合13.7纳米(nm)、157nm、193nm、248nm或365nm波长系统、193nm波长浸没系统或电子束平版印刷系统的光致抗蚀剂。优选光致抗蚀剂材料的实例包含对氟化氩(ArF)敏感的光致抗蚀剂(即适合与ArF光源一起使用的光致抗蚀剂),和对氟化氪(KrF)敏感的光致抗蚀剂(即适合与KrF光源一起使用的光致抗蚀剂)。ArF光致抗蚀剂优选与利用相对较短波长的光(例如193nm)的光刻系统一起使用。KrF光致抗蚀剂优选与较长波长光刻系统(例如248nm系统)一起使用。在其它实施例中,层120和任何随后的抗蚀剂层可由可通过纳米压印平版印刷来图案化(例如通过使用模具或机械力来使抗蚀剂图案化)的抗蚀剂形成。
光致抗蚀剂通常通过暴露于穿过光罩的辐射并接着显影而图案化。在负性光致抗蚀剂的情况下,辐射(例如光)聚焦在光致抗蚀剂的要保留的部分上,例如聚焦在要形成线(例如线124(见图3))的区域上。通常,所述辐射激活感光性化合物,例如光感生酸发生剂(photo-induced acid generator,PAG),其(例如)通过致使光致抗蚀剂聚合来降低光致抗蚀剂的溶解度。可使用任何可界定材料(包含正性或负性光致抗蚀剂)来应用优选实施例。一些实施例的测试中所使用的优选光罩是T37Z 46/47光罩。
用于第一硬掩模层130的材料优选包括无机材料,且示范性材料包含二氧化硅(SiO2)、硅或介电抗反射涂层(dielectric anti-reflective coating,DARC),例如富硅氮氧化硅(silicon-rich silicon oxynitride)。在所说明的实施例中,第一硬掩模层130是介电抗反射涂层(DARC)。因此,硬掩模层130可充当中间硬掩模,并用于减小平版印刷期间的反射。对形成具有接近光刻技术的分辨度限制的间距的图案来说,对第一硬掩模层130使用DARC材料可能尤其有利。DARC可通过使光反射减到最少,因此增加光刻可界定图案边缘的精确度,来增强分辨度。视情况而定,除第一硬掩模层130之外或代替第一硬掩模层130,可类似地使用有机底部抗反射涂层(BARC)(未图示)来控制光反射。
第一临时层140优选由无定形碳形成,无定形碳相对于优选硬掩模材料提供非常高的蚀刻选择性。更优选的情况是,无定形碳是这样一种形式的透明碳:其对光高度透明,且其通过对用于光对准的光的波长透明来提供对光对准的进一步改进。用于形成高度透明碳的沉积技术可见A.Helmbold,D.Meissner的Thin Solid Films,283(1996)196-203,其整个揭示内容以引用的方式并入本文中,并构成本说明书的一部分。
第二临时层150优选由非晶硅形成。使用非晶硅的益处将在下文描述的各个蚀刻和图案转移步骤的上下文中变得显而易见。可选择性地蚀刻非晶硅,而其它邻近材料(例如氧化物层)保持完整。
第二硬掩模或蚀刻停止层160优选包括二氧化硅(SiO2)、硅或介电抗反射涂层(DARC),例如富硅氮氧化硅,或氧化铝(Al2O3)。在所说明的实施例中,第一硬掩模层160是DARC。
第三临时层170优选由无定形碳形成,无定形碳相对于许多材料具有优良的蚀刻选择性。上文相对于第一临时层140进一步论述了无定形碳的益处。
衬底可以是用于形成集成电路的硅晶片。可使用各种衬底材料。
除为各个层选择适当的材料之外,优选根据与本文所述的蚀刻化学物质和工艺条件的适合性来选择层120-170的厚度。举例来说,当通过选择性地蚀刻下伏层来将图案从上伏层转移到下伏层时,将来自所述两个层的材料去除到某种程度。因此,上层优选足够厚,使得其在图案转移的过程中不会被损耗掉。硬掩模层有利地较薄,以使得其转移或去除可迅速发生,使周围材料受到较少损耗。
在所说明的实施例中,可选择性地界定的层120(例如光致抗蚀剂)是厚度优选在约100-250nm之间且更优选在约130-200nm之间的光可界定层。第一硬掩模层130(例如SiO2或DARC)的厚度优选在约10-30nm之间,且更优选在约15-25nm之间。第一临时层140(例如无定形碳)的厚度优选在约100-200nm之间,且更优选在约120-150nm之间。第二临时层150(例如非晶硅)的厚度优选在约30-50nm之间,且更优选在约35-45nm之间。第二硬掩模层160(例如SiO2或DARC)的厚度优选在约10-30nm之间,且更优选为约15nm。第三临时层170(例如无定形碳)的厚度优选在约100-300nm之间,且更优选在约150-250nm之间。
可通过所属领域的技术人员已知的各种方法来形成本文所论述的各个层。举例来说,可使用各种气相沉积工艺(例如化学气相沉积)来形成抗蚀剂下的各个掩模层。优选地,使用低温化学气相沉积工艺将硬掩模层或任何其它材料(例如间隔物材料)沉积在碳上。此低温沉积工艺有利地防止下伏无定形碳层的化学或物理破坏。可使用旋转涂布(Spin-on-coating)工艺来形成光可界定层。另外,可使用碳氢化合物或此类化合物的混合物作为碳前体,通过化学气相沉积来形成无定形碳层。示范性前体包含丙烯、丙炔、丙烷、丁烷、丁烯、丁二烯和乙炔。2003年6月3日颁发给Fairbairn等人的第6,573,030B1号美国专利中描述了一种用于形成无定形碳层的合适方法,所述美国专利的整个揭示内容以引用的方式并入本文中,并构成本说明书的一部分。另外,可对无定形碳进行掺杂。颁给Yin等人的第10/652,174号美国专利申请案中描述了一种用于形成掺杂无定形碳的合适方法,所述美国专利申请案的整个揭示内容以引用的方式并入本文中,并构成本说明书的一部分。
第一阶段
在根据优选实施例且参看图2到图10的方法的第一阶段中,通过间距倍增形成间隔物图案,且使用所述间隔物图案来产生用于随后的方法步骤的下伏有条带结构(见图10)。此阶段的蚀刻序列的一个实例如下:1)沉积多个层;2)对第一层进行光刻图案化;3)缩小特征;4)使图案延伸到下伏层中;5)去除上伏层的剩余部分;6)覆盖沉积间隔物材料;7)间隔物蚀刻;8)去除间隔物心轴;9)使间隔物图案延伸到下伏材料中;10)覆盖沉积填充物材料;11)去除间隔物;以及12)平面化。
参看图3,在可界定层120中形成包括由可界定材料特征124定界的间隙或间隔122的图案。可通过(例如)光刻来形成间隔122,其中可选择性地界定的层120暴露于穿过光罩的辐射并接着显影。在显影之后,剩余的可界定材料(所说明的实施例中的光致抗蚀剂)形成掩模特征,例如所说明的线124(以横截面展示)。
线124的间距等于线124的宽度与相邻间隔122的宽度的总和。为了使通过使用线124和间隔122的此图案形成的特征的临界尺寸减到最小,所述间距优选处于或接近用于使可界定层120图案化的光刻技术的限制。举例来说,对于利用248nm光的光刻来说,线124的间距可以是约200nm。因此,所述间距可以处于光刻技术的最小间距,且下文所论述的间隔物图案可有利地具有小于光刻技术的最小间距的间距。
如由图3所说明,预备步骤可包括产生一系列光致抗蚀剂线124。因此,可使用光刻在掩模材料中形成多个线。常规光刻可形成间距不小于可由光子界定的间距的线。然而,随后的间距倍增可形成间距小于可由常规光刻界定的间距的线。
图4展示已经通过各向同性蚀刻缩小了线124以产生经修改的线124a之后的图3的结构。间隔122可视情况加宽或变窄成所需尺寸。举例来说,如图6中所说明,已经通过蚀刻光致抗蚀剂线124使间隔122加宽,以形成经修改的间隔122a和经修改的线124a。优选使用各向同性蚀刻(例如氧化硫等离子体,例如包括SO2、O2、N2和Ar的等离子体,或任何其它合适的等离子体)来减小光致抗蚀剂线124的尺寸。可使用的两种其它等离子体(例如)是HBr/O2等离子体或Cl2/O2等离子体。各向同性蚀刻从各个方向使暴露的表面降解。因此,图4中已经将线124a的拐角描绘为微圆。优选将蚀刻的程度选择为使得线124a的宽度大体上等于稍后形成的间隔物182之间的所需间隔,如将从图7到图8的论述中了解的。有利地,此蚀刻允许线124a比原本使用用于使光可界定层120图案化的光刻技术可能会实现的线窄。即,如果线124处于或接近光刻技术的分辨度限制,那么此蚀刻可更进一步减小线124的尺寸,使它们低于所述分辨度限制。另外,所述蚀刻可使线124a的边缘平滑,从而改进那些线的均匀性。
在一些实施例中,可通过使线124扩大到所需尺寸来使线124a之间的间隔122a变窄。举例来说,可将额外材料(未图示)沉积在线124上,或可使线124起化学反应,以形成具有更大体积的材料(未图示)来增加它们的尺寸。
在所说明的实施例中,经修改的线124a界定位置标志物或心轴的尺寸,在将图案转移到下伏层(图5和图6)和覆盖沉积间隔物材料180(图7)之后,将沿所述位置标志物或心轴形成间隔物182的图案(图8)。在替代实施例中,如果间隔物材料的沉积和蚀刻适合可界定层120,那么可省略临时层140,且可将间隔物材料直接沉积在光界定的线124或较细的线124a上。
在其它替代实施例中,可在不首先对线124进行修整或如上文所述使它们的宽度减小的情况下,将线124的图案转移到下伏层。在此类实施例中,可在临时层140中形成对应于线124的图案的图案,且可用缩小步骤来减小所述图案的特征的宽度。
如图5中所示,在修改了线宽度(图4)之后,优选将光可界定层120中的图案转移到第一临时层140,以允许稍后沉积间隔物材料层180(图7)。临时层140优选由下文论述的可承受用于间隔物材料沉积和蚀刻的工艺条件的材料形成。具体地说,形成临时层140的材料与光致抗蚀剂相比,优选具有较高的耐热性,且优选被选择为使得其可相对于间隔物182(图8)和下伏层150的材料而选择性地去除。如上文所述,层140优选由无定形碳形成。
如图5中所示,图4中的线124a和间隔122a的图案可延伸到或转移到下伏层。此图案延伸可通过选择性地蚀刻形成层130和140的材料来实现,而线124a形成保护性掩模,其防止蚀刻剂去除位于线124a下面的材料。
为了将图案转移到硬掩模层130中,可使用各向异性蚀刻,例如使用碳氟化合物等离子体的蚀刻。如果硬掩模层130较薄,那么湿式(各向同性)蚀刻也可以是合适的。优选的碳氟化合物等离子体蚀刻化学物质包含用于蚀刻优选DARC材料的CF4、CFH3、CF2H2和CF3H。
为了将图案转移到第一临时层140中,优选使用含SO2的等离子体,例如含有SO2、O2和Ar的等离子体。有利地,所述含SO2的等离子体可以用这样的速率来蚀刻优选临时层140的碳:所述速率比蚀刻硬掩模层130的速率大20倍,且更优选地大40倍。2004年8月31日申请的颁给Abatchev等人的题为Critical Dimension Control的第10/931,772号美国专利申请案(代理人案号MICRON.286A;Micron参考号2003-1348)中描述了一种合适的含SO2的等离子体,所述美国专利申请案的整个揭示内容以应用的方式并入本文中,并构成本说明书的一部分。尽管图5展示在图案已经延伸到第一临时层140中之后,线124a是完整的,但含SO2的等离子体可同时蚀刻临时层140并且也去除可界定层120的剩余部分。
如图6中所示,一旦原先形成于层120中的线图案已经向下延伸到层140中,就可使用选择性蚀刻将层120的剩余部分剥离掉。或者,如上文所述,可在无定形碳蚀刻步骤(例如使图案向下延伸到层140中的步骤)期间,将120的剩余部分蚀刻掉。因此,原先形成于可界定层120中的线图案已经转移到硬掩模和临时层130和140。转移的图案与原先形成于层120中的线图案近似相同;转移的图案具有线144a和间隔142a,其分别大体上对应于线124a和间隔122a。在所说明的实施例中,硬掩模层130的部分保留在原位,作为线144a上的保护盖。层130的这些部分可充当随后步骤中的蚀刻停止物。
在所说明的实施例中,图案形成于上伏层中,且稍后转移到下伏层。在图5中,所说明的形成于层130和140中的特征的壁是垂直的,其中这些层已经被蚀刻。为了在此步骤中且在本文所述的其它步骤中实现垂直侧壁,可使用定向或各向异性蚀刻。
蚀刻工艺的变化可能改变上伏层中的图案对应于下伏层中所产生的图案的精确度。尽管通常将图案从层转移到层示意性地说明为一个精确的过程,但对于垂直壁,此类精确度在实践中可能难以实现。因此,希望图案转移包含下伏与上伏图案之间的一般对应。类似地,图案转移意在包含原先界定所述图案的特征的修改(例如通过放大或缩小那些特征),其中此类修改不改变间距。
如图7中所示,间隔物材料层180优选经覆盖沉积以使得其与暴露表面相符,所述暴露表面包含第二临时层150和线144a。如图所示,当沉积间隔物材料层180时,可将硬掩模层130的部分留在线144a上面的合适位置中,以随后充当CMP蚀刻停止物。或者,可在间隔物沉积之前,用选择性蚀刻去除硬掩模部分。间隔物材料可以是任何可充当用于将图案转移到下伏层的掩模或可以其它方式允许通过形成的掩模对下伏结构进行处理的材料。间隔物材料优选:1)以良好的阶梯覆盖率(step coverage)来沉积;2)可在适合临时层140和下伏层的温度下沉积;且3)可相对于临时层140和直接下伏于临时层140下的任一层而选择性地蚀刻。优选材料包含氧化硅和氮化硅。优栅极过化学气相沉积或原子层沉积来沉积间隔物材料。优选将层180沉积到约20-60nm且更优选约20-50nm之间的厚度。优选地,阶梯覆盖率约为80%或更大,且更优选地约为90%或更大。
图8展示间隔物蚀刻和随后的蚀刻之后,留下已经延伸到下伏层中的自立间隔物的图案的图7的结构。间隔物蚀刻可包括各向异性蚀刻以将间隔物材料从水平表面去除。可使用碳氟化合物等离子体来执行间隔物蚀刻。还可使用针对硅间隔物材料的HBr/Cl等离子体来执行间隔物蚀刻。(然而,注意,优选实施例使用氧化硅间隔物)。在执行间隔物蚀刻之后,其可留下相对于线具有有效减小的间距的伸长间隔物的图案。
在间隔物蚀刻之后,接下来去除硬掩模层130(如果仍存在的话)和临时层140的剩余部分,以留下自立间隔物182。优选使用含硫等离子体蚀刻(例如使用SO2的蚀刻)来选择性地去除第一临时层140的剩余部分(以线144a的形式)。以此方式,去除一个图案的特征以留下由间隔物形成的另一图案。
因此,在一些实施例中,已经使用间隔物材料执行间距减小以产生遮掩特征。以此方式形成的遮掩特征的间距可以小于光致抗蚀剂线的间距,且所述遮掩特征可包括由间距减小的间隔隔开的间距减小的遮掩线;间距倍增已经实现。在所说明的实施例中,由间隔物182形成的图案的间距约为由光致抗蚀剂线124a和间隔122a(图3到图5)形成的图案的间距的一半,其中所述间距原先由光刻确定。优选地,可形成具有约100nm间距的间隔物图案。
进一步参看图8,由间隔物182形成的图案可延伸到下伏第二临时层150中。可用选择性蚀刻化学物质来实现所述延伸。举例来说,如果间隔物182由二氧化硅形成,且下伏层150由非晶硅形成,那么蚀刻可去除后者,同时使前者基本保持完整。优选蚀刻包含物理成分且优选还可包含化学成分,且可以是(例如)反应性离子蚀刻(RIE),例如HBr/Cl2蚀刻。可(例如)使用LAM TCP9400(可从加利福尼亚州弗里蒙特市的LAMResearch Corporation购买到)来执行此类蚀刻,LAM TCP9400以约300-1000W最高功率和约50-250W最低功率,在约7-60mTorr压力下,使约0-50sccm Cl2和约0-200sccmHBr流动。
图9展示覆盖沉积填充物材料190之后的图8的结构。填充物材料190有利地由二氧化硅(SiO2)形成。在一些优选实施例中,间隔物182和填充物材料190由相同或类似材料形成,如将从下文的图17-20、22-23以及26-27的论述更好地理解。因此,间隔物182和填充物材料190两者都可由二氧化硅形成。一种用于沉积填充物材料190(即二氧化硅)的优选工艺是Applied Materials的ProducerHARPTM系统。(HARP表示“高纵横比工艺(High Aspect Ratio Process)”)。
在替代实施例中,可在沉积填充物材料190之前去除间隔物182。如果硬掩模层160由DARC材料形成,那么可使用湿式蚀刻来去除间隔物。去除间隔物182可允许填充物材料190的良好覆盖率。
图10-20、22-23以及26-27每一者说明至少四个对应视图,标以字母A-D,如下:10A-10D、11A-11D等。以“A”表示的视图一贯展示顶视图或平面图,其中为了方便而包含阴影。视图B-C一贯展示对应的图A中描绘的同一结构的横截面。此外,以“B”表示的那些视图一贯与以“B”表示的其它视图在同一方位上展示所述结构。对于“C”表示,且同样对于“D”表示来说,方位也是类似的。
图10A-10D展示通过(例如)化学机械抛光(CMP)工艺去除间隔物1 82和填充物材料190的一部分之后的图9的结构。干式蚀刻或等离子体蚀刻也可用于平面化。如果使用CMP工艺,那么优选在硬掩模层160与临时层150之间添加较薄蚀刻停止层。举例来说,蚀刻停止层可由Si3N4形成。
图10A展示平面化之后的表面的示意性平面图。所述表面带有有条带图案,其具有交替的填充物材料条带212(其例如是非晶硅)和条带214(其例如可以是二氧化硅)。非晶硅条带212已经形成于第二临时层150中,且二氧化硅条带214是填充条带212之间的间隔的填充物材料190的剩余部分。为了方便,以交叉阴影来描绘图10A中的表面,以展示包括有条带结构的材料。条带212优选具有在约30-70nm的范围内的宽度213。条带214优选具有在约30-70nm的范围内的宽度215。更优选地,条带212和214每一者分别具有约50nm的宽度213和215。在后一种情况下,由所述条带形成的图案具有约100nm的间距。
图10B展示沿图10A的线10B-10B截取的示意性横截面侧视图。此视图展现两组条带形成于同一“级”上。此申请案中为了方便起见,使用术语“级”来表示集成电路的通常位于与衬底100的表面的平面平行且等矩的厚平面中的部分。因此,层160与层170位于不同的级,但条带212和条带214位于同一个级。相反,术语“层”通常用于指代集成电路的由同一材料形成并沉积在一起的部分。
图10C展示沿图10B的线10C-10C截取的示意性横截面侧视图。图10D展示沿图10B的线10D-10D截取的示意性横截面侧视图。
在上文参看图2-10描述并说明的方法的第一阶段中,通过间距倍增形成间隔物图案,且所述间隔物图案用于产生下伏有条带结构或从第一抗蚀剂掩模的图案得出且相对于第一抗蚀剂掩模的图案而间距倍增的“第一图案”。
第二阶段
在根据优选实施例且参看图11到图17的方法的第二阶段中,通过间距倍增形成第二间隔物图案,且第二间隔物图案用于产生上伏有条带结构(见图17),其与图10的下伏有条带结构交叉。用于此阶段的蚀刻序列的一个实例如下:1)沉积多个层;2)对上伏层进行光刻图案化;3)缩小特征;4)使图案延伸到下伏层中;5)去除上伏层的剩余部分;6)覆盖沉积间隔物材料;7)间隔物蚀刻;8)去除间隔物心轴。
图11A到图11D展示沉积多个新的遮掩层320-340之后的图10的结构。具有条带212和条带214的图案现在下伏于多个新的材料层下。如同层120-170一样,也可对层320-340进行蚀刻,以形成用于对衬底110的下伏层进行图案化的掩模。可使用这些掩模来形成各个特征,如下文所论述。所述特征可包括一个或多个集成电路组件的部分。
图11A展示表面的示意性平面图。图11B展示沿图11A的线11B-11B截取的示意性横截面侧视图。图11C展示沿图11B的线11C-11C截取的示意性横截面侧视图。图11D展示沿图11B的线11D-11D截取的示意性横截面侧视图。
参看图11A到图11D,遮掩层320优选具有与上文相对于层120所描述的那些特性类似的特性。
参看图11B到图11D,层330优选具有与上文相对于层130所描述的那些特性类似的特性。
参看图11B到图11D,第四临时层340优选具有与上文相对于层140所描述的那些特性类似的特性。
如同用于层120-170的材料一样,优选基于对用于本文所论述的各个图案形成和图案转移步骤的化学和工艺条件的考虑,来选择用于上伏于衬底110上的层320-340的材料。此类层还优选经选择,以使得它们可相对于其它暴露材料而选择性地被蚀刻。
在图11A到图11D的所说明的实施例中,第二可选择性地界定的层320上伏于第三硬掩模(或蚀刻停止)层330上,第三硬掩模层330上伏于第四临时层340上,第四临时层340上伏于具有条带212和214的级上。下伏级160和170以及衬底110保持完整。如上文相对于图2中所描绘的层而描述的,在一些实施例中,可省略层320-340中的一者或一者以上。
参看图11A到图11D,第二可选择性地界定的层320优选由光致抗蚀剂形成,所述光致抗蚀剂包含此项技术中已知的任何光致抗蚀剂。上文参考层120所描述的所有优选特性和替代方案同样适用于层320。
第三硬掩模层330优选包括无机材料,且在所说明的实施例中,层330是DARC。上文参考层130所描述的所有优选特性和替代方案同样适用于层330。
第四临时层340优选由无定形碳形成。上文相对于层140所描述的所有优选特性和替代方案同样适用于层340。在一些实施例中,层340由无定形碳形成。因为有时难以实现无定形碳沉积的良好的阶梯覆盖率,所以已经使下伏有条带表面平面化(见图10)。
如同层120-170一样,优选根据与本文所述的蚀刻化学物质和工艺条件的适合性来选择层320-340的厚度。因此,如上文所述,厚度必须允许适当的图案转移,且硬掩模层330有利地较薄,以使得其转移或去除可迅速发生,从而使周围材料受到较少损耗。
在所说明的实施例中,第二可选择性地界定的层320是厚度优选在约100-250nm之间且更优选在约130-200nm之间的光可界定层。第三硬掩模层330的厚度优选在约10-30nm之间,且更优选在约15-25nm之间。第四临时层340的厚度优选在约130-200nm之间,且更优选在约140-160nm之间。
此外,可通过所属领域的技术人员已知的各种方法来形成层320,330和340。举例来说,上文描述的用于形成层120,130和140的方法可分别用于形成层320,330和340。
图12A到图12D说明层320中所形成的图案,其中线324中配置有间隔322。上文在图3以及下列等等中描述的用于形成线124的方法的优选特性同样适用于线324,然而,线324不平行于线124。这可通过观察到条带212和条带214不平行于线324看出(尽管线124已经去除)。因此,因为条带212和214以与线124相同的伸长维度伸长,所以线124和线324不平行。
因为线324不平行于条带212和214,所以可以说所说明的方法要求在下伏图案上施加交叉的光致抗蚀剂图案。因此,当第一图案的伸长维度与第二图案的伸长维度不对准或不平行时,一个图案与第二图案“交叉”。线124的伸长维度与条带212和214的伸长维度对准,但条带212和214的伸长维度与线324的伸长维度交叉。因此,可将线124描述为与条带212和214对准,且可将条带212和214描述为与线324交叉。在所说明的实施例中,线324不仅与条带212和214交叉,而且它们与条带212和214垂直交叉。然而,术语“交叉”希望包含所有非平行角度,不仅是90度的角度。因此,尽管通过所说明的方法形成的示范性特征和/或孔具有大体上矩形的占用面积(见例如图21A、图25A和图27A),但还涵盖其它占用面积,例如斜四边形或菱形形状的占用面积。
参看图12A到图12D,以与上文相对于线124描述且在图3中描绘的方式类似的方式,在第二可界定层320中形成包括由可界定材料特征324定界的间隔322的图案。因此,图12A到图12D展示对上伏抗蚀剂层进行光刻图案化之后的图11的结构。图12A展示表面的示意性平面图。图12B展示沿图12A的线12B-12B截取的示意性横截面侧视图。图12C展示沿图12B的线12C-12C截取的示意性横截面侧视图。图12D展示沿图12B的线12D-12D截取的示意性横截面侧视图。
如同图3中所描绘的图案一样,已经通过常规光刻形成由光致抗蚀剂线324系列产生的图案。如同先前描述的图案一样,可完成缩小步骤以使线324变得更薄,且可使用经修改的线324a作为心轴来完成间隔物形成,或者可在缩小步骤完成之前,将图案转移到下伏层。然而,在下文所述的所说明实施例中,对光致抗蚀剂线324执行缩小步骤,接着将图案转移到下伏层,且下伏层的部分形成间隔物心轴。
图13A到图13D展示线324已经通过(例如)各向同性蚀刻而缩小以产生经修改的线324a之后的图12的结构。缩小步骤还使间隔322加宽以形成经修改的间隔322a。图13A展示表面的示意性平面图。图13B展示沿图13A的线13B-13B截取的示意性横截面侧视图。图13C展示沿图13B的线13C-13C截取的示意性横截面侧视图。图13D展示沿图13B的线13D-13D截取的示意性横截面侧视图。
图13A到图13D的结构优选共享结合图4所描述的特征的许多特征。还可使用实现所述结构的类似方法;上文描述了优选蚀刻材料和方法以及所需配置。举例来说,优选使用各向同性蚀刻(例如氧化硫等离子体,例如包括SO2、O2、N2和Ar的等离子体,或任何其它合适的等离子体)来减小光致抗蚀剂线324的尺寸。可使用的两种其它等离子体(例如)是HBr/O2等离子体或Cl2/O2等离子体。
如同线124a一样,经修改的线324a界定位置标志物或心轴的维度,将沿所述位置标志物或心轴形成间隔物图案。上文所述的替代方案在此处同样适用。举例来说,在替代实施例中,可在不首先对线324进行修整或如上文所述使它们的宽度减小的情况下,将线324的图案转移到下伏层。在此类实施例中,可在临时层340中形成对应于线324的图案的图案,且可用缩小步骤来减小所述图案的特征的宽度。在其它替代实施例中,如果间隔物材料的沉积和蚀刻适合可界定层320,那么可省略临时层340,且可将间隔物材料直接沉积在光界定的线324或较细的线324a上。
在所说明的实施例中,线324a产生稍后将在下伏层340中形成的位置标志物或心轴的掩模,在覆盖沉积间隔物材料380(图16)之后,将沿所述位置标志物或心轴形成间隔物382的图案(图17)。
图14A到图14D说明光可界定层320中的图案可如何延伸到第四临时层340中。图14A展示表面的示意性平面图。图14B展示沿图14A的线14B-14B截取的示意性横截面侧视图。图14C展示沿图14B的线14C-14C截取的示意性横截面侧视图。图14D展示沿图14B的线14D-14D截取的示意性横截面侧视图。
第四临时层340优选具有上文针对第二临时层140所描述的有利特性,例如高耐热性。如图14A到图14D中所示,可通过使用(例如)将图案转移到硬掩模层330中的选择性蚀刻和将图案转移到第四临时层340中的含SO2的各向异性等离子体蚀刻,用与将线124a和间隔122a的图案转移到下伏层的方式类似的方式,使图13A到图13D中的线324a和间隔322a的图案延伸到下伏层中或转移到下伏层。上文描述了优选和替代蚀刻化学物质。
如图14A中所说明,所描述的蚀刻步骤去除层330和340的未由线324a遮掩的部分,因此使条带212和214的部分暴露。可在图14A中看到的表面已经加上阴影以展现所描绘的结构的下伏材料,且展示线324a如何与条带212和214交叉。
图15A到图15D展示已经剥离了上伏层320和330的剩余部分之后的图14的结构。此类工艺在上文描述且在图5到图6中说明。图15A展示表面的示意性平面图。图15B展示沿图15A的线15B-15B截取的示意性横截面侧视图。图15C展示沿图15B的线15C-15C截取的示意性横截面侧视图。图15D展示沿图15B的线15D-15D截取的示意性横截面侧视图。如由图15C到图15D所说明,线344和间隔342显示先前在上伏层中可见(例如,见线144a和间隔142a)的同一交叉图案。
如图15中所示,一旦原先形成于可界定层320中的线图案已经向下延伸到层340中,就可使用选择性蚀刻将可界定层320的剩余部分剥离掉。或者,可在使图案向下延伸到层340中的碳蚀刻步骤期间,将层320的剩余部分蚀刻掉。因此,原先形成于层320中的线图案已经转移到层330和340。转移的图案与原先形成于层320中的线图案近似相同;转移的图案具有线344a和间隔342a,其分别大体上对应于线324a和间隔322a。在所说明的实施例中,硬掩模层330的部分保留在原位,作为线344a上的保护盖。线344a将充当用于随后形成的间隔物的心轴。
图16A到图16D展示间隔物材料380覆盖沉积在心轴344a上之后的图15的结构。图16A展示表面的示意性平面图。图16B展示沿图16A的线16B-16B截取的示意性横截面侧视图。图16C展示沿图16B的线16C-16C截取的示意性横截面侧视图。图16D展示沿图16B的线16D-16D截取的示意性横截面侧视图。
间隔物材料层380优选在材料、厚度、覆盖率和沉积模式方面与上文所述的间隔物材料层180类似。在所说明的实施例中,硬掩模层330的部分被留在原位,但是替代实施例不将此类部分留在原位。如果在间隔物沉积之前去除硬掩模层330的部分,那么可使用选择性蚀刻来将它们去除。注意,层380的材料可与层180的材料不同,只要如本文所述每个层可相对于其它周围层而选择性地蚀刻即可。二氧化硅是优选间隔物材料。
图17A到图17D展示间隔物蚀刻和随后的蚀刻之后留下自立间隔物图案的图16的结构。图17A展示表面的示意性平面图。图17B展示沿图17A的线17B-17B截取的示意性横截面侧视图。图17C展示沿图17B的线17C-17C截取的示意性横截面侧视图。图17D展示沿图17B的线17D-17D截取的示意性横截面侧视图。
上文相对于图7到图8描述优选间隔物蚀刻和替代方案。举例来说,可使用碳氟化合物等离子体来执行间隔物蚀刻。如同上文所述的间隔物蚀刻一样,结果优选是相对于线344a具有有效减小的间距的伸长间隔物图案。在间隔物蚀刻之后,接下来去除硬掩模层330(如果仍存在的话)和第四临时层340的剩余部分,以留下自立间隔物382。以此方式,去除一个图案的特征以留下由间隔物382形成的另一图案。
在伸长间隔物382位于原位,与下伏掩模线212和214交叉的情况下,已经在交叉维度(即,不与线212和214平行的维度)上执行第二间距减小工艺。在所说明的实施例中,由间隔物382形成的图案的间距约为由光致抗蚀剂线344和间隔342形成的图案的间距的一半。有利地,间隔物382的图案具有约140nm或更小的间距。优选地,间隔物382的图案具有约100nm或更小的间距。
在上文参考图11到图17所描述并说明的方法的第二阶段中,第二间隔物图案已经通过间距倍增而形成,且用于产生上伏的线图案,其与图10中所说明的下伏的线图案交叉。
第三阶段
在根据优选实施例且参看图18到图20的方法的第三阶段中,用图17中所描绘的交叉的有条带结构产生具有可在两个维度上以规则间隔出现的小孔的材料栅格(见图19到图20)。用于此阶段的蚀刻序列的一个实例如下:1)去除由共用材料(例如二氧化硅)制成的数个暴露层的部分,而使下伏条带材料的暴露部分的材料中的一者(例如非晶硅)保持完整;2)使两个上伏图案(例如氧化物间隔物图案和交叉的非晶硅条带状图案)延伸到下伏掩模或临时层(例如无定形碳)中;和3)去除上伏层以留下具有孔的单个下伏层。
图18A到图18E展示已经选择性地蚀刻若干层的暴露部分(包含间隔物382和条带214),而已经使条带212基本上保持完整之后的图17的结构。在优选实施例中,条带212由非晶硅形成,且间隔物和条带214由二氧化硅形成,所以蚀刻是二氧化硅蚀刻,即所述蚀刻相对于同样暴露的非晶硅而选择性地蚀刻二氧化硅。可使用的一种蚀刻是碳氟化合物蚀刻。图18A展示表面的示意性平面图。图18B展示沿图18A的线18B-18B截取的示意性横截面侧视图。图18C展示沿图18A和图18B的线18C-18C截取的示意性横截面侧视图。图18D展示沿图18A和图18B的线18D-18D截取的示意性横截面侧视图。图18E展示沿图18A的线18E-18E截取的示意性横截面侧视图。
如由这些图所展现的,条带214的暴露部分通过蚀刻步骤基本上保持完整,而条带214、间隔物382和层160的暴露部分都已经被蚀刻。因此,在一些实施例中,由同一蚀刻化学物质来蚀刻三个不同的氧化硅层的部分。此对来自多个层的材料进行的蚀刻出现在所说明的实施例中,因为间隔物382、条带214和第二硬掩模层160每一者都可由二氧化硅形成。用不同深浅度的点画法描绘了这三个单独层的材料,以便可在图中区分所述三个层。在一些实施例中,所述三个层中的每一者可由另一共用材料形成。如本说明书中所使用,“共用材料”可指代在成分方面足够类似从而允许每一者都一起蚀刻同时保持相对于周围材料的可选择性的材料。因此可出于此目的而被认为是共用的材料的实例是各种形式的氧化硅,例如TEOS、BPSG、LSO、SiO2、C掺杂的氧化物、F掺杂的氧化物、多孔氧化物、SOD等。更优选地,使用同一成分方法且由同一材料(例如每一者可由LSO形成)来形成这些层中的每一者。在其它实施例中,第二硬掩模层160由DARC材料形成,如上文所述。
因为条带214比间隔物382薄,所以蚀刻步骤首先去除了条带214的暴露部分。因此,当蚀刻已经部分去除了间隔物382的部分,从而产生经修改的间隔物382a时,蚀刻已经完全穿透条带214和层160的暴露部分。或者,如果形成层160的物质与形成间隔物382和条带214的物质不同,那么可使用单独的选择性蚀刻来向下穿透层160并到达第三临时层170。
图19A到图19D展示蚀刻进入第三临时层170(其优选为无定形碳)的暴露部分中之后的图18的结构。图19A展示表面的示意性平面图。图19B展示沿图19A的线19B-19B截取的示意性横截面侧视图。图19C展示沿图19B的线19C-19C截取的示意性横截面侧视图。图19D展示沿图19B的线19D-19D截取的示意性横截面侧视图。
由于通过与条带212交叉的间隔物382a在层170上方形成的保护性栅格的缘故,蚀刻仅在未受保护的区域中去除下伏层170的材料,以在层170中形成小的密集且/或均匀间隔的孔412。图20C以横截面的形式展示所述孔,并展现所述孔如何优选地一直向下延伸穿过层170到达层110。如上文所论述,可将层170称为“衬底”层,但是层110也可被称为衬底。
在此蚀刻步骤之后,层170显示以两个不同上伏图案呈现的特征。因此,图19A和图20A的透视图说明由图2到图10中的间距倍增形成的图案和由图11到图17中的间距倍增形成的(交叉的)图案可如何组合以形成从所述两个上伏图案得出的图案。
图20A到图20D展示已经剥离上伏层以展现第三临时层170中的孔412的图案之后的图19的结构。图20A展示表面的示意性平面图。图20B展示沿图20A的线20B-20B截取的示意性横截面侧视图。图20C展示沿图20A的线20C-20C截取的示意性横截面侧视图。图20D展示沿图20A的线20D-20D截取的示意性横截面侧视图。
可使用一个或多个蚀刻步骤来去除上伏层的剩余部分,以实现图20A到图20C中所说明的结构。举例来说,可使用蚀刻来去除经修改的间隔物382a,且可使用单独的蚀刻步骤来去除氧化硅条带214、非晶硅条带212和第二硬掩模层160的剩余部分。或者,单个蚀刻步骤可用CMP工艺、溅式蚀刻、干式蚀刻、反应性离子蚀刻或任何去除除层170和110的材料之外的任何东西的化学物质或工艺来一次去除所有的上述层。在所说明的实施例中,在图20中,层160已经完全去除,留下碳栅格位于层110顶上。在一些实施例中,在蚀刻衬底期间,将消耗上伏层的剩余部分,所以不需要单独的步骤来去除这些层。
在此实施例中,碳栅格中的特征是具有略带矩形的占用面积的孔。在一些实施例中,特征的占用面积是正方形的,即特征的长度和宽度近似相同。优选地,特征具有小于约60纳米的第一宽度和不大于所述第一宽度的10倍的第一长度。因此,优选实施例形成隔离的特征,而不是连续的线。图案在一个维度上的间距倍增可导致间距倍增的线,但交叉图案的间距倍增可导致小的紧密的隔离特征。因此长度不比特征的宽度长许多倍的特征与(例如)长度可能是其宽度的数千倍的线不同。优选实施例具有占用面积较不细长而是具有更正方形形状的占用面积的特征。
特征优选以小于约60nm的间隔间隔开。在一个有利实施例中,隔离的特征每一者具有有约50nm乘以约60nm的尺寸的矩形占用面积。在另一有利实施例中,隔离的特征每一者具有有约50nm乘以约50nm的尺寸的正方形占用面积。
图21A展示第三临时层170中的孔412的图案已经延伸到衬底110中,第三临时层170已经去除且已经用导电材料420填充所述孔之后的图20C的结构。导电材料420优选大体上填充衬底中的孔,并溢出以形成连续的溢出层,如所说明的。导电材料420可以是任何导电或半导电材料。在优选实施例中,导电材料420是掺杂的多晶硅。在一些实施例中,导电材料420可以是导电金属,例如钨、铜或铝。导电材料420通常包含多个子层。举例来说,钛粘附层、金属氮化物势垒层以及金属填充物层可全部组合使用。
图21B展示已经蚀刻溢出导电材料之后的图21A的结构。优选地,便用CMP工艺来去除溢出材料。在一些实施例中,硬掩模层(未图示)可沉积在第三临时层170与衬底110之间,以充当CMP停止物。一些实施例可使用RIE或溅式蚀刻来去除溢出材料。
在已经去除导电材料420的连续溢出层之后,导电材料的部分形成密集且/或规则间隔的隔离触点422。优选触点具有小于150nm的间距宽度。更优选地,此类触点422具有约100nm或更小的间距宽度。在优选实施例中,所说明的衬底栅格(其已经根据层170的碳栅格而图案化)提供使触点422彼此分离的绝缘。在一些实施例中,可使用孔412来图案化或形成其它隔离的特征,例如用于螺栓式电容器(stud capacitor)、沟槽式电容器的支柱,和/或用于晶体管的支柱。
在一些实施例中,可使用孔来图案化由半导体形成的特征。可通过掩模孔中的选择性外延来形成这些特征,所述选择性外延可暴露单晶硅层在掩模层下面的部分。所述特征可包括垂直围绕栅极晶体管,其将下伏级中的源极区域(未图示)连接到作为隔离的特征的一部分的漏极。因此,所述孔内可具有(或所述特征可充当)将源极区域连接到漏极的沟道。
在所说明的实施例中,如上文所述,在使孔412延伸到衬底110中的过程中形成触点422。如从上文所陈述的细节可明白,每个触点422的尺寸有利地部分由使用间隔物182形成的间隔物图案的分辨度,且部分由使用间隔物382形成的间隔物图案的分辨度来确定。在一些实施例中,触点具有对称的正方形占用面积。在一些实施例中,触点经定形以对应于它们被设计为要接触的特征。
在一些替代实施例中,可在孔412延伸到下伏衬底层110中之前,直接用导电材料填充经修改的层170(上伏在衬底110上的具有孔的碳栅格)。在此实施例中,硬掩模层160可保留在原位以充当CMP停止物。可使用CMP工艺来达到良好的效果,因为硬掩模层160可用作机械CMP停止物,其含有还呈现于下伏经修改的临时层170中的同一规则孔图案。在一些实施例中,可两次使用此蚀刻停止势垒:一次用来停止CMP蚀刻(用于去除经修改的间隔物382a、氧化硅条带214、非晶硅条带212以及第二硬掩模层160的剩余部分);且一次用来停止对已经填充临时层170中的孔的溢出导电材料的蚀刻。
一旦已经用导电材料填充碳栅格,就可去除经修改层170的碳栅格,以留下自立导电触点422。接着,可用例如氧化物的绝缘材料(未图示)来填充触点之间的间隔。在一些实施例中,经修改层170的图案首先延伸到衬底层110(例如ILD)中,且在下级处形成触点。
在一些实施例中,孔412(不管是延伸到衬底110中还是在上伏层中)经配置以接纳导电材料,例如金属。此外,当在形成集成电路的过程中使用时,孔412优选经定位以允许形成导电触点,所述导电触点使下伏特征(例如晶体管源极区域)与上伏级中的其它组件(例如,位线)连接。
在一些实施例中,触点422可具有不同的配置。举例来说,特征可具有界定得没有所说明的触点422的拐角那么尖锐的拐角。此外,触点422的比例、形状、间隔、高度、宽度和外形可与图22中的说明不同。
在某些实施例中,触点422是多晶硅插塞。在有利实施例中,触点422连接存储器阵列的元件;然而,此类触点可将任何电气装置或组件的部分连接到任何其它电气装置或组件。
在上文参看图18到图21所描述并说明的方法的第三阶段中,图17中所描绘的交叉的有条带结构用于产生掩模材料栅格,其具有在两个维度上以规则间隔出现的小的密集布置的孔。接着,如图21中所描绘,可用材料来填充掩模孔或通过掩模蚀刻到下伏层中的孔,以在栅格中产生小的密集间隔的特征。所属领域的技术人员将容易了解使用具有密集孔图案的掩模的其它应用。
如图17中所说明,在不同层中具有不同图案的掩模均可屏蔽下伏层或衬底。图18到图21展示两个图案可一起操作或经合并以根据两个叠加的图案有效地形成组合图案或掩模的一种方式。随后的图展示图案合并的进一步实例,当两个图案具有交叉的特征或图案时,这可能尤其有益。
第四阶段
在根据优选实施例且参考图22到图28的代替方法的第三阶段的第四阶段中,用图17中所描绘的交叉的有条带结构产生在两个维度上以规则间隔出现的小的密集布置的掩模特征(例如自立柱或支柱)。具体地说,图17和图22到图25展示制作此类隔离的掩模特征的一种途径。注意,图17和图26到图28展示制作隔离的掩模特征的另一种途径,其为第三和第四阶段的替代方案。
图22到图25说明可结合图17的结构使用以产生小的且以有利方式密集且/或均匀间隔的柱的工艺流程。用于此阶段的蚀刻序列的一个实例如下:1)去除条带材料中的一者(例如非晶硅)的暴露部分;而使其它暴露材料(例如二氧化硅)保持完整;2)去除间隔物、另一条带材料以及硬掩模层的暴露部分(如果所有三者都由同一材料形成,那么这可在单个蚀刻步骤中完成);以及3)使所得图案(即非晶硅岛状物的掩模)延伸到下伏层中以形成自立掩模柱或支柱。
图22A到图22D展示已经去除条带212的暴露部分,使三层表面暴露(间隔物382、条带214和层160的部分都暴露)。图22A展示表面的示意性平面图。图22B展示沿图22A的线22B-22B截取的示意性横截面侧视图。图22C展示沿图22B的线22C-22C截取的示意性横截面侧视图。图22D展示沿图22B的线22D-22D截取的示意性横截面侧视图。
举例来说,如果条带212由非晶硅形成,那么可使用HBr/Cl2蚀刻材料来选择性地去除条带212的暴露部分。
图22A说明在一些实施例中,三个不同氧化硅层的部分可暴露;间隔物382、条带214和第二硬掩模层160每一者可由二氧化硅形成。已经使用不同深浅度的点画法来描绘这些单独层的材料,以便可在图中区分所述三个层(160,214和382)。在其它实施例中,第二硬掩模层160由DARC材料形成,如上文所述。
图23A到图23B展示选择性蚀刻已经去除图22A中所描绘的各个氧化物特征的未由新暴露的岛状物或盖(例如由非晶硅形成)遮掩的所有部分之后的图22的结构。此蚀刻步骤暴露下伏层(例如由无定形碳形成)的部分。图23A展示表面的示意性平面图。图23B展示沿图23A的线23B-23B截取的示意性横截面侧视图。
如果每一者由同一材料(例如优选实施例中的二氧化硅)形成,那么间隔物382、条带214的剩余部分以及硬掩模层160的未受保护部分可在单个蚀刻步骤中全部去除。或者,可使用单独的蚀刻步骤来去除这些材料中的每一者。举例来说,在一个蚀刻步骤中,可相对于由(例如)硅形成的盖432的材料,选择性地去除间隔物382。接着,一旦间隔物382不再保护条带214的新暴露的部分,就可将那些部分去除。接着,可去除硬掩模层的不受非晶硅岛状物或盖432保护的部分。
图24A到图24B展示各向异性蚀刻步骤已经使图23的岛状图案延伸到下伏层中,使直立柱430受非晶硅盖432保护之后的图23的结构。图24A展示表面的示意性平面图。图24B展示沿图24A的线24B-24B截取的示意性横截面侧视图。
蚀刻步骤已经去除第三临时层170(优选由无定形碳形成)的未由非晶硅盖432遮掩的部分。非晶硅盖432包括由第二临时层150形成的条带212的剩余部分。盖432保护位于盖432的下面的材料列。因此,盖432形成可密集且/或均匀间隔的小的保护性遮掩岛状物的图案,其可能由已经界定所述结构的非岛状物部分的上伏交叉图案制成。盖432和所得的柱430可密集且/或均匀地间隔。图24B以横截面的形式展示柱430,并展现所述柱如何在每一维度上被间隔434围绕,所述间隔优选一直向下延伸穿过经修改的层170并到达层110。从这点上看,通过使间隔434向下延伸到衬底110中,存在于经修改的层170中的图案可进一步延伸到衬底110中。也就是说,可将经修改的层170用作掩模以在衬底110中形成柱或岛状物。
图25A到图25B展示非晶硅蚀刻已经将非晶硅盖432从柱430去除以形成经修改的掩模柱430a之后的图24的结构。或者,可使用CMP工艺来去除非晶硅盖432。
在一些实施例中,柱或支柱由半导电材料形成。优选地,将碳柱用作掩模以对下伏半导电衬底中的硅柱进行蚀刻。在替代实施例中,可省略碳层170,且可直接在衬底110中形成孔,衬底110可以是硅晶片或覆盖外延层。如上文所述,半导电柱可包括垂直围绕栅极晶体管,其将下伏级中的源极区域连接到柱的上部中的漏极。因此,柱或支柱内可具有(或可充当)将下方的源极区域连接到上方的漏极的沟道。
在根据优选实施例并参考图22到图25的方法的第四替代阶段中,图17中所描绘的交叉的有条带的结构已经用于产生在两个维度上以规则间隔出现的小的密集布置的特征。具体地说,图17和图22到图25已经展示了一种制作此类特征的途径。
第五阶段
图26到图28说明可结合图17的结构使用以产生掩模柱,并在下伏衬底中形成以有利方式密集且/或均匀间隔的小柱或岛状物(类似于图24和图25中所描绘的那些)的第五阶段,作为第三或第四阶段的替代阶段。用于此阶段的蚀刻序列的一个实例如下:1)损耗所有暴露材料的非选择性蚀刻(例如溅式蚀刻或反应性离子蚀刻);2)使间隔物图案向下延伸穿过最后的遮掩层;3)去除间隔物材料;4)去除两种条带材料中的一者的剩余部分;以及5)使用剩余的条带材料作为掩模来蚀刻柱或岛状物。
图26A到图26D展示一个或多个蚀刻工艺已经去除来自所有保护层的材料,从而缩短间隔物并循序地暴露第二硬掩模层160的部分且接着暴露下伏第三临时层170的部分之后的图17的结构。图26A展示表面的示意性平面图。图26B展示沿图26A的线26B-26B截取的示意性横截面侧视图。图26C展示沿图26B的线26C-26C截取的示意性横截面侧视图。图26D展示沿图26B的线26D-26D截取的示意性横截面侧视图。
如同上文相对于图18A到图18D所述的蚀刻步骤一样,此蚀刻已经减小了间隔物382的尺寸,但经修改的间隔物382b比经修改的间隔物382a短。此外,与图23A到图23D的蚀刻不同,除二氧化硅条带214和第二硬掩模层160之外,所说明的蚀刻工艺已经穿透非晶硅条带212的暴露部分,以在未受间隔物382保护的任何地方暴露下伏第三临时层170。因此,间隔物382的高度已用于产生“厚度选择性”蚀刻,其去除较薄的层,但对较厚的层只进行损耗。在较厚的层(间隔物382)完全去除之前,蚀刻工艺暂停。因此,间隔物382的部分仍保护有条带的图案的下伏在间隔物382下的部分。可用于获得此效果的一种蚀刻是溅式蚀刻或反应性离子蚀刻(RIE)。反应性离子蚀刻是有利的,因为它们可以是选择性的且产生垂直壁。
在下伏第三临时层170的部分已经暴露之后,可执行与上文相对于图23和图24所描述的蚀刻步骤类似的蚀刻步骤来去除第三临时层170的暴露部分,并使间隔物图案延伸到第三临时层170中。
图27A到图27B展示间隔物图案延伸到下伏层中之后的图26的结构。图27B展示沿图27A的线27B-27B截取的示意性横截面侧视图。图27C展示沿图27B的线27C-27C截取的示意性横截面侧视图。图27D展示沿图27B的线27D-27D截取的示意性横截面侧视图。
可执行选择性无定形碳蚀刻来去除层170的未遮掩的部分,从而使线图案延伸到层170中,并形成经修改的层170c。因此,在所说明的实施例中,将间隔物382b用作已产生高碳线的蚀刻的掩模。
图28A到图28B展示去除经修改的间隔物以及下伏条带材料的剩余部分和硬掩模层的未遮掩部分之后的图27的结构。岛状图案也已经延伸到下伏碳线中以形成柱。图28A展示表面的示意性平面图。图28B展示沿图28A的线28B-28B截取的示意性横截面侧视图。
从图27A到图27D的结构开始,可以以下方式来实现图28A到图28B中所说明的结构。首先,一个或多个蚀刻可去除经修改的间隔物382b、条带214和第二硬掩模层160的暴露部分。如果这些层中的每一者由类似材料(例如氧化硅)形成,那么可使用单个蚀刻步骤。接着,可执行另一各向异性的选择性无定形碳蚀刻来去除层170c(即,碳线)的新的未遮掩部分,从而形成经修改的层170d(即,碳柱)。图28A和图28B中所说明的结构类似于图24A和图24B中所说明的结构,且随后的步骤与上文相对于那些图所描述的那些步骤相同。
在根据优选实施例且参看图26到图28的方法的第五替代阶段中,使用图17中所描绘的交叉的有条带结构来产生在两个维度上以规则间隔出现的小的密集布置的特征。
形成与形成于经修改的层170a中且在图21A到图21D中所说明的栅格类似的栅格结构的替代方式是形成图24和图25中所说明的柱430,用可相对于柱430的无定形碳选择性蚀刻的材料来填充柱430之间的间隔,对所述材料进行抛光,使其回到柱430的顶部,并使用选择性蚀刻来去除柱430。形成与图24和图25中所说明的结构类似的柱结构的替代方式是形成经修改的层170a,用可相对于第三临时层170a选择性蚀刻的材料来填充孔412,对所述材料进行抛光,使其回到无定形碳层170a的表面,并使用选择性蚀刻来去除经修改的层170a。轮流使用这些正性和负性途径,在遮掩阵列的邻近部分的同时,可在阵列的邻近部分中形成柱或支柱和栅格。
实例1
图29A和图29B是说明根据所述实施例而形成的密集小孔阵列的扫描电子显微照片(SEM)。这些SEM展示孔具有小于100nm的间距,其中处理使用一次性硬掩模和248nm或193nm光刻工艺。
实例2
图30A到图30B是说明根据所述实施例而形成的密集小孔阵列的SEM。图30A展示其中特征具有约140nm的间距的横截面视图。图30B展示其中特征具有约100nm的间距的横截面视图。这些SEM展示使用以下蚀刻参数序列而形成的阵列:
蚀刻步骤 稳定性 最后间隔物蚀刻(图17) 剥离α-C心轴(图17) SiO2蚀刻(图18)
持续时间(分:秒) 3:00 0:37 0:35 0:35
电极间隔(mm) 27 27 27 27
施加的功率(W) 0 300 300 300
腔室压力(mT) 65 65 150 65
C4F8的流动速率(sccm) 9 9 0 9
CHF3的流动速率(sccm) 20 20 0 20
Ar的流动速率(sccm) 450 450 100 450
O2 LO的流动速率(sccm) 0 0 40 0
温度(℃)
上电极温度 壁温度 下电极温度
70 60 40
实例3
图31A到图31C是说明根据所述实施例而形成的密集小孔阵列的SEM。将所说明的图案转移到下伏PSG绝缘体中,且在原处剥离硬掩模。如由图31B和图31C所说明,间距在两个垂直维度上约为100nm。图30A到图30C中所描绘的阵列具有并非直接对直而是以规则波状图案偏移的特征。由于此波状图案的缘故,孔可能不是正方形或矩形的,而是类似梯形的。此外,垂直壁看起来并不是完全陡峭且绝对地垂直。如这些图所说明,本文所揭示的本发明涵盖许多实施例和配置。
实例4
图32是栅格中的小的密集孔阵列的SEM。此图展示透视图。
实例5
图33A到图33B是根据所揭示的实施例的小的密集柱或支柱阵列的SEM。将所说明的图案转移到PSG中,且在原处剥离硬掩模。在这些SEM中,间距在两个维度上均约为100nm。
本文所论述的原理和优势可应用于多种场景,其中两个或两个以上掩模图案并置在交叉配置中,且经组合以形成电路特征,例如孔或柱。
因此,所属领域的技术人员将了解,可在不脱离本发明的范围的情况下,对上文所述的方法和结构作各种其它省略、添加和修改。希望所有此类修改和改变在如所附权利要求书所界定的本发明的范围内。

Claims (68)

1.一种在阵列中形成特征的方法,其包括:
减小一列第一光可界定线的间距以形成列图案;以及
减小一行第二光可界定线的间距以形成行图案,所述行图案与所述列图案交叉,
所述行图案具有行线和行间隔,所述行线遮掩所述下伏列图案的未暴露部分,且所述行间隔留下所述下伏列图案的暴露部分,所述交叉的列与行图案包括具有第三图案的组合掩模。
2.根据权利要求1所述的方法,其进一步包括去除所述列图案的至少一些所述暴露部分中。
3.根据权利要求1所述的方法,其中所述组合掩模界定隔离的特征。
4.根据权利要求2所述的方法,其中减小所述列的间距包括在所述第一光可界定线的侧壁上形成侧壁间隔物。
5.根据权利要求2所述的方法,其中减小所述行的间距包括在所述第二光可界定线的侧壁上形成侧壁间隔物。
6.根据权利要求1所述的方法,其进一步包括使用所述组合掩模来蚀刻下伏衬底中的隔离的特征。
7.根据权利要求6所述的方法,其进一步包括在将所述隔离的特征蚀刻到下伏于不同层下的衬底中之前,将所述第三图案转移到所述不同层。
8.根据权利要求7所述的方法,其中所述不同层由无定形碳所形成。
9.根据权利要求1所述的方法,其中所述光可界定线由光致抗蚀剂形成。
10.根据权利要求1所述的方法,其中所述第一光可界定线垂直于所述第二光可界定线。
11.根据权利要求1所述的方法,其中减小所述第一光可界定线的间距在形成所述行的第二光可界定线之前发生。
12.根据权利要求1所述的方法,其中所述隔离的特征包括孔。
13.根据权利要求12所述的方法,其进一步包括:
用导电材料填充所述孔,直到所述导电材料溢出为止;以及
用化学机械平面化来蚀刻所述导电材料的溢出部分,以产生隔离的触点。
14.根据权利要求12所述的方法,其中所述行图案包括遮掩特征的第二布置,且所述列图案包括遮掩特征的第一布置,其中所述行图案上伏于所述列图案上,其中遮掩特征的所述第一与第二布置中的每一者具有由共用材料形成的部分,其中第一下伏层也由所述共用材料形成,其中第二下伏层位于所述第一下伏层之下,且其中所述方法进一步包括同时蚀刻来自遮掩特征的所述第一和所述第二布置两者的所述共用材料的暴露部分。
15.根据权利要求14所述的方法,其在蚀刻来自遮掩特征的所述第一和所述第二布置两者的所述共用材料的暴露部分之后,进一步包括同时蚀刻来自所述第一下伏层和遮掩特征的所述第二布置的所述共用材料的暴露部分,以暴露所述第二下伏层的隔离的部分。
16.根据权利要求15所述的方法,其在暴露所述第二下伏层的隔离的部分之后,进一步包括通过选择性地蚀刻所述第二下伏层的所述暴露的隔离的部分使所述第三图案延伸到所述第二下伏层中,以在所述第二下伏层中产生孔。
17.根据权利要求16所述的方法,其中所述共用材料是氧化物。
18.根据权利要求16所述的方法,其中所述共用材料是二氧化硅。
19.根据权利要求16所述的方法,其中所述第二下伏层是无定形碳。
20.根据权利要求1所述的方法,其中所述隔离的特征包括柱。
21.根据权利要求20所述的方法,其中所述行图案包括遮掩特征的第二布置,且所述列图案包括遮掩特征的第一布置,其中遮掩特征的所述第二布置上伏于遮掩特征的所述第一布置上,其中遮掩特征的所述第一和所述第二布置中的每一者具有由共用材料形成的部分,且其中下伏层也由所述共用材料形成。
22.根据权利要求21所述的方法,其进一步包括去除遮掩特征的所述第一布置的不是由所述共用材料形成和未由所述共用材料遮掩的那些部分。
23.根据权利要求22所述的方法,其在去除遮掩特征的所述第一布置的不是由所述共用材料形成和未由所述共用材料遮掩的那些部分之后,进一步包括同时蚀刻来自所述下伏层和遮掩特征的第一和第二布置两者的所述共用材料的暴露部分,及暴露不是由所述共用材料形成的遮掩岛状物,其中所述遮掩岛状物对应于所述第三图案。
24.根据权利要求23所述的方法,其进一步包括去除所述共用材料的未由所述遮掩岛状物遮掩的部分,以留下不是由所述共用材料形成的遮掩岛状物。
25.根据权利要求24所述的方法,其中所述共用材料是氧化物。
26.根据权利要求24所述的方法,其中所述共用材料是二氧化硅。
27.根据权利要求21所述的方法,所述方法进一步包括去除所有暴露材料的部分。
28.根据权利要求27所述的方法,其在去除所有暴露材料的部分之后,进一步包括使所述行图案延伸穿过遮掩材料的所述第一布置并进入至少一个下伏层中。
29.根据权利要求28所述的方法,其中所述方法进一步包括去除所述共用材料的暴露部分,以留下不是由所述共用材料形成的遮掩岛状物,其中所述遮掩岛状物对应于所述第三图案。
30.根据权利要求29所述的方法,所述方法进一步包括使所述遮掩岛状物图案延伸到下伏层中以产生柱。
31.根据权利要求20所述的方法,其中所述柱由导电材料形成。
32.根据权利要求31所述的方法,其中所述柱形成于层间电介质内,所述方法进一步包括使用化学-机械工艺来去除过量材料并隔离所述特征。
33.根据权利要求20所述的方法,其中所述柱由半导体形成。
34.根据权利要求33所述的方法,其中所述柱形成垂直围绕栅极晶体管。
35.根据权利要求1所述的方法,其中减小所述列的间距包括在减小所述第一光可界定线的宽度之后,将间隔物材料施加到所述列的第一光可界定线。
36.根据权利要求1所述的方法,其中减小所述列的间距包括在将所述列图案转移到下伏的遮掩材料层之后,将间隔物材料施加到所述列的第一光可界定线。
37.根据权利要求1所述的方法,其中减小所述列的间距包括在将所述列图案转移到下伏的遮掩材料层之前,将间隔物材料施加到所述列的第一光可界定线。
38.根据权利要求1所述的方法,其中减小所述行的间距包括在减小所述第二光可界定线的宽度之后,将间隔物材料施加到所述行的第二光可界定线。
39.根据权利要求1所述的方法,其中减小所述行的间距包括在将所述行图案转移到下伏的遮掩材料层之后,将间隔物材料施加到所述行的第二光可界定线。
40.根据权利要求39所述的方法,其中减小所述行的间距包括在将所述行图案转移到下伏的遮掩材料层之前,减小所述第二光可界定线的宽度。
41.根据权利要求1所述的方法,其中减小所述行的间距包括在减小第一和第二光可界定线两者的宽度之后,将间隔物材料施加到所述行的第二光可界定线。
42.根据权利要求1所述的方法,其中减小所述行和所述列的间距包括在将所述列和行图案转移到下伏的遮掩材料层之后,将间隔物材料施加到第一和第二光可界定线。
43.根据权利要求42所述的方法,其中在转移到下伏的遮掩材料层之前,所述第一光可界定线的宽度减小,且在转移到下伏的遮掩材料层之前,所述第二光可界定线的宽度也减小。
44.一种用于集成电路的掩模图案,其包括:
第一系列的伸长遮掩线;
第二系列的伸长遮掩线,其与所述第一系列的伸长遮掩线相交;
其中所述第一和第二系列的线的每一者的间距小于可通过光刻实现的间距。
45.根据权利要求44所述的掩模图案,其中所述第一和第二系列的间距已通过间隔物沉积和至少一个间隔物蚀刻实现。
46.根据权利要求44所述的掩模图案,其中所述相交的线提供用于在下伏层中形成隔离的通孔的图案。
47.根据权利要求44所述的掩模图案,其中所述相交的线提供用于在下伏层中形成支柱的图案。
48.根据权利要求44所述的掩模图案,其中所述第一系列的间距小于120nm。
49.根据权利要求44所述的掩模图案,其中所述第一系列的间距小于120nm。
50.根据权利要求44所述的掩模图案,其中所述第一和第二系列两者的间距都小于120nm。
51.根据权利要求44所述的掩模图案,其中所述第一系列的间距小于100nm。
52.根据权利要求44所述的掩模图案,其中所述第一系列的间距小于100nm。
53.根据权利要求44所述的掩模图案,其中所述第一和第二系列两者的间距都小于100nm。
54.根据权利要求44所述的掩模图案,其中所述第一和第二系列两者的间距都小于约60nm。
55.一种包含集成电路的系统,所述集成电路包括:
一行伸长特征,其由具有小于或近似120nm的宽度的间隔物材料形成;
一列伸长特征,其由具有小于或近似120nm的宽度的间隔物材料形成,所述列中的所述伸长特征与所述行中的所述伸长特征交叉,使得所述列中的每个伸长特征与所述行中的多个伸长特征交叉。
56.根据权利要求55所述的系统,其中所述第一和第二行的伸长特征每一者已经间距倍增,以实现较大的特征密度。
57.根据权利要求55所述的系统,其中所述隔离的特征具有尺寸为约50nm乘以约60nm的矩形占用面积。
58.根据权利要求55所述的系统,其中所述隔离的特征具有尺寸为约50nm乘以约50nm的近似正方形的占用面积。
59.根据权利要求55所述的系统,其中所述系统进一步包括:
规则间隔的特征,其具有小于60纳米的第一宽度和不大于所述第一宽度的10倍的第一长度;以及
特征之间的间隔,其具有小于60纳米的第二宽度。
60.根据权利要求59所述的隔离特征布置,其中所述第一长度小于60纳米。
61.根据权利要求59所述的隔离特征布置,其中所述特征之间的间隔在所述布置的长度和宽度维度两者上都小于60纳米。
62.根据权利要求61所述的隔离特征布置,其中所述第一长度小于60纳米。
63.根据权利要求59所述的隔离特征布置,其中所述隔离的特征是柱。
64.根据权利要求59所述的隔离特征布置,其中所述隔离的特征是用于晶体管的支柱。
65.根据权利要求59所述的隔离特征布置,其中所述隔离的特征是用于螺栓式电容器的支柱。
66.根据权利要求59所述的隔离特征布置,其中所述隔离的特征是形成于绝缘层中的孔中的触点。
67.根据权利要求59所述的隔离特征布置,其中所述隔离的特征是形成于衬底中的沟槽式电容器。
68.根据权利要求59所述的隔离特征布置,其中所述隔离的特征与下伏层和上伏层两者电接触,而同时与所述其它隔离的特征绝缘。
CNB2006800179778A 2005-05-23 2006-05-22 形成小型紧密间隔特征阵列的方法 Active CN100547731C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/134,982 2005-05-23
US11/134,982 US7429536B2 (en) 2005-05-23 2005-05-23 Methods for forming arrays of small, closely spaced features

Publications (2)

Publication Number Publication Date
CN101180708A true CN101180708A (zh) 2008-05-14
CN100547731C CN100547731C (zh) 2009-10-07

Family

ID=37056521

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006800179778A Active CN100547731C (zh) 2005-05-23 2006-05-22 形成小型紧密间隔特征阵列的方法

Country Status (7)

Country Link
US (3) US7429536B2 (zh)
EP (1) EP1886340B1 (zh)
JP (1) JP5239854B2 (zh)
KR (1) KR101284410B1 (zh)
CN (1) CN100547731C (zh)
TW (1) TWI299526B (zh)
WO (1) WO2006127586A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101923285A (zh) * 2009-06-09 2010-12-22 Asml荷兰有限公司 光刻方法和布置
CN103545248A (zh) * 2012-07-11 2014-01-29 台湾积体电路制造股份有限公司 半导体器件的制造方法
CN104425211A (zh) * 2013-08-20 2015-03-18 中芯国际集成电路制造(上海)有限公司 半导体图形化方法
CN105759560A (zh) * 2016-05-13 2016-07-13 武汉新芯集成电路制造有限公司 组合光罩的版图结构及其形成方法、应用方法
CN104241117B (zh) * 2013-06-09 2017-05-17 中芯国际集成电路制造(上海)有限公司 图形化方法
CN110875313A (zh) * 2018-08-30 2020-03-10 长鑫存储技术有限公司 有源区阵列及其形成方法、半导体器件及其形成方法
CN113345800A (zh) * 2020-03-02 2021-09-03 长鑫存储技术有限公司 有源区阵列的形成方法及半导体结构

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080071973A1 (en) * 2000-01-06 2008-03-20 Chow David Q Electronic data flash card with various flash memory cells
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7494885B1 (en) * 2004-04-05 2009-02-24 Advanced Micro Devices, Inc. Disposable spacer process for field effect transistor fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640640B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
US20080048340A1 (en) * 2006-03-06 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
KR100628249B1 (ko) * 2005-09-13 2006-09-27 동부일렉트로닉스 주식회사 반도체 소자의 형성 방법
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7892982B2 (en) * 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7998874B2 (en) 2006-03-06 2011-08-16 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7662721B2 (en) * 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR100822581B1 (ko) * 2006-09-08 2008-04-16 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR100817088B1 (ko) * 2007-02-16 2008-03-26 삼성전자주식회사 다마신 공정을 이용한 반도체 소자의 미세 금속 배선 패턴형성 방법
KR100817089B1 (ko) * 2007-02-28 2008-03-26 삼성전자주식회사 이중 패터닝 기술을 이용한 반도체 소자의 미세 패턴 형성방법
US20080241574A1 (en) * 2007-03-26 2008-10-02 Advanced Micro Devices, Inc. Semiconductor device having structure with sub-lithography dimensions
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8481417B2 (en) 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US8133745B2 (en) * 2007-10-17 2012-03-13 Magic Technologies, Inc. Method of magnetic tunneling layer processes for spin-transfer torque MRAM
WO2009057194A1 (ja) * 2007-10-29 2009-05-07 Unisantis Electronics (Japan) Ltd. 半導体構造及び当該半導体構造の製造方法
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
KR101573949B1 (ko) * 2007-11-08 2015-12-02 램 리써치 코포레이션 산화물 스페이서를 이용한 피치 감소
US8083958B2 (en) * 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030215B1 (en) * 2008-02-19 2011-10-04 Marvell International Ltd. Method for creating ultra-high-density holes and metallization
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8158014B2 (en) * 2008-06-16 2012-04-17 International Business Machines Corporation Multi-exposure lithography employing differentially sensitive photoresist layers
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8222159B2 (en) 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
JP2010050384A (ja) * 2008-08-25 2010-03-04 Elpida Memory Inc 半導体装置の製造方法
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP4719910B2 (ja) * 2008-11-26 2011-07-06 国立大学法人東北大学 半導体装置の製造方法
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101528823B1 (ko) 2009-01-19 2015-06-15 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20110076784A1 (en) * 2009-09-29 2011-03-31 Grandis Inc. Fabrication of Magnetic Element Arrays
US8741696B2 (en) * 2009-10-26 2014-06-03 Sandisk 3D Llc Methods of forming pillars for memory cells using sequential sidewall patterning
KR101648128B1 (ko) * 2009-12-28 2016-08-24 삼성전자주식회사 가변적인 폭을 가지는 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
JP2011258605A (ja) 2010-06-04 2011-12-22 Toshiba Corp パターン形成方法および半導体デバイスの製造方法
KR20110135136A (ko) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 반도체 장치의 극미세 패턴 형성을 위한 방법
JP5087655B2 (ja) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
KR101815590B1 (ko) * 2010-11-23 2018-01-05 삼성전자 주식회사 반도체 소자의 패턴 형성 방법
KR101708375B1 (ko) * 2011-04-29 2017-02-21 에스케이하이닉스 주식회사 반도체 장치의 홀 패턴 제조 방법
US8575032B2 (en) * 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8772183B2 (en) 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
KR101903477B1 (ko) * 2012-01-11 2018-10-02 삼성전자주식회사 반도체 장치의 제조 방법
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
KR101883327B1 (ko) * 2012-03-28 2018-07-30 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101883294B1 (ko) * 2012-03-28 2018-07-30 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9245987B2 (en) * 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
JP5904981B2 (ja) * 2013-09-09 2016-04-20 株式会社東芝 パターン形成方法、磁気記録媒体の製造方法、及び磁気記録媒体
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US9105478B2 (en) * 2013-10-28 2015-08-11 Globalfoundries Inc. Devices and methods of forming fins at tight fin pitches
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9425049B2 (en) * 2014-01-14 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Cut first self-aligned litho-etch patterning
US9293358B2 (en) * 2014-01-23 2016-03-22 Silicon Storage Technology, Inc. Double patterning method of forming semiconductor active areas and isolation regions
US9184059B2 (en) * 2014-03-21 2015-11-10 Inotera Memories, Inc. Method for increasing pattern density
TW201543564A (zh) * 2014-05-09 2015-11-16 Powerchip Technology Corp 半導體製程
JP5869057B2 (ja) * 2014-06-30 2016-02-24 ウィンボンド エレクトロニクス コーポレーション 半導体記憶装置
KR102186928B1 (ko) * 2014-07-18 2020-12-04 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 장치 제조 방법
WO2016065308A1 (en) * 2014-10-23 2016-04-28 Board Of Regents, The University Of Texas System Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures
US9595475B2 (en) * 2014-12-01 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stage fin formation methods and structures thereof
CN106373880B (zh) * 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
TWI627704B (zh) * 2015-09-03 2018-06-21 東京威力科創股份有限公司 間隔件輪廓之修整方法
KR102207120B1 (ko) * 2016-01-29 2021-01-22 도쿄엘렉트론가부시키가이샤 메모리 핀 패턴을 형성하기 위한 방법 및 시스템
US9911619B1 (en) * 2016-10-12 2018-03-06 Globalfoundries Inc. Fin cut with alternating two color fin hardmask
JP6814377B2 (ja) * 2016-10-20 2021-01-20 東京エレクトロン株式会社 ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN108281413B (zh) 2017-01-06 2019-09-17 联华电子股份有限公司 制作电容器的方法
US9905424B1 (en) * 2017-04-24 2018-02-27 Globalfoundries Inc. Self-aligned non-mandrel cut formation for tone inversion
US10361080B2 (en) * 2017-07-04 2019-07-23 United Microelectronics Corp. Patterning method
TW201917775A (zh) * 2017-07-15 2019-05-01 美商微材料有限責任公司 用於利用放大的epe窗口切割圖案流程的遮罩方案
CN109309091A (zh) 2017-07-28 2019-02-05 联华电子股份有限公司 图案化方法
US10147611B1 (en) * 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
CN109755107B (zh) 2017-11-07 2020-09-29 联华电子股份有限公司 自对准双重图案方法
CN109872946B (zh) 2017-12-04 2020-12-01 联华电子股份有限公司 半导体装置的形成方法
CN109872993B (zh) 2017-12-04 2021-09-14 联华电子股份有限公司 半导体结构的布局、半导体装置及其形成方法
CN109920730B (zh) 2017-12-13 2021-04-20 联华电子股份有限公司 一种图案化方法
CN110021518B (zh) 2018-01-09 2020-12-22 联华电子股份有限公司 自对准双重图案方法
US10170310B1 (en) 2018-02-20 2019-01-01 United Microelectronics Corp. Method of forming patterned structure
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN110707005B (zh) 2018-08-03 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
KR102029127B1 (ko) * 2019-02-08 2019-10-07 영창케미칼 주식회사 반도체 제조 공정에 있어서 실리콘 또는 실리콘 화합물 패턴을 형성하기 위한 신규 방법
KR20210089878A (ko) 2020-01-09 2021-07-19 삼성전자주식회사 미세 패턴의 절단 방법, 이를 이용한 액티브 패턴들의 형성 방법, 및 이를 이용한 반도체 장치의 제조 방법
KR20210117003A (ko) 2020-03-18 2021-09-28 삼성전자주식회사 집적회로 장치 및 그 제조 방법

Family Cites Families (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3800412A (en) * 1972-04-05 1974-04-02 Alpha Ind Inc Process for producing surface-oriented semiconducting devices
JPS5748237Y2 (zh) 1978-12-28 1982-10-22
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
JPS5748237A (en) * 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) * 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS6435916U (zh) 1987-08-28 1989-03-03
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
JP3074713B2 (ja) * 1990-09-18 2000-08-07 日本電気株式会社 半導体装置の製造方法
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JP3019884B2 (ja) * 1991-09-05 2000-03-13 松下電器産業株式会社 半導体装置およびその製造方法
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR0122315B1 (ko) * 1993-12-27 1997-11-26 김주용 고집적 반도체 소자의 미세패턴 형성방법
KR950034748A (ko) * 1994-05-30 1995-12-28 김주용 포토레지스트 패턴 형성방법
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) * 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6046085A (en) * 1997-12-08 2000-04-04 Advanced Micro Devices, Inc. Elimination of poly stringers with straight poly profile
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6087263A (en) * 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US6103596A (en) * 1998-02-19 2000-08-15 Taiwan Semiconductor Manufacturing Company Process for etching a silicon nitride hardmask mask with zero etch bias
JP3111977B2 (ja) * 1998-05-15 2000-11-27 日本電気株式会社 半導体装置の製造方法
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
TW376582B (en) 1998-06-26 1999-12-11 Vanguard Int Semiconduct Corp Method of forming COB DRAM with self-aligned pole and bitline contact plug
US6020255A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6060383A (en) * 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
TW405215B (en) * 1998-10-26 2000-09-11 Nanya Technology Corp The method of isolating the inner metal lining
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
JP3781175B2 (ja) 1998-12-28 2006-05-31 旭化成マイクロシステム株式会社 コンタクトホールの形成方法
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) * 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) * 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
KR100333382B1 (ko) 1999-06-24 2002-04-18 박종섭 반도체 장치의 다층금속배선 형성방법
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6730571B1 (en) * 1999-10-14 2004-05-04 Chartered Semiconductor Manufacturing Ltd. Method to form a cross network of air gaps within IMD layer
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
TW440924B (en) 2000-02-15 2001-06-16 United Microelectronics Corp Reverse-offset spacer process capable of decreasing photolithography limitation
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP2001308220A (ja) * 2000-04-24 2001-11-02 Nec Corp 半導体パッケージ及びその製造方法
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) * 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) * 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
TW462080B (en) 2000-11-10 2001-11-01 Vanguard Int Semiconduct Corp Forming method of MOSFET with recessed-gate beyond photolithography limit
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP4969001B2 (ja) 2001-09-20 2012-07-04 株式会社半導体エネルギー研究所 半導体装置及びその作製方法
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) * 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
JP4058327B2 (ja) 2002-10-18 2008-03-05 富士通株式会社 半導体装置の製造方法
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) * 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
TWI220560B (en) 2003-10-27 2004-08-21 Powerchip Semiconductor Corp NAND flash memory cell architecture, NAND flash memory cell array, manufacturing method and operating method of the same
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) * 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US20060026699A1 (en) * 2004-06-04 2006-02-02 Largaespada David A Methods and compositions for identification of genomic sequences
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
DE102005026228B4 (de) 2004-06-08 2010-04-15 Samsung Electronics Co., Ltd., Suwon Transistor vom GAA-Typ und Verfahren zu dessen Herstellung
JP4543767B2 (ja) * 2004-06-10 2010-09-15 株式会社ニコン 露光装置及びデバイス製造方法
US7208407B2 (en) * 2004-06-30 2007-04-24 Micron Technology, Inc. Flash memory cells with reduced distances between cell elements
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) * 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) * 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8324979B2 (en) * 2009-02-25 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Coupled microstrip lines with ground planes having ground strip shields and ground conductor extensions

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101923285A (zh) * 2009-06-09 2010-12-22 Asml荷兰有限公司 光刻方法和布置
CN101923285B (zh) * 2009-06-09 2013-07-10 Asml荷兰有限公司 光刻方法和布置
CN103545248A (zh) * 2012-07-11 2014-01-29 台湾积体电路制造股份有限公司 半导体器件的制造方法
CN104241117B (zh) * 2013-06-09 2017-05-17 中芯国际集成电路制造(上海)有限公司 图形化方法
CN104425211A (zh) * 2013-08-20 2015-03-18 中芯国际集成电路制造(上海)有限公司 半导体图形化方法
CN104425211B (zh) * 2013-08-20 2017-11-03 中芯国际集成电路制造(上海)有限公司 半导体图形化方法
CN105759560A (zh) * 2016-05-13 2016-07-13 武汉新芯集成电路制造有限公司 组合光罩的版图结构及其形成方法、应用方法
CN110875313A (zh) * 2018-08-30 2020-03-10 长鑫存储技术有限公司 有源区阵列及其形成方法、半导体器件及其形成方法
CN113345800A (zh) * 2020-03-02 2021-09-03 长鑫存储技术有限公司 有源区阵列的形成方法及半导体结构
WO2021175157A1 (zh) * 2020-03-02 2021-09-10 长鑫存储技术有限公司 有源区阵列的形成方法及半导体结构
CN113345800B (zh) * 2020-03-02 2022-09-09 长鑫存储技术有限公司 有源区阵列的形成方法及半导体结构
US11887859B2 (en) 2020-03-02 2024-01-30 Changxin Memory Technologies, Inc. Method for forming active region array and semiconductor structure

Also Published As

Publication number Publication date
US20120228742A1 (en) 2012-09-13
US20080290527A1 (en) 2008-11-27
JP5239854B2 (ja) 2013-07-17
WO2006127586A3 (en) 2007-04-19
TWI299526B (en) 2008-08-01
EP1886340A2 (en) 2008-02-13
US20060263699A1 (en) 2006-11-23
KR20080017391A (ko) 2008-02-26
JP2008546186A (ja) 2008-12-18
KR101284410B1 (ko) 2013-07-15
US7429536B2 (en) 2008-09-30
US9099402B2 (en) 2015-08-04
EP1886340B1 (en) 2013-02-27
WO2006127586A2 (en) 2006-11-30
CN100547731C (zh) 2009-10-07
TW200703512A (en) 2007-01-16
US8207614B2 (en) 2012-06-26

Similar Documents

Publication Publication Date Title
CN100547731C (zh) 形成小型紧密间隔特征阵列的方法
KR100879499B1 (ko) 피치 멀티플리케이션을 이용한 집적회로 제조방법
US9679781B2 (en) Methods for integrated circuit fabrication with protective coating for planarization
US10396281B2 (en) Methods for forming arrays of small, closely spaced features
US7829262B2 (en) Method of forming pitch multipled contacts

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant