CN101243535B - 利用气体注入孔的pecvd的光发射干涉测量 - Google Patents

利用气体注入孔的pecvd的光发射干涉测量 Download PDF

Info

Publication number
CN101243535B
CN101243535B CN2006800300527A CN200680030052A CN101243535B CN 101243535 B CN101243535 B CN 101243535B CN 2006800300527 A CN2006800300527 A CN 2006800300527A CN 200680030052 A CN200680030052 A CN 200680030052A CN 101243535 B CN101243535 B CN 101243535B
Authority
CN
China
Prior art keywords
plasma
substrate
film
emission
spray head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006800300527A
Other languages
English (en)
Other versions
CN101243535A (zh
Inventor
大卫·约翰逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oerlikon Management USA Inc
Original Assignee
Unaxis USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis USA Inc filed Critical Unaxis USA Inc
Publication of CN101243535A publication Critical patent/CN101243535A/zh
Application granted granted Critical
Publication of CN101243535B publication Critical patent/CN101243535B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0658Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of emissivity or reradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis

Abstract

本发明提供了一种用于在基板的等离子体处理期间通过使用放置在位于等离子体系统的上电极中的标准气体喷淋头的标准喷淋头孔内的光纤传感器来提高等离子体工艺的光学检测的方法和设备。可以基于测量的来自基板表面的等离子体发射来计算膜性质。该膜性质可以是膜沉积速率、折射率、膜厚度等。基于测量的膜性质,可以调节和/或终止基板的等离子体处理。另外,提供位于上电极组件中的窗口,用于通过标准的喷淋头孔观察等离子体发射。

Description

利用气体注入孔的PECVD的光发射干涉测量
相关申请的交叉引用
本申请涉及2005年8月18日提交的申请序列号为No.60/709,469、标题为“Optical Emission Interferometry for PECVD using a Gas InjectionHole”的美国临时专利,并要求其优先权,该临时专利申请作为参考包含在这里。
技术领域
本发明涉及等离子体处理设备,更具体地,涉及具有用于监测和控制等离子体处理的光学监测和控制系统的等离子体反应器系统。
背景技术
等离子体处理在半导体器件和硅基微型电路的制造中广泛使用。它们也应用在例如波导和光学器件制造的其它非半导体设备和许多非硅基器件(基于例如GaAs的III-V族材料的器件)中。
等离子体处理通常分为两种,即蚀刻和沉积。在等离子体蚀刻技术中,将基板暴露给反应气体等离子体,并通过形成挥发副产物从该表面去除材料。通过利用不受腐蚀的掩模使基板图案化,可以将图案有效地转移到基板表面层。在等离子体沉积(等离子体增强化学气相沉积(PECVD))中,将气态的前体引入到低压等离子体中,其中进行反应产生沉积在基板上作为薄膜的固态副产物。例如,SiH4和N2O一般用于制造SiO2膜。
对于这两种技术,重要的是在适当的时间或“终点”终止该工艺。对于蚀刻工艺,这可以是去除特定层的点,而对于沉积工艺其可以是沉积了所希望膜厚度的点。描述了基于光学技术的许多终点方法。
光发射光谱术(OES)依靠监测由等离子体发射的辐射并且使在特定波长的发射变化与等离子体变化相关联。当膜被蚀刻和去除时会出现这种变化,因为这会产生等离子体组成上的变化。该技术可应用于监测蚀刻终点,但由于在沉积膜时不会出现这样的变化,所以这对于在沉积工艺中确定膜厚度是没用的。
通过测量由于从膜的上下表面反射的光的干涉而引起的反射光的幅度变化,干涉测量可以用于测量膜的厚度。当膜厚度变化时(在蚀刻工艺的情况下降低,或在沉积工艺中增加),反射光的强度随厚度d以循环的方式变化,对应于1个周期,给出如下:
d=λ/2n
其中:
λ是反射光的波长;和
n是在特定波长的膜的折射率。
一般从外部提供反射的光的源(例如激光器或连续源)。然而,等离子体本身可以用作该源,在这种情况该技术称为光发射干涉测量(OEI)。原则上,该技术对于蚀刻和沉积工艺将都可用。
对于所有光学技术共同的是,需要提供监测发射或反射辐射所需的光学透明且真空密闭的窗口。对于OEI,理想地定位该窗口以便观察一般从基板表面反射的等离子体发射。然而,为了有效,该窗口的存在不应使该等离子体局部混乱,因为这会影响被监测的基板区域。这在采用近的电极间隔以保持良好膜质量的平行板PECVD系统中尤其是如此。而且,对于随着长时间正确运行的技术,在存在反应性等离子体和工艺副产物时该窗口必须保持光学清晰。
Curtis(US专利No.4,328,068)描述了在蚀刻工艺中使用OEI作为终点技术。将用于收集等离子体发射的光管塞进等离子体中并因此扰乱等离子体。而且,没有提供任何措施防止光学部件由于蚀刻工艺随着时间的退化。
同样,Auda等(US专利No.5,223,914)描述了在蚀刻工艺期间利用干涉测量模式的光谱仪测量膜厚度。通过石英窗口观察等离子体,该石英窗口没有提供保护以不受等离子体环境影响。Curtis或Auda等考虑到了监测沉积工艺。
Sawin等(US专利No.5,450,205)使用OEI来利用电荷耦合器件(CCD)检测器阵列监测横跨处理晶片表面的多个点。这使大的窗口(50mm)成为必要,其对于所论述的蚀刻应用来说是可接受的,但对于平行板PECVD应用来说是不可接受的。通过没有提供保护以不受等离子体环境影响的窗口观察该等离子体。
Pirkle等(US专利No.5,846,373)描述了使用OEI来测量沉积工艺中的膜厚度。通过装配在腔室壁中的窗口观察该等离子体,但没有提供任何措施来保护窗口不受沉积的影响。
Chen等(US专利No.6,071,375)论述了借助通过位于等离子体和窗口之间的预腔室的清洗气流保护安装窗口的壁。Chen等没有教导将窗口放置在电极内并且该结构不可应用于平行板PECVD系统。
Ookawa等(US专利No.6,758,941)描述了一种位于喷淋头气体分布电极中的窗口。借助位于电极中的高纵横比的孔保护该窗口不受等离子体环境的影响。在近电极间隔的结构,例如平行板PECVD中,这些特征会局部扰乱等离子体。
需要将窗口装配到平行板PECVD系统中的装置,以便该窗口不扰乱等离子体并且其允许与晶片垂直地观察等离子体,准许利用OEI的膜厚度测量。
因此,需要提高等离子体蚀刻工艺的处理状态功能的最佳化。
在现有技术中没有提供本发明所带来的好处。
因此,本发明的目的是提供一种改进,其克服了现有技术器件的不足,且其构成对半导体处理技术进步的显著贡献。
本发明的另一目的是提供一种用于处理基板的等离子体设备,包括:真空室;用于在所述真空室中产生等离子体的至少一个电源;用于支撑基板的基板基座;具有拥有多个标准喷淋头孔的气体分布系统的上电极组件;与所述标准喷淋头孔的至少一个光通信的检测器,所述检测器测量穿过所述标准喷淋头孔发射的等离子体发射;与所述检测器和所述电源电通信的控制系统;以及布置在所述上电极组件中的光学部件,用于观察穿过所述喷淋头孔的等离子体发射。
本发明的另一目的是提供一种用于监测基板的等离子体处理的方法,该方法包括如下步骤:在真空室内的基板基座上定位该基板;通过上电极组件的气体分布系统的多个标准喷淋头孔引入气体;从所述真空室内的所述气体产生等离子体;在基板的等离子体处理期间监测所述等离子体,利用位于所述上电极组件的所述气体分布系统内的光学部件,通过收集和测量穿过至少一个标准喷淋头孔发出的等离子体发射进行所述的监测;以及基于所述监测步骤终止所述的等离子体。
前面已略述了本发明的一些相关目的。这些目的应解释为仅仅是对所构思的发明的更多主要特征和应用中的一些的说明。可以通过以不同的方式应用所公开的发明或者在该公开的范围内修改本发明来获得许多其它的有益结果。因此,本发明的其它目的和更充分的理解,除了结合附图由权利要求定义的本发明的范围之外,还可通过参考发明内容和具体实施方式来实现。
发明内容
为了概述本发明,本发明包括一种用于在基板的等离子体处理期间通过位于等离子体系统的上电极中的标准气体喷淋头的标准喷淋头孔提高等离子体工艺的光学检测的方法和设备。
本发明的特征在于提供一种用于处理基板的等离子体设备,该设备包括真空室和用于在真空室中产生等离子体的至少一个电源。该真空室具有用于支撑基板的基板基座和上电极组件。基板基座和上电极组件可以是RF供电的和/或RF接地的。具有多个标准喷淋头孔的标准气体分布系统提供在上电极组件中。至少一个光纤传感器定位在标准气体分布系统的至少一个标准喷淋头孔内。由光纤传感器接收的信号(在基板的等离子体处理期间产生的等离子体发射的测量)可以反射自真空室内的基板表面或其它的已知材料。可以对准该光纤传感器以便接收的信号垂直于基板的表面。而且还提供了一种控制系统,其与光纤传感器以及在真空室内产生等离子体的电源电通信,以响应于通过光纤传感器接收的读数(测量结果)终止和/或调节等离子体工艺。另外,提供位于上电极组件中的窗口,用于通过标准的喷淋头孔观察等离子体发射。
本发明的另一特征在于提供一种用于监测基板的等离子体处理的方法。该方法包括将基板定位在真空室内的基板基座上的步骤。通过上电极组件的气体分布系统的多个标准喷淋头孔将处理气体引入真空室中。该喷淋头可以是接地的和/或RF供电的。在真空室内由处理气体产生等离子体。在基板的等离子体处理期间监测所产生的等离子体的光发射。使用位于上电极组件的气体分布系统的至少一个标准喷淋头孔内的至少一个光纤传感器,来检测基板的等离子体处理。由光纤传感器接收的信号(在基板的等离子体处理期间产生的等离子体发射的测量)可以反射自真空室内的基板表面或其它的已知材料。可以对准该光纤传感器以便该信号与基板的表面垂直。而且还提供了一种控制系统,其与光纤传感器以及在真空室内产生等离子体的电源电通信,以响应于通过光纤传感器接收的读数(测量结果)终止和/或调节等离子体工艺。可以基于从基板表面测量的等离子体发射来计算膜性质。该膜性质可以是膜沉积速率、折射率、膜厚度等。可以在300至400纳米的波长上测量来自基板的等离子体处理的等离子体发射。基于测量的膜性质,可以调节和/或终止基板的等离子体处理。基板的等离子体处理可以是蚀刻和/或沉积工艺。在基板的等离子体处理期间可以引入含氮气体。另外,提供位于上电极组件中的窗口,用于通过标准的喷淋头孔观察等离子体发射。
前面概略地,而非广泛地,说明了本发明的更主要的和重要的特征,以便可以更好地理解随后的本发明的详细描述,以使得可以更全面地认识对本领域的贡献。在下文将描述本发明另外的特征,其形成了本发明权利要求的主题。本领域的技术人员应当认识到,可容易地利用公开的原理和具体实施例来作为修改或设计用于执行与本发明相同目的的其它结构的基础。本领域的技术人员还应当明白,这样的等效构造没有脱离在所附权利要求中提出的本发明的精神和范围。
附图说明
图1是典型的现有技术的平行板PECVD系统的示意图;
图2是利用本发明的光纤传感器布置的等离子体系统的标准喷淋头组件的放大(blown up)示意图;
图3是利用本发明的光纤传感器布置的等离子体系统的标准喷淋头孔的放大示意图;
图4是示出经由电极主体的进气口的标准的现有技术隔板的放大图;
图5示出了当使用典型的二氧化硅沉积工艺时通过喷淋头孔观察的光谱图;
图6是等离子体发射强度随着时间变化的图;
图7是膜厚度随着时间变化的图;
图8是逐次运行(run to run)的沉积速率变化的图;和
图9是逐次运行(run to run)的膜厚度变化的图。
贯穿几幅附图,相同的附图标记表示相同的部件。
具体实施方式
公开了这样一种装置:将窗口装配到平行板PECVD系统的上部的RF供电的电极中,该电极用作喷淋头气体分布装置,并且通过标准喷淋头孔观看从基板反射的等离子体发射。
图1示出了典型的PECVD系统的示意截面图。真空室包含用作基板支撑的下加热的电极。在与上电极相同的频率或更高或更低的频率,该电极通常是RF接地的,但可选地可以是RF供电的。上加热的电极具有由阻抗匹配网(未示出)施加的RF功率,并且在两个电极之间的区域中产生了等离子体。如果下电极是RF供电的,则上电极可选地可以是RF接地的。处理气体通过上电极被引入该室中且通过电极内的众多小孔均匀地分散在基板上方,这构成了通常所说的“喷淋头”。喷淋头孔的实际尺寸和分布取决于沉积所使用的具体工艺参数,但对于标准的喷淋头常常使用在0.010″至0.050″范围内的孔直径。这样的设计在本领域中是众所周知的且还可以包含利用中间气体分布“隔板”(图2、4)。利用结合有允许控制处理压力的节流阀的适当泵浦系统(未示出)从该室中泵浦处理气体。
对于PECVD,通常处理压力在1Torr至几Torr范围内。为了在这些压力下保持稳定均匀的等离子体,电极间隔为几厘米至几毫米的数量级。当希望近的电极间隔时,在蚀刻应用中也可使用类似的结构。利用这种小的电极间隔,不能够利用常规的观察口来监测等离子体,因为该观察口的存在会扰乱等离子体。
图2示出了结合有定位在电极主体20中的光学部件的本发明的实例,该部件允许通过喷淋头50的标准喷淋头孔40监测等离子体发射30。等离子体发射30穿过喷淋头孔40、穿过隔板70中的孔60、穿过透明窗口80,并被位于观察口10内的光纤90收集。发射也可通过光纤90直接收集而不需要窗口80,但这是次优解决方案,因为这需要光纤也必须真空密封入电极主体20中。可选地,透镜100定位在隔板70中以增加到达光纤90的光的量。光纤90一般对于30度数量级的辐射具有受光角(acceptance angle)。因此,不必使光纤90与观察口严格地对准,以确保从等离子体30发射的光的有效传送。光纤90使等离子体发射30耦合至合适的辐射检测器(未示出)。这还可包括滤光器或一系列滤光器,或者波长分散部件(棱镜或光栅),以便可以测量不同波长的辐射。方便地,检测器包括允许200nm至850nm波长范围上的同时检测的多通道光谱仪(例如由Ocean Optics制造的型号S2000)。
在正常操作下,喷淋头50后面的容积填充有处理气体,该处理气体通过隔板70中的气体通道65和孔60引入,并通过喷淋头50中的孔40离开进入等离子体区域。没有等离子体存在于该容积内,因此窗口80(以及透镜100,如果存在的话)仅暴露到未反应的气体,并且不会遇到由于等离子体副产物的侵蚀或沉积引起的任何退化。不需要通过额外的屏蔽或气体清洗来提供窗口80的特殊保护。由正常处理气体流产生的保护确保窗口80仅暴露于洁净的环境,所述处理气体流包括所有的处理气体(0.1-10升/min的量级)。同样,不需要修改用于监测等离子体发射30的具体喷淋头孔40。喷淋头孔40是标准的喷淋头孔,可选地其与其它相邻的喷淋头孔一致。由此,在气体分布上没有局部变化,以及不存在工艺的扰乱。没有用于观察等离子体发射30的喷淋头孔40的修改或用于保护窗口80的额外硬件,意味着本发明容易被结合到复杂的固定装置中(在该情况下,结合到高温和RF供电的电极中),并且它的存在不会干扰等离子体,因此也不会以任何方式干扰该处理。
图3示出了标准喷淋头孔40的放大图,并示出了两个直接的等离子体发射30以及从基板110(位于基板基座120上)反射的等离子体发射30穿过喷淋头孔40并被光纤90和检测器接收。
图4示出了现有技术隔板的放大图,其示出经由电极主体的进气口。
图5示出了在使用典型的二氧化硅沉积工艺时通过喷淋头孔观察的频谱。尽管孔尺度小和穿过孔的辐射量有限,但该结构足够敏感来为进一步的分析提供足够的信号。
图5的频谱主要是N2的频谱,其是所使用的沉积工艺的主要组成。观察了300nm至400nm区域中的多个主要分子发射频带,并将它们标记为a、b、c、d。因为所检测的频谱的部分是从基板表面反射的,所以由于薄膜表面处的反射而出现信号干扰。不管基板具有简单的反射表面(例如硅或GaAs晶片)或由于因先前的图案化操作而存在的拓扑而具有复杂的反射,都是如此。因此,在二氧化膜的沉积期间,这些频带的强度随着膜厚度生长以循环方式随着时间变化。这示于图6中。
表1中示出了以上论述的发射频带的波长以及对应于反射强度中的一个循环的计算的厚度。
表1:二氧化硅膜(nf=1.46)的干涉周期
  波长 循环厚度(
Figure 2006800300527_0
)
  “a”=315.9nm 1082
  “b”=337.1nm 1154
  “c”=357.7nm 1225
  “d”=380.5nm 1303
该厚度是基于预测量或预指定的膜的折射率,其在所给出的实例中对于二氧化硅膜中是1.46。得到这个值,从图6的数据直接确定膜厚度。对于发射频带“b”(波长=337.1nm),在图6中将循环标记为1-6,并将对应于每个循环的膜厚度列于表2中。
表2:在337.1nm的膜厚度
  循环数 膜厚度(
Figure 2006800300527_1
)
  1   1154
  2   2308
  3   3462
  4   4616
  5   5770
  6   6924
同样,可以确定与半循环对应的膜厚度。工艺中出现这些点的时间可以通过例如通过检测信号的微分为零的时间来检测信号的局部极大或极小来确定,但也可采用其它众所周知的峰值检测算法。于是能够原位(in situ)确定随着处理进行膜厚度与时间的关系,如图7所示,并由此确定沉积速率。对于最后一个循环(或半循环)得知时间t和厚度d,则沉积速率Rd简单地等于d/t。Rd的更精确的确定可以通过对时间/厚度数据进行线性回归分析来进行。可以每当检测半循环时更新这个值。在工艺中的任何时间tprocess,膜厚度T则为:
T=Rd×tprocess
对于非常厚的膜,由于沉积的膜影响等离子体的特性,Rd随着时间变化,而在这种情况下多项式分析是更合适的。
可使用其它手段从干涉信号提取膜厚度数据。峰之间的峰值计算和内插/外插是众所周知的。还可以使用将该数据转换到频域以及对该数据进行例如傅立叶变换来确定该信号的频率(因此确定循环时间)。从该循环时间,如以上略述的,简单地计算在任何时间的沉积速率和膜厚度。
测量并不限于单一波长,而是可以同时监测和分析多个波长(当前实例中的a、b、c和d)。这提供了更多的数据点和沉积速率的更精确的确定。另外,具有在许多波长上的数据可以允许确定膜的其它性质,例如折射率或膜吸收(n和k值)。
还可通过两个以上的喷淋头孔观察该发射。如果这种孔位于基板上方的不同点处,则可以测量的局部的沉积速率,并从该测量可以确定工艺均匀性。如果至少一个孔定位得远离基板,则通过那个孔传输的发射仅具有直接等离子体发射分量而没有来自基板的反射的贡献(图3)。该直接分量可以用作“背景”信号并且被从直接信号加上从基板上接收的反射信号中减去。这允许仅反射的信号被直接测量。多个点处的测量可以利用多检测器进行,或通过利用单个检测器进行,该单个检测器交替地取样由分离的光纤传输的发射。
尽管以上实例是对于二氧化硅膜给出,监测N2频带,但该技术可同等地应用到其它膜,例如氮化硅、氮氧化硅非晶硅、类金刚石碳(DLC)或其它有机聚合物膜,以及应用到其它波长,这由所使用的具体工艺来确定。
尽管给出的实例基于监测沉积工艺,但该技术同等地适合于监测在包括RIE、PE、ICP或其它众所周知的蚀刻技术的蚀刻工艺中、或者甚至在采用交替的蚀刻和沉积步骤的工艺(例如,“Bosch”或时分多路复用(TDM)工艺)中的厚度变化。
实例
实时的膜厚度的精确测量允许在获得了预定的膜厚度时终止工艺。这示出于图7中,其中在实现了7500
Figure 2006800300527_2
的目标膜厚时终止工艺。利用线性回归分析确定498.8/min的沉积速率。
基于该技术终止工艺相对于通过时间终止的常规方法的优点在于,获得了更好的逐次运行可重复性,导致具有更一致性能的器件。从一次到另一次运行时,沉积速率由于膜积累正常将略微变化,其将改变反应器特性。在固定时间终止工艺不可避免地会导致膜厚度,其还会逐次运行而改变。另外,必须利用等离子体清洗工艺周期性地清洗系统以去除积累的膜。在该工序之后,因为作为清洗循环的结果观察到了工艺中的偏移,所以通常必须重新限定沉积速率。这导致对于该设备的非生产性的停工时间。
为了证实本发明的优点,沉积一系列五个一微米厚的膜,之后是清洗工序,然后沉积第二系列的五个一微米厚的膜。在所有情况下,在观察了在337.1nm的发射的九个干涉循环之后终止工艺(计算的目标膜厚度=1.038微米)。记录了每次运行都变化的实现该厚度需要的时间。从该数据,计算对于每次运行的沉积速率。
每次运行沉积速率的变化示于图8中。当膜在该系统中积累时该速率逐渐漂移,在清洗该室时“复位”且然后继续再次漂移。如果基于固定时间终止该工艺,则膜厚度将准确地跟随着同一趋势。逐次运行的变化为+/-0.75%。仅用五个运行可以清楚地看到该效应;逐次运行的变化幅度会随着运行次数的增加而增加。
图9示出了测量的实际膜厚度,其中很清楚没有随着时间的漂移,并且特别是在腔室清洗后没有变化。逐次运行的变化为+/-0.32%,相对于基于固定时间工艺的方法具有显著提高。希望逐次运行变化的提高随着运行数目增加而更好。
除了工艺终止之外,本发明的另一应用是用于工艺控制。如上所述,在沉积厚膜期间,沉积速率可随着时间而变化。利用OEI来实时测量沉积速率允许将该信息反馈以修改该工艺(例如改变RF功率水平或气体流速)并补偿这样的变化。同样,在多个点监测沉积速率允许确定处理均匀性,然后可以调节该工艺以控制工艺均匀性。如果监测多个波长,则可确定其它的膜性质并且反馈该信息以补偿膜性质的任何变化。通过在多个点,其至少一个点远离基板定位,来监测等离子体,改善该方法。这允许确定在不同波长来自基板的实际反射,如上所述,这对于精确地确定一些膜性质是必要的。
本发明另外的优点是喷淋头内的非退化的窗口的布置允许常规的OES也被执行。在等离子体清洗期间,一般从该室移除任何基板,因此穿过喷淋头孔并被检测器接收的光几乎都不是反射光;相反它是从等离子体直接发出的光(如图3所示)。然后利用公知的用于端点检测的技术直接处理由检测器接收的光。作为实例,当利用氟基工艺(例如,利用CF4、SF6或NF3)清洗该室时,可以监测到在703.7nm的原子氟发射;随着该室被清洗该发射强度将升高,并且可以使用监测该升高的算法来自动地终止该工艺。
本公开包括包含在所附的权利要求以及前述的说明中的内容。尽管以具有一定程度特定性的优选形式描述了该发明,但要明白,本公开的优选形式仅是示例性的,且可采取结构的细节以及部分的组合和布置的众多变化,而不脱离本发明的精神和范围。
以上描述了本发明。

Claims (21)

1.一种用于处理基板的等离子体设备,包括:
真空室;
至少一个电源,其用于在所述真空室中产生等离子体;
基板基座,其用于支撑基板;
上电极组件,其具有观察口以及拥有喷淋头的气体分布系统,所述喷淋头具有多个标准喷淋头孔;
检测器,其与光纤光学连通,所述光纤位于所述观察口中,所述光纤与所述标准喷淋头孔中的至少一个光学连通,所述检测器测量穿过所述标准喷淋头孔传送的等离子体发射;和
控制系统,其与所述检测器和所述电源电通信。
2.根据权利要求1所述的设备,其中所述上电极是RF接地的。
3.根据权利要求1所述的设备,其中所述上电极是RF供电的。
4.根据权利要求1所述的设备,其中所述基板基座是RF接地的。
5.根据权利要求1所述的设备,其中所述基板基座是RF供电的。
6.一种用于监测基板的等离子体处理的方法,该方法包括步骤:
将基板定位在真空室内的基板基座上;
通过上电极组件的气体分布系统的喷淋头引入气体,所述喷淋头具有多个标准喷淋头孔;
在所述真空室内从所述气体产生等离子体;
在基板的等离子体处理期间监测所述等离子体,通过位于观察口中的光纤进行所述监测,所述观察口位于所述上电极组件中,通过收集和测量穿过至少一个标准喷淋头孔传送的等离子体发射来进行所述监测,所述至少一个标准喷淋头孔与所述光纤光学连通;以及
基于所述监测步骤终止所述等离子体。
7.根据权利要求6所述的方法,其中所述喷淋头是接地的。
8.根据权利要求6所述的方法,其中所述喷淋头是RF供电的。
9.根据权利要求6所述的方法,其中所述等离子体发射是从将被等离子体处理的基板的表面反射的。
10.根据权利要求9所述的方法,其中基于测量来自基板表面的等离子体发射来计算膜性质。
11.根据权利要求10所述的方法,其中基于测量从将被等离子体处理的基板垂直反射的等离子体发射来计算所述膜性质。
12.根据权利要求10所述的方法,其中所述膜性质是膜沉积速率。
13.根据权利要求10所述的方法,其中所述膜性质是折射率。
14.根据权利要求10所述的方法,其中所述膜性质是膜厚度。
15.根据权利要求10所述的方法,其中基于所述膜性质调节基板的等离子体处理。
16.根据权利要求10所述的方法,其中基于所述膜性质终止基板的等离子体处理。
17.根据权利要求10所述的方法,其中所述基板的等离子体处理是蚀刻工艺。
18.根据权利要求10所述的方法,其中所述基板的等离子体处理是沉积工艺。
19.根据权利要求10所述的方法,其中所述基板的等离子体处理进一步包括从含氮气体产生等离子体。
20.根据权利要求10所述的方法,其中在一定波长上测量所述等离子体发射。
21.根据权利要求20所述的方法,其中在300至400纳米范围内测量所述等离子体发射。
CN2006800300527A 2005-08-18 2006-08-15 利用气体注入孔的pecvd的光发射干涉测量 Active CN101243535B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US70946905P 2005-08-18 2005-08-18
US60/709,469 2005-08-18
US11/502,585 US7833381B2 (en) 2005-08-18 2006-08-10 Optical emission interferometry for PECVD using a gas injection hole
US11/502,585 2006-08-10
PCT/US2006/031759 WO2007022144A1 (en) 2005-08-18 2006-08-15 Optical emission interferometry for pecvd using a gas injection hole

Publications (2)

Publication Number Publication Date
CN101243535A CN101243535A (zh) 2008-08-13
CN101243535B true CN101243535B (zh) 2011-01-26

Family

ID=37307274

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800300527A Active CN101243535B (zh) 2005-08-18 2006-08-15 利用气体注入孔的pecvd的光发射干涉测量

Country Status (6)

Country Link
US (1) US7833381B2 (zh)
EP (1) EP1917674B1 (zh)
JP (1) JP2009505429A (zh)
CN (1) CN101243535B (zh)
AT (1) ATE515788T1 (zh)
WO (1) WO2007022144A1 (zh)

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080214007A1 (en) * 2007-03-02 2008-09-04 Texas Instruments Incorporated Method for removing diamond like carbon residue from a deposition/etch chamber using a plasma clean
US7907260B2 (en) * 2007-06-29 2011-03-15 Lam Research Corporation Collimator arrangements including multiple collimators and implementation methods thereof
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
JP5191857B2 (ja) * 2008-10-08 2013-05-08 東京エレクトロン株式会社 基板処理方法,基板処理装置,記憶媒体
CN102804354B (zh) * 2010-03-05 2015-07-08 应用材料公司 气体分布器的多个气体喷嘴的流动性质的测量
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102776488B (zh) * 2011-05-10 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 化学气相沉积反应腔装置及具有其的化学气相沉积设备
US20130273237A1 (en) * 2012-04-12 2013-10-17 David Johnson Method to Determine the Thickness of a Thin Film During Plasma Deposition
US9840778B2 (en) * 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
DE102013203996A1 (de) * 2013-03-08 2014-09-11 Von Ardenne Anlagentechnik Gmbh Vorrichtung und Verfahren zur Messung der Plasmastöchiometrie bei der Beschichtung eines Substrates
CN103614703B (zh) * 2013-11-01 2016-01-20 浙江正泰太阳能科技有限公司 采用等离子体增强化学气相沉积制备减反射膜的方法
JP6286215B2 (ja) 2014-01-28 2018-02-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN104241793A (zh) * 2014-09-23 2014-12-24 长飞光纤光缆股份有限公司 一种用于微波传输的弯波导
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106935467B (zh) * 2015-12-31 2018-11-06 中微半导体设备(上海)有限公司 一种电感耦合等离子处理器
EP3200218A1 (en) * 2016-01-31 2017-08-02 Soleras Advanced Coatings bvba Monitoring device in a vacuum environment
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN108257838B (zh) * 2016-12-29 2020-10-02 中微半导体设备(上海)股份有限公司 带干涉仪的防止等离子体进入内部的气体喷嘴及其工作方法
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102111206B1 (ko) * 2017-09-05 2020-05-14 도쿄엘렉트론가부시키가이샤 플라즈마 프로브 장치 및 플라즈마 처리 장치
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030038112A1 (en) * 2000-03-30 2003-02-27 Lianjun Liu Optical monitoring and control system and method for plasma reactors
CN1452778A (zh) * 2000-02-22 2003-10-29 能源变换设备有限公司 用于薄膜材料的沉积和/或表面改性的电子束/微波气体喷射pecvd方法和设备
US6758941B1 (en) * 1999-06-02 2004-07-06 Tokyo Electron Limited Plasma processing unit, window member for plasma processing unit and electrode plate for plasma processing unit

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4328068A (en) 1980-07-22 1982-05-04 Rca Corporation Method for end point detection in a plasma etching process
US4496425A (en) 1984-01-30 1985-01-29 At&T Technologies, Inc. Technique for determining the end point of an etching process
DE3901017A1 (de) 1989-01-14 1990-07-19 Leybold Ag Verfahren und vorrichtung zur ueberwachung des schichtabtrags bei einem trockenaetzprozess
US5223914A (en) 1989-04-28 1993-06-29 International Business Machines Corporation Follow-up system for etch process monitoring
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US5242532A (en) 1992-03-20 1993-09-07 Vlsi Technology, Inc. Dual mode plasma etching system and method of plasma endpoint detection
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
DE69510032T2 (de) 1995-03-31 2000-01-27 Ibm Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US6071375A (en) 1997-12-31 2000-06-06 Lam Research Corporation Gas purge protection of sensors and windows in a gas phase processing reactor
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
JP4444428B2 (ja) 2000-01-28 2010-03-31 東京エレクトロン株式会社 エッチング深さの検出方法並びにエッチングモニター装置及びエッチング装置
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US6641747B1 (en) 2001-02-15 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for determining an etch endpoint
US6977184B1 (en) 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
JP4659359B2 (ja) * 2001-12-31 2011-03-30 東京エレクトロン株式会社 材料処理の方法および材料処理のためのシステム
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
JP3821065B2 (ja) 2002-07-04 2006-09-13 東京エレクトロン株式会社 プラズマ処理装置、上部電極カバー、及び上部電極カバー用窓部
US20040040658A1 (en) 2002-08-29 2004-03-04 Tatehito Usui Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
JP4165638B2 (ja) 2002-09-02 2008-10-15 東京エレクトロン株式会社 プロセスの監視方法及びプラズマ処理装置
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US7001529B2 (en) 2002-10-18 2006-02-21 Lam Research Corporation Pre-endpoint techniques in photoresist etching
JP4668522B2 (ja) 2003-03-31 2011-04-13 東京エレクトロン株式会社 プラズマ処理方法
US20040237888A1 (en) 2003-05-30 2004-12-02 General Electric Company Optical monitoring system for plasma enhanced chemical vapor deposition
JP4500510B2 (ja) 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
JP4349848B2 (ja) 2003-06-12 2009-10-21 パナソニック株式会社 終点検出方法および終点検出装置
US7033518B2 (en) 2003-06-24 2006-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for processing multi-layer films
JP4448335B2 (ja) 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6997184B2 (en) * 2004-04-19 2006-02-14 Donohue Timothy J Cool air inhaler
JP4601439B2 (ja) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ プラズマ処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6758941B1 (en) * 1999-06-02 2004-07-06 Tokyo Electron Limited Plasma processing unit, window member for plasma processing unit and electrode plate for plasma processing unit
CN1452778A (zh) * 2000-02-22 2003-10-29 能源变换设备有限公司 用于薄膜材料的沉积和/或表面改性的电子束/微波气体喷射pecvd方法和设备
US20030038112A1 (en) * 2000-03-30 2003-02-27 Lianjun Liu Optical monitoring and control system and method for plasma reactors

Also Published As

Publication number Publication date
EP1917674B1 (en) 2011-07-06
US20070039548A1 (en) 2007-02-22
US7833381B2 (en) 2010-11-16
ATE515788T1 (de) 2011-07-15
JP2009505429A (ja) 2009-02-05
EP1917674A1 (en) 2008-05-07
CN101243535A (zh) 2008-08-13
WO2007022144A1 (en) 2007-02-22

Similar Documents

Publication Publication Date Title
CN101243535B (zh) 利用气体注入孔的pecvd的光发射干涉测量
US8009938B2 (en) Advanced process sensing and control using near infrared spectral reflectometry
JP5584388B2 (ja) 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ
US5846373A (en) Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
KR101384277B1 (ko) 가변 프로세스 가스 분포를 갖는 마스크 에칭 플라즈마반응기
US20160042917A1 (en) Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
TW201841189A (zh) 電漿處理裝置及電漿處理方法
US8017029B2 (en) Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
KR20050053715A (ko) 플라즈마 처리 시스템을 구비한 광학 시스템용 장치 및방법
US6762849B1 (en) Method for in-situ film thickness measurement and its use for in-situ control of deposited film thickness
JP2003529946A (ja) プラズマ反応炉のための光学的なモニタ及び制御のシステム及び方法
JP7189719B2 (ja) プロセスパラメータを決定するための方法及び装置
TWI575104B (zh) 用於在電漿沉積過程中測定薄膜之厚度的方法
US6068783A (en) In-situ and non-intrusive method for monitoring plasma etch chamber condition utilizing spectroscopic technique
CN111406304A (zh) 等离子体室壁状况的实时监测方法和装置
KR100938947B1 (ko) 종료점 감지를 위한 장치 및 방법
US20040255853A1 (en) PECVD reactor in-situ monitoring system
KR102554542B1 (ko) 가스 배기 부산물 측정 시스템
WO2019168733A1 (en) Method monitoring chamber drift
JP2002093870A (ja) エッチング深さ測定方法および装置、エッチング方法
TWM350205U (en) Gas injection nozzle
JPH08298257A (ja) 発光分光を用いたドライエッチング方法
KR200461689Y1 (ko) 노즐들 및 가변 가능한 공정 가스 분배를 구비한 플라즈마반응기
CN116288269A (zh) 一种薄膜沉积设备和一种薄膜沉积方法
KR20030094904A (ko) 반도체 제조설비의 투시창

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant