CN101472814A - 多槽负载锁定室及其操作方法 - Google Patents

多槽负载锁定室及其操作方法 Download PDF

Info

Publication number
CN101472814A
CN101472814A CNA2007800004299A CN200780000429A CN101472814A CN 101472814 A CN101472814 A CN 101472814A CN A2007800004299 A CNA2007800004299 A CN A2007800004299A CN 200780000429 A CN200780000429 A CN 200780000429A CN 101472814 A CN101472814 A CN 101472814A
Authority
CN
China
Prior art keywords
substrate
cavity
transmit
substrate holder
treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800004299A
Other languages
English (en)
Inventor
S·栗田
S·安瓦尔
李皆淳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101472814A publication Critical patent/CN101472814A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

本发明实施例包括一负载锁定室、一具有一负载锁定室的处理系统以及在大气及真空环境间传递基板的方法。在一实施例中,该方法包含在一室本体中的传递空腔内部保持一已处理的基板达两个通气周期。在另一实施例中,该方法包含由一传递空腔传递一基板至一位于室本体中的加热空腔,并在加热空腔中加热基板。在另一实施例中,一负载锁定室包含一室本体,该室本体具有设置于一传递空腔中的基板支架。基板支架可在一第一高度及一第二高度间移动。多个凹槽形成于传递空腔的天花板或地板至少其中一个内,且该等凹槽是配置用以当基板支架位于第二高度时,容纳至少一部分的基板支架。

Description

多槽负载锁定室及其操作方法
技术领域
本发明的实施例大体上是关于一种用于真空处理系统的负载锁定室及其操作方法。
背景技术
目前两种快速发展的技术领域为薄膜电晶体及光电装置。由平面面板技术形成的薄膜电晶体(TFT)通常用于主动矩阵显示器,例如电脑及电视屏幕、移动电话显示器、个人数位助理(PDA)及数目渐增的其他装置。一般来说,平面面板包含两片玻璃板,其间夹有一层液晶材料。至少一片玻璃板上包含一导电薄膜,该导电薄膜耦合至一电源。由电源供应给导电薄膜的电力改变晶体材料的定向,产生一图案显示。
光电装置(PV)或太阳能电池是将阳光转换为直流(DC)电力的装置。PV或太阳能电池典型具有一或多个形成于一面板上的p-n接面。各接面包含两个位于一半导体材料内的不同区域,其中一侧为p型区域而另一侧为n型区域。当PV电池的p-n接面暴露于阳光(由来自光子的能量构成)下时,阳光透过PV作用而直接转换为电。一般说来,需要高品质硅材料以制造出高效率的接面装置,亦即,每单位面积具有高功率输出。由于以习知低温等离子体增强化学气相沉积(PECVD)制程制造的非晶硅(amorphoussilicon,a-Si)薄膜的成本低廉,故已广泛地用作PV太阳能电池中的硅基面板材料。
随着平板技术受到市场欢迎,并且需要更有效率的PV装置来补偿遽增的能量成本,对较大面板、较高生产率及较低制造成本的需求驱动了设备制造商研发适于容纳较大尺寸基板的新系统,以供平板显示器及PV装置制造商使用。当前的基板处理设备通常配置用以容纳稍大于约二平方公尺的基板。可预期得到,在不久的将来将设计出适合更大型基板尺寸的处理设备。
用来制造这类大基板的设备对制作者来说代表实质上的投资成本。习用的系统需要大而昂贵的硬件。为了抵销此投资成本,高基板产量是非常需要的。
在负载锁定室(load lock chamber)内部的基板的加热及/或冷却动作对达成高系统产量来说是一大要点。随着可预期到未来处理系统将处理甚至更大尺寸的基板,对均匀快速地加热及冷却大面积基板的需求亦是极重要的考量。就这一点而言,有关提升均匀温度调整及高热传递率的进展亦受到高度期待。
因此,需要一种有助于快速并均匀加热及冷却较大面积基板的改良方法及设备。
发明内容
本发明实施例包括一负载锁定室、一具有一负载锁定室的处理系统以及一用于在大气环境及真空环境间传递基板的方法。在一实施例中,用于在大气及真空环境间传递基板的方法包含在一负载锁定室本体中的基板传递空腔内保持一已处理过的基板达两个通气周期(venting cycles)。在另一实施例中,一种用于传递基板的方法包含由一传递空腔传递一基板至一形成于负载锁定室本体中的加热空腔,并在加热空腔中加热基板。
在另一实施例中提供一种负载锁定室,其包含一室本体,该室本体具有一配置于一基板传递空腔中的基板支架。基板支架可在一第一高度及一第二高度间移动。多个凹槽形成于基板传递空腔的天花板或地板至少其中一个内,且该等凹槽是配置用以当基板支架位于第二高度时,容纳至少一部分的基板支架。
在又另一实施例中,提供一种基板处理系统,其包含一内部配置有一基板传递机械手臂的基板传递室、一或多个耦合至传递室的真空处理室以及一负载锁定室。负载锁定室具有一耦合至传递室的本体。负载锁定室的本体包含第一及第二冷却的传递空腔及一加热空腔。每个冷却的传递空腔具有多个基板储存狭槽。
附图说明
为了获得并详细了解本发明的上述特征,可通过参照绘于附图中的实施例来阅读简短整理如上的本发明更详细的叙述。然而,须注意附图只说明此发明的典型实施例,因此不能视为本发明范围的限制,因为本发明可容许其他等效实施例。
图1为具有本发明一负载锁定室实施例的示范群集设备(cluster tool)的面图;
图2为沿着图1的剖面线2-2所取得的负载锁定室的剖面图;
图3为图1的负载锁定室的部分剖面图;
图4A为图1的负载锁定室的另一部分剖面图;
图4B为一负载锁定室内部的另一实施例的部分等角视图;
图4C为一负载锁定室内部的另一实施例的部分剖面图;
图5为图1的负载锁定室的另一部分剖面图;
图6为一用于在大气环境及真空环境间传递基板的方法的流程图;
图7为一用于在大气环境及真空环境间传递基板的方法实施例的流程图的另一实施例;
图8为一多室型负载锁定室的另一实施例的侧视剖面图;
图9为一用于在大气环境及真空环境间传递基板的方法的另一实施例的流程图;及
图10是一图表,其说明用以实行图9方法的图8负载锁定室的一空腔的真空条件。
为了便于了解,已尽可能将各图式中共有的相同元件标示以同一元件符号。在无需进一步说明的情况下,能预期一实施例中的多个元件可有利地应用于其他另实施例中。
主要元件符号说明
100         群集设备               102        工厂接口
104         负载锁定室             106        传递室
108         处理室                 110        真空机械手臂
112           大气机械手臂                    114            匣
116           基板                            202            本体组件
204           顶板                            206            底板
208           传递空腔                        210            传递空腔
212           加热空腔                        216            存取端口
218           支架结构                        220            内容积
224           通路                            226            插针
228           源                              232            凹部
234           箭头                            236            孔
238           通气通路                        240            扩散器
242           气室                            244            罩
248           本体                            250            压力控制
252           源                              254            排气系统
256           控制阀                          258            阀
260           端口                            262            端口
266           加热器                          268            源
276           孔径                            280            控制器
282           CPU                             284            存储器
286           支持电路                        294            致动器
296           条杆                            298            内板
302           上部表面                        304            下部表面
306           间隔                            308            凹部
312           第一隔片                        314            第二隔片
316           隔片                            318            狭槽
320           密封组件                        322            伸缩囊
324           钳夹块                          330            狭槽
382           螺栓                            386            O形环
402           上部致动器                      404            下部致动器
440           特征                            442            上部基板支架
444              下部基板支架                  502             狭槽
600              方法                          602             传递步骤
604              排空步骤                      606             传递步骤
608              传递步骤                      610             通气步骤
612              重复                          700             方法
702              传递步骤                      704             排空步骤
706              传递步骤                      708             选择的处理步骤
710              传递步骤                      712             加热步骤
714              传递步骤                      800             负载锁定室
802              本体                          804             致动器
806              上部传递空腔                  808             下部传递空腔
810              第一狭槽                812             第二狭槽
814              门                            816             存取端口
818              基板支架                      820             第三狭槽
822              第四狭槽                      824             通路
826              源                            828             壁
830              隔离板                        832             通道
834              源                            866             加热器
868              源                            900             表格
902              时间行                        904             状态行
906              狭槽1及2动作行                908             狭槽3及4动作行
具体实施方式
本发明提供一种适用于有效加热及冷却大面积基板的负载锁定室。本发明亦提供一种使用该负载锁定室在一真空环境(如于一传递室中)及一大气环境(如于一工厂接口)间传递基板的方法。虽然以下参照加州圣克拉拉市的应用材料公司的负载锁定室配置来提供有数种负载锁定室及用于传递基板的方法的特定实施例,然而可预期本发明特征及方法亦可能适用于其他负载锁定系统,包含那些来自其他制造商的系统。
图1为具有本发明负载锁定室实施例104的示范性群集设备100的平面图。群集设备100包含一工厂接口102,其通过负载锁定室104耦合至传递室106。工厂接口102通常包含多个基板储存匣114及大气机械手臂112。大气机械手臂112帮助在匣114及负载锁定室104间传递基板116。多个基板处理室108耦合至传递室106。真空机械手臂110配置于传递室106中,以帮助在负载锁定室104及处理室108间传递基板116。
负载锁定室104通常包含多个可环境隔离(environmentally-isolatable)的空腔,各空腔具有一或多个定义于其内的基板储存狭槽。负载锁定室104运作以在工厂接口102的周围或大气环境及保持在传递室106中的真空环境间传递基板116。
图2描述本发明负载锁定室104的一实施例。负载锁定室104包含一由刚性材料(例如不锈钢、铝或其他适当材料)制成的本体组件202。本体组件202可由多个构件装配成一无漏结构。可由本发明得到益处的适当本体组件是描述于2006年1月13日提出申请的美国专利申请案第11/332,781号中,其全文并入本文中以供参照。或者,本体组件202可具有其他配置及/或由单块材料制成。
在一实施例中,本体组件202包含顶板204及底板206,并且两者之间夹有多个环形本体248。多个内板198配置于该等本体248之间。板204、206、298圈围住该些定义在各个本体248内的内容积220。在图2所示的实施例中,上部及下部内容积220是配置作为基板传递空腔208、210,而由中间本体248所圈围出的内容积220则作为加热空腔212。
顶板及底板204、206通过多个连结件以允许在顶板及底板204、206至少其中一个与本体248间做相对移动的方式密封地耦合至本体248。举例来说,顶板及底板204、206至少其中一个是以非熔接方式耦合至本体248。在由板204、206施加至侧壁上的力量不是重要考量点的实施例中,顶板及底板204、206与本体248可通过熔接的方式来耦合。
此外,参照图3所示的本体组件202的部分剖面图,至少一隔片316设置在顶板204的下表面302及本体248的上表面304之间。隔片316将顶板204及室本体248分隔开来,以便在其间定义出一间隔306。在一实施例中,隔片316为一构件(member),其平面面积远小于室本体248的上表面304的平面面积。举例来说,多个隔片316可沿着室本体248的一侧配置于上表面304上。
隔片316的厚度经过选择,以便垫片或O形环386能适当压缩以保持介于该等板及本体间的真空密封状态,同时防止顶板204在真空或其他应力条件下接触室本体248。同样地,一或多个隔片316设置于底板206及室本体248之间,以使底板206及室本体248间保持一间隔306。
在图3所示的实施例中,显示第一隔片312及第二隔片314是配置于顶板204及室本体248之间。隔片312、314由一材料制成,该材料自身间(亦即,隔片312与隔片314间)的摩擦是数低于隔片与室本体248及/或隔片与顶板204间的摩擦是数。因此,当室本体248及顶板204由于真空、热或其他力而相对于彼此移动时,顶板204及第一隔片312可不受约束地在第二隔片314(及本体248)上横向移动,同时防止顶板204及本体248接触。
在一实施例中,隔片312、314为圆盘。圆盘可为配置在用于固定本体组件202的螺栓382周围以提供组件活动自由度的垫圈。由于滑动构件(例如隔片312、314)减少相对于本体248的上表面304的接触面积,开始移动时所需的力量因而减少。此外,由于隔片312、314的接触表面在垫片286外侧,可有利地防止任何在隔片312、314滑动期间所产生的微粒进入负载锁定室104的内容积220中。预期隔片316可为延伸在间的一肋状物或其他特征,以在板及本体之间保持一间隔。亦预期隔片可整合在该等板或本体的任一者中(亦即,具有单一构造)。
在图3所示的实施例中,一凹部308形成于本体248的上表面304中,用以放置第二隔片314。选用性地,一凹部(未显示)可形成于顶板204中以放置第一隔片312。凹部308(未显示)具有一经过选择的深度,能使隔片314延伸超出上表面304,以确保第一隔片312可不受约束地相对于本体248横向滑动。
为了进一步使施加于负载锁定室104的顶板204上的力的作用效果达到最小,故于顶板204中形成至少一狭槽318。狭槽312允许顶板204的中央区域做移动、偏移及/或膨胀等动作,同时使顶板边缘的移动效应降至最小。密封组件320配置于狭槽318以防止泄漏物进入负载锁定室104的内容积220中。在图3所示的实施例中,密封组件320包含通过钳夹块324钳夹至顶板204上的垫片或伸缩囊322。同样地,底板206包含至少一个由密封组件320密封的狭槽330,如上文所述。
回到图2,两个基板存取端口216穿过本体248的相对侧壁而形成,以允许基板进入及离开基板传递空腔208、210的内容积220。在图2中只显示出其中一个端口216。加热空腔212包含至少一个基板存取端口216,其定义于本体248与该传递室106耦合的一侧上,以便真空机械手臂110(示于图1)进出传递空腔212的内容积220。基板存取端口216可选择地由此技术中已习知的气密门来密封。适于由本发明得利的气密门(slitvalve door)描述在于2004年6月14日由Tanase等人所提出且标题为「弯曲气密门」的美国专利申请案第10/867,100号中,其全文并入于此以供参照。
加热空腔212可选用性地具有一第二基板存取端口(未显示于图2)。第二基板存取端口可选择由一气密门密封,或者由一空白板密封,因为该基板存取端口主要用于空腔维修。
在一实施例中,板204、206、298至少其中一个可配置为一温度调节板。一或多个通路224可形成在板204、206、298中并且耦合至流体源228。流体源228提供一热传导流体,其循环通过该等通路142,以调节(亦即,加热及/或冷却)板204、206、298的温度,并且最终调节基板116的温度。借着冷却该些板204、206、298,可有效地冷却该些经过处理后返回的热基板,而不需利用配置于空腔208、210内的独立的习知冷却板。
加热空腔212通常包含一或多个配置于内容积220中的加热器266,以选择性地加热基板116。在图2所示的实施例中,多个加热器266是耦合至内板298的面向位于加热空腔212中的基板116的至少一表面。该些加热器266可为灯、电阻加热元件或其他适合的加热装置。位于基板116上方及下方的加热器266位置有利于快速辐射加热基板。该些加热器266独立地耦合至电源268。此配置允许独立控制每个加热器266,以便基板116的温度曲线可随所需而修改,举例来说,可均匀加热基板及/或使基板一区域的加热速度快于第二区域。在图2所示的实施例中,加热器266是安排为允许基板116的中央的加热速度与基板周围的加热速率不同。
参照第2至4A图,多个基板支架结构218配置于传递空腔208、210的内容积220中。基板支架结构218通常设置用以各自支撑单一基板。支架结构218的高度可选择地受控,以便可选择地调整基板与冷却板(或加热器266)的靠近度。支架结构218的高度亦可控制,以利于透过端口216进行基板交换。在一实施例中,各基板支架218耦合至一或多个致动器294,以便独立控制每个支架218在各空腔内部的高度。预期可使用其他基板支架结构替代。
在一实施例中,基板支架结构218包含耦合至致动器294的一板或多个条杆。该些条杆296跨越支撑于其上的基板下侧,以助于条杆耦合至致动器294。
多个插针(pin)226可从条杆296延伸而出,以在其上支撑基板116。支撑基板116的插针226的末端可为圆形及/或包含一球,以减少基板116的底部表面与插针226间的动摩擦,并防止基板刮伤。在图2所示的实施例中,一球设置于各插针226的末梢端点处。由球使摩擦减少,允许基板支撑于插针226上时可轻易地膨胀及收缩而不会刮伤基板。其他适当的基板支架描述于2003年3月5日提出申请的美国专利第6,528,767号、2001年10月27日提出申请的美国专利申请案第09/982,406号及2003年2月27日提出申请的美国专利申请案第60/376,857号中,所有文献全文并入于此以供参照。插针226通常安排成利于使用一机械手臂端效器进行基板交换。插针226同时耦合至用以形成加热空腔212的地板的内板298,以在加热空腔中支撑一基板。
为了增加基板及室本体248间的热传作用,基板支架218可移动支撑于其上的基板,使基板紧邻传递空腔208、210的地板(或天花板)。可以根据基板温度来调整介于基板及传递空腔的地板/天花板间的距离。举例来说,经处理后返回的热基板可能超过摄氏240度。欲防止形成冷凝及/或热应力,热基板可保持在距离传递空腔的地板/天花板一大距离处。一旦热基板已充分冷却,举例来说,至约摄氏140度,则冷却的基板可移动至较接近传递空腔的地板/天花板处以增加热传递效率,从而允许以一较快速率获得较冷的基板温度,亦增加基板产量。
欲进一步增加基板及传递空腔208、210的地板/天花板间的热传作用,基板支架218可配置以与传递空腔的地板及/或天花板互相插入(interfit)。此允许介于基板及室本体组件202间的距离达到最小,并且在某些实施例中,允许基板与室本体组件202接触,以有效利用流过该些通路224的热传导流体的热交换作用。
图5绘示内板298一实施例的剖面图,其设置成可与基板支架218互相插入。板298包含狭槽502(在图5中显示一个),其设置用来让基板支架218的条杆296在其中移动。在一实施例中,狭槽502的深度可经过选择,以允许当条杆296移动至狭槽502底部时,板298可将基板由插针226上抬起。或者,狭槽502或条杆296的移动可设计成用以保持插针226上支撑的基板116紧邻该板,如此可利用循环流经通路224的流体充分冷却基板。第二传递空腔210是同样地配置有狭槽502,其形成于内板298的下部中。
图4B为负载锁定室内部的另一实施例的部分等角视图。在图4B所示的实施例中,用来控制下部基板支架444的高度的致动器404通过形成于上部基板支架442中的特征440,故而能对准致动器402、406。因此,基板支架442、444可设置成其在负载锁定室内容积中具有相同的投影表面面积,例如相同的台面面积(footprint),从而使负载锁定室的本体壁能位于更接近基板支架442、444的处,以减少负载锁定室的内容积,从而有利地获得较低的抽泵及通气时间。在图4B所示的实施例中,特征440是一个贯穿上部基板支架442而形成的孔。并可预期到,该特征440可替代地为一缺口、一凹槽、一狭槽、切除部份或其他介于上部及下部基板支架442、444间的几何差异处,其能让用来控制下部基板支架444高度的致动器440在不受上部基板支架442阻碍的情况下耦合至下部基板支架444。亦预期到,该对致动器402、404可同中心对齐,并且使该下部致动器的致动杆464套迭穿过上部致动器402的杆462及上部基板支架442的特征440,如图4C所示。
再次回到图2,压力控制系统250耦合至负载锁定室104,用以控制本体组件202的内容积220中的压力。压力控制系统250通常包含一气体源252及一排气系统254。气体源252耦合到至少一个贯穿室本体组件202所形成的入口端口260。气体源252提供一通气气体,用于提高及/或调节室本体组件202的内容积220中的压力。举例来说,气体源252可使该通气气体(vent gas)流入传递空腔208、210的内容积220中,以帮助基板116由一真空环境传递至一周围环境。在一实施例中,通气气体包含氮、氦、空气或其他适当气体中至少其一。选择性地,加热空腔212可能不包含一入口端口,如在一实施例中,空腔212可恒定保持在操作时的真空压力下。
入口控制阀256是配置在气体源252及入口端口260间,以选择性地控制通气气体流入本体组件202的内容积220中。入口控制阀256在真空条件下能够提供一实质气密的密封效果。在一实施例中,气体源252配置用以控制通气气体的属性,例如通气气体的流速、温度及/或湿度。
在图2所示的实施例中,入口端口260通过一通气通路238耦合至一或多个扩散器240。扩散器240形成于顶板204(或其他板)的内侧,以便将欲流入内容积220的气体导向基板116的顶部。此种配置设计有利于协助冷却基板116,同时在处理基板116后,通气负载锁定室104。
在一实施例中,扩散器240形成于定义在板204、298的底部表面中的凹部232内。罩244覆盖凹部232,以定义出该等板中的气室(plenum)242。连接孔236用以让气室242流体连通地耦合至通气通路238。多个孔径276贯穿该罩244而形成,以允许通气气体由气体源252流过气室242进入内容积220,如箭头234所示般。虽然扩散器240主要用来引导通气气体进入负载锁定室104,但可想见该等扩散器240亦可用于排空室104的内容积220。
排气系统254通常耦合到至少一个贯穿该室本体组件202而形成的排气端口262。排气系统254配置用来从负载锁定室104的内容积220移除气体。排气系统254可包含一或多个真空帮浦(未显示),并且最终可耦合至设施排气系统(亦未显示)。举例来说,排气系统254可由内容积220抽出气体以帮助基板116由一周围环境传递至一真空环境。
排气控制阀258配置在排气系统254及排气端口262间,以选择性地控制离开本体组件202的内容积220的气体流动。排气控制阀258通常类似于入口控制阀256,且在真空条件下能够提供实质气密的密封效果。
控制器280耦合至负载锁定室104以控制其运作。控制器280包含中央处理单元(CPU)282、支持电路286、及存储器284。CPU 282可为任何形式的电脑处理器,其可用于工业设定以控制不同的腔室及子处理器。支持电路286耦合至CPU 282,并以习用的方式支援处理器。这些电路包含快取装置、电源供应、时钟电路、输入/输出电路系统、子系统及其类似物等。存储器284耦合至CPU 112。存储器284或电脑可读媒体,可为一或多个立即可用的存储器,例如随机存取存储器(RAM)、唯读存储器(ROM)、软碟、硬碟或任何其他形式、局部或远端的数位储存装置。
一方法,例如下文所述的基板传递方法之一,通常以软件常式的形式储存在存储器284中。软件常式亦可由一第二CPU(未显示)来储存及/或执行,该第二CPU是位于远离由CPU 282所控制的硬件处。
虽然文中所述的本发明方法是以一软件常式加以实施,此处所揭示的某些方法步骤可在硬件中和由软件控制器执行。就这一点而言,本发明可实施成能在电脑系统上执行的软件、作为特定用途的整合电路或其他实施类型的硬件、或为软件及硬件的组合。
图6为在大气环境及真空环境间传递基板的方法实施例600的流程图。方法600可储存于存储器284中,由控制器280执行,并利用此处所述的负载锁定室104实施。亦预期方法600可在其他适宜的负载锁定室中实行。
方法600始于步骤602,其由一大气环境(例如工厂接口102)传递一第一未处理基板至配置在负载锁定室本体组件202中的第一传递空腔208内的第一基板支架218上。第一传递空腔208内另外具有放置在第二基板支架218上的第一已处理基板。在步骤604,第一基板传递空腔的压力被排空至实质等于一相邻真空环境(例如传递室106)的压力。在排空步骤604期间,可冷却该第一已处理基板。在一实施例中,可通过移动基板至非常接近及/或接触第一基板传递空腔的地板的位置来冷却第一已处理基板。由于当形成于第一基板空腔的地板内的通路224具有冷却流体循环于其中时,第一已处理基板因而充分且快速地被冷却。
在步骤606,第一未处理基板由第一基板支架传递至真空环境中。在步骤608,一第二已处理基板由真空环境传递至位于第一已处理基板上方的第一基板支架。
该方法可继续进行至步骤610,通过通气第一基板传递空腔,并由第二基板支架传递第一已处理基板至大气环境(例如工厂接口102)。在步骤612,可重复步骤602至610以在大气及真空环境间移动更多的基板。尤其,方法600要求由该些欲从传递室返回至工厂接口的热基板需在负载锁定室中滞留至少两个通气周期。此有利于将未处理基板快速传送至传递室中,同时允许已处理的基板在负载锁定室中停留较长时间以确保基板均匀冷却而没有过度产生热应力、冷凝或其他缺陷。
此外,为了使冷却期间在已处理基板上产生的热梯度及/或冷凝作用(condensation)降至最低,当基板处在一较高温时,使处理基板可在第一传递周期期间内相对于基板传递空腔地板(或天花板)保持在第一位置,接着当基板处于较冷却的温度时,在第二传递周期期间使该基板移动至较接近传递空腔地板(或天花板)的一第二高度。举例来说,在第一传递周期期间,当相对远离空腔地板及/或天花板时,基板可由约摄氏250度冷却至约摄氏140度。一旦到达较低温度,在第二传递周期期间,可通过移动基板至一相对接近或接触负载锁定室本体的冷却地板(或天花板)的位置,将基板冷却至低于摄氏140度的温度。
图7为在一大气环境及一真空环境间传递基板的另一方法实施例700的流程图。在一实施例中,方法700始于步骤702,其由一大气环境传递一未处理基板至一位在负载锁定室本体组件202的第一传递空腔208中的第一基板支架上。在步骤702,在具有第一未处理基板配置于其中时排空该第一基板传递空腔。在步骤706,第一未处理基板由第一基板支架传递至真空环境中。在步骤708,该未处理基板传递至一位于负载锁定室本体组件202的加热空腔212中的第二基板支架。在步骤708,该未处理基板在传递至加热空腔202的前可选择性地执行一或多个制程。在步骤710,第一未处理基板在加热空腔202中加热。该方法继续进行至步骤712,是将已加热的未处理基板从配置于加热空腔202中的第二基板支架传递至真空环境并处理该基板。
在步骤710,基板可使用辐射加热器加热,例如灯及/或一电阻式加热板。加热可在加热空腔212保持于一真空条件时发生。或者,加热空腔212可与真空环境隔离并使的充满一热传介质,例如氮及/或氦,以进一步提高至第一未处理基板的热传递作用。
图8为负载锁定室800的另一实施例。负载锁定室800包含本体802,其内具有一上部传递空腔806及一下部传递空腔808。室本体802的构造可类似于上文所述的室本体组件202。
上部传递空腔806内部通常具有四个基板传递狭槽810、812、820、822。各基板传递狭槽是以基板支架818定义的,基板支架818包含多个插针226以在其上支撑一基板116。隔离板830是配置在第二基板传递狭槽812及第三基板传递狭槽820之间,以使上部传递空腔806分割为冷却区域及加热区域。加热区域通常包含第一及第二基板传递狭槽810、812,而冷却区域内通常包含第三及第四基板传递狭槽820、822。
隔离板830包含耦合至热传导流体源834的多个通道832。流体源834循环一热传导流体通过隔离板830,以使板830保持在一预定温度。此外,流过通道832的热传导流体允许热传递板830能使位于上部传递空腔806内且分别定义在隔离板830两侧的加热区域及冷却区域间的热层扰动(thermal crosstalk)减至最小。
在上部传递空腔806的加热区域中所支撑的基板通过一或多个加热器866加热。加热器866是配置在上部传递空腔806的天花板或地板至少其中一个上。加热器866可以是一电阻加热元件或灯泡。加热器866耦合至源868,以便可如上文所述般控制加热器866所提供的热能。
在上部传递空腔806的冷却区域中所支撑的基板通过隔离板830及/或用来分隔上部及下部传递空腔806、808的热调节内壁828来冷却的。壁828通常包含一或多个通路124,由源126提供的热传导流体循环通过该等通路124。可预期到,冷却区域可定义于隔离板130上方,而加热区定义于隔离板130下方。热传递空腔808为类似构造。
压力调节系统250是设置以如上文所述般控制传递空腔808、806的内部压力。各空腔806、804包含面向工厂接口102的基板存取端口816,以及面向传递室106的单一第二基板存取端口816。因此,可透过该单一端口816使用机械手臂存取每个定义于传递空腔806、808中的基板储存狭槽810、812、820、822。可利用每个单阀门814选择性地密封每个基板存取端口,并且可使用致动器804选择地打开及关闭该些气密门814。气密门814可为如上文所述般的构造。
图9为在一大气环境及一真空环境间传递基板的另一方法实施例900的流程图。方法900参照负载锁定室800进行叙述,但亦可在其他负载锁定室上实行。
方法900中的直行902说明在方法900各步骤间的顺序时间。须注意时间是任意的,且仅代表各步骤所需的相对时间。各步骤所需的时间是取决于基板尺寸、欲排空及通气的容积以及腔室的热传递效率。直行904指示负载锁定室的传递空腔的压力状态。在方法900中,叙述负载锁定室800通过上部传递空腔的处理流程。在下部传递空腔中可执行同样的制程。亦预期到,方法900的实施例亦可在其他负载锁定室中执行。
直行906叙述对位于上部传递空腔的狭槽1及2中的基板于各时间步骤所执行的动作。直行908叙述对位于上部基板传递空腔的狭槽3及4中的基板所执行的动作。
图10说明在一大气环境及一真空环境间传递基板的方法900各阶段期间,图8负载锁定室800的上部传递空腔的真空条件。垂直轴1006描述压力,而水平轴1008描述时间。记录曲线1002代表狭槽1及2内部的压力,而记录曲线1004代表狭槽3及4内部的压力。
该方法始于时间零,此时空腔处于大气压力。两个冷却基板由狭槽1及2中移除,并使用大气机械手臂112以两个来自工厂接口102的新基板取代。两个已处理基板(亦即,从一或多个处理室108的处理制程中返回者)保持在狭槽3及4中进行冷却。在时间0:30时,上部传递空腔被抽空至真空。两个置于狭槽1及2中的新基板进行加热,同时置于狭槽3及4中的两个基板持续冷却。在时间1:30时,上部传递空腔为真空,且气密门对传递室打开。使用真空机械手臂110将置于狭槽1及2中已加热的基板与制程基板交换。两个置于狭槽3及4中的已处理基板持续冷却。因此,在此时,狭槽1至4内置有已处理基板。
在时间2:00时,上部传递空腔对传递室密封并通气达大气压力。置于狭槽1及2中的两个制程基板进行冷却,同时位于狭槽3及4中的两个基板持续冷却。在时间6:00时,上部传递空腔为大气压力,且气密门打开,以便大气机械手臂112进入上部传递空腔。置于狭槽1及2中的两个基板持续冷却,同时使用大气机械手臂移除该置于狭槽3及4中的两个已冷却基板,并以由匣114取出两个新基板取代。
在时间6:30时,上部传递空腔抽空至真空。置于狭槽1及2的两个基板持续冷却,而置于狭槽3及4的两个基板被加热。在时间7:30时,上部传递空腔为真空,且分隔负载锁定室与分隔上部传递空腔及传递室的气密门打开。置于狭槽1及2的两个基板持续冷却,同时利用真空机械手臂将置于狭槽3及4的已加热基板与制程基板交换。因此,在此时,狭槽1至4中具有已处理的基板。
在时间8:00时,上部传递空腔通气达大气压力。置于狭槽1及2的两个基板持续冷却,同时置于狭槽3及4的两个基板开始冷却。在时间12:00时,上部传递空腔为大气压力,且用来分隔上部传递空腔与工场接口的气密门打开,以允许制程再次开始。
因此,本发明提供用来在真空及周围环境间传递基板的负载锁定室及方法。双周期的冷却步骤是以能防止生成热应力的冷却速率来冷却基板。在分开的室中加热及冷却基板有利于最小化及隔离热污染源而提升温度均匀性。此外,由于相对于抽空周期来说,通气周期相对较长,加热及冷却步骤及时间因为在分开的室中执行而为新解耦(decouled),其增加制程弹性并可使加热及冷却制程的最佳化。
虽然前述内容以指出本发明较佳实施例,但可在不偏离本发明基本范围的情况下发展出本发明的其他及进一步的实施例。本发明的范围是由所附的权利要求所决定。

Claims (28)

1.一种在一大气环境及一真空环境间传递基板的方法,其包含:
由一大气环境传递一第一未处理基板至配置在一负载锁定室本体中的第一基板传递空腔内的一第一基板支架中,该第一传递空腔具有位于一第二基板支架上的一第一已处理基板;
排空该第一传递空腔;
由该第一基板支架传递该第一未处理基板至一真空环境中;以及
由该真空环境传递一第二已处理基板至该第一基板支架,该第一基板支架位于该第一已处理基板上方。
2.如权利要求1所述的方法,更包含:
通气该第一基板传递空腔;以及
由该第二基板支架传递该第一已处理基板至该大气环境。
3.如权利要求2所述的方法,更包含:
由该大气环境传递一第二未处理基板至该第二基板支架;
排空包含该第二未处理基板及该第二已处理基板的该第一基板传递空腔;
由该第二基板支架传递该第二未处理基板至该真空环境中;
由该真空环境传递一第三已处理基板至该第二基板支架;
通气包含该第三已处理基板及该第二已处理基板的该第一基板传递空腔;
由该第一基板支架传递该第二已处理基板至该周围环境;
由该周围环境传递一第三未处理基板至该第一基板支架;以及
排空包含该第三未处理基板及该第三已处理基板的该第一基板传递空腔。
4.如权利要求1所述的方法,更包含:
由该大气环境传递一第二未处理基板至一配置于该负载锁定室本体中的该第二基板传递空腔内的第三基板支架中,该第二传递空腔具有一第三已处理基板,该第三已处理基板位于一第四基板支架上;
排空该第二传递空腔;
由该第三基板支架传递该第二未处理基板至该真空环境中;以及
由该真空环境传递一第四已处理基板至该第三基板支架,该第三基板支架位于该第三已处理基板上方。
5.如权利要求4所述的方法,更包含:
通气该第二基板传递空腔;及
由该第四基板支架传递该第三已处理基板至该大气环境。
6.如权利要求5所述的方法,更包含:
由该大气环境传递一第三未处理基板至该第四基板支架;
排空包含该第三未处理基板及该第四已处理基板的该第二基板传递空腔;
由该第四基板支架传递该第三未处理基板至该真空环境中;
由该真空环境传递一第五已处理基板至该第四基板支架;
通气包含该第四及该第五已处理基板的该第二基板传递空腔;
由该第三基板支架传递该第四已处理基板至该周围环境;
由该周围环境传递一第三未处理基板至该第三基板支架;以及
排空包含该第三未处理基板及该第五已处理基板的该第二基板传递空腔。
7.如权利要求1所述的方法,更包含:
冷却该第一已处理基板。
8.如权利要求7所述的方法,其中该冷却步骤更包含:
移动该第一处理基板至邻接该第一传递空腔的地板或天花板至少其中一个。
9.如权利要求7所述的方法,其中该移动步骤更包含:
放置该基板使其与该负载锁定室本体接触。
10.如权利要求1所述的方法,更包含:
由该真空环境传递该第一未处理基板至形成于该室本体内的一加热室中。
11.如权利要求10所述的方法,其中该加热步骤更包含:
在真空条件下加热该基板。
12.如权利要求10所述的方法,其中该加热步骤更包含:
密封该加热室使其与一传递室隔开;以及
提高该加热室内部的压力。
13.如权利要求10所述的方法,更包含:
由该加热室传递该已加热的未处理基板至该真空环境中。
14.一种在一周围环境及一真空环境间传递一基板的方法,其包含:
由一周围环境传递一未处理基板至一第一基板支架,该第一基板支架配置于一形成在一负载锁定室本体中的第一基板传递空腔内;
排空内部置有该第一未处理基板的该第一基板传递空腔;
由该第一基板支架传递该第一未处理基板至该真空环境中;
传递该未处理基板至一第二基板支架,该第二支架配置于该负载锁定室本体的一加热空腔中的;以及
在该第二基板支架上加热该第一未处理基板。
15.如权利要求14所述的方法,更包含:
由该第二基板支架传递该已加热的第一未处理基板至该真空环境中;以及
处理该第一未处理基板。
16.如权利要求14所述的方法,其中加热该基板的步骤更包含:
密封该加热室使其与一传递室隔开;以及
提高该加热室内部的压力。
17.如权利要求14所述的方法,其中加热该基板的步骤更包含:
在真空条件下加热该基板。
18.如权利要求14所述的方法,更包含:
由该真空环境传递一第一已处理基板至一配置于该负载锁定室本体中的第三基板支架。
19.如权利要求18所述的方法,更包含:
在该第三基板支架上,于该第三基板支架的一第一高度处冷却该第一已处理基板达一第一期间;以及
在该第三基板支架上,于该第三基板支架的一第二高度处冷却该第一已处理基板达一第二期间。
20.一种负载锁定室,其包含:
一室本体,其内定义有一第一基板传递空腔;
一基板支架,其设置于该第一基板传递空腔中,并可在一第一高度及一第二高度间移动;以及
多个凹槽,其形成于该第一基板传递空腔的天花板或地板至少其中一个内,且配置用以当该基板支架位于该第二高度时,容纳至少一部分的该基板支架。
21.如权利要求20所述的负载锁定室,其中该等凹槽是形成于该第一基板传递室的该天花板中。
22.如权利要求21所述的负载锁定室,更包含:
一第二基板传递空腔,其形成于该室本体中并位于该第一基板传递空腔下方,该第二基板传递空腔内具有一基板支架,其可在一第一高度及一第二高度间移动;及
多个凹槽,其形成于该第二基板传递空腔的天花板中,且配置用以当该基板支架位于该第二高度时,容纳至少一部分的该基板支架。
23.如权利要求22所述的负载锁定室,更包含:
一加热空腔,其形成于该室本体中。
24.如权利要求23所述的负载锁定室,其中该加热室是配置于该第一及第二基板传递空腔之间。
25.如权利要求23所述的负载锁定室,其中该加热室非配置于该第一及第二基板传递空腔之间。
26.如权利要求20所述的负载锁定室,更包含:
一加热空腔,其形成于该室本体中。
27.如权利要求26所述的负载锁定室,更包含:
多个通路,其适以在介于该加热空腔及该第一传递空腔之间的该本体中循环一热传导流体。
28.一种基板处理系统,其包含:
一基板传递室;
一或多个真空处理室,其连接至该传递室;
一负载锁定室,其具有一连接至该传递室的本体;
一机械手臂,其配置于该传递室中,且用以在该负载锁定室及该一或多个真空处理室之间传递基板;及
其中该负载锁定室的该本体更包含:
一第一冷却传递空腔,其具有多个基板储存狭槽;
一第二冷却传递空腔,其具有多个基板储存狭槽;及
一加热空腔。
CNA2007800004299A 2006-06-02 2007-06-01 多槽负载锁定室及其操作方法 Pending CN101472814A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/421,793 US7665951B2 (en) 2006-06-02 2006-06-02 Multiple slot load lock chamber and method of operation
US11/421,793 2006-06-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2011101181814A Division CN102275739A (zh) 2006-06-02 2007-06-01 多槽负载锁定室及其操作方法

Publications (1)

Publication Number Publication Date
CN101472814A true CN101472814A (zh) 2009-07-01

Family

ID=38790413

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2011101181814A Pending CN102275739A (zh) 2006-06-02 2007-06-01 多槽负载锁定室及其操作方法
CNA2007800004299A Pending CN101472814A (zh) 2006-06-02 2007-06-01 多槽负载锁定室及其操作方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2011101181814A Pending CN102275739A (zh) 2006-06-02 2007-06-01 多槽负载锁定室及其操作方法

Country Status (7)

Country Link
US (2) US7665951B2 (zh)
EP (1) EP2024264A4 (zh)
JP (1) JP5072958B2 (zh)
KR (2) KR100945331B1 (zh)
CN (2) CN102275739A (zh)
TW (1) TWI394699B (zh)
WO (1) WO2007143567A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108695213A (zh) * 2013-09-26 2018-10-23 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
CN113213204A (zh) * 2021-06-11 2021-08-06 丰县鑫牧网络科技有限公司 印刷机用存纸盒

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7880598B2 (en) * 2006-12-08 2011-02-01 International Business Machines Corporation Six face, multi-event, orientation sensor
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2009182235A (ja) * 2008-01-31 2009-08-13 Tokyo Electron Ltd ロードロック装置および基板冷却方法
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8070408B2 (en) * 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101111399B1 (ko) * 2009-02-09 2012-02-24 주식회사 싸이맥스 웨이퍼 전달 및 열처리가 동시에 수행되는 로드락 챔버
JP5037551B2 (ja) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 基板交換機構及び基板交換方法
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8834155B2 (en) * 2011-03-29 2014-09-16 Institute of Microelectronics, Chinese Academy of Sciences Wafer transfer apparatus and wafer transfer method
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
EP2693461B1 (en) * 2011-04-15 2015-11-25 Wuxi Huaying Microelectronics Technology Co., Ltd. Semiconductor processing device
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9435626B2 (en) * 2011-08-12 2016-09-06 Corning Incorporated Kinematic fixture for transparent part metrology
US20150010718A1 (en) * 2012-01-04 2015-01-08 Tel Solar Ag Heat transfer control in pecvd systems
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9337014B1 (en) * 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
KR102170150B1 (ko) * 2014-03-04 2020-10-26 주식회사 제우스 분리형 기판 열처리 장치
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10278501B2 (en) 2014-04-25 2019-05-07 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
CN104269369A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种通过真空装载腔为晶圆预热的装置及方法
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6294365B2 (ja) * 2016-01-29 2018-03-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
EP3419764A4 (en) * 2016-02-26 2019-10-16 Beneq OY IMPROVED APPARATUS AND METHOD FOR AEROSOL COATING
JP6800237B2 (ja) * 2016-03-08 2020-12-16 エヴァテック・アーゲー 基板を脱ガスするためのチャンバ
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018061108A1 (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 基板処理装置、基板冷却ユニットおよび半導体装置の製造方法
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102405723B1 (ko) * 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
WO2020016087A1 (en) * 2018-07-17 2020-01-23 Asml Netherlands B.V. Particle beam inspection apparatus
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法
CN113140483A (zh) * 2021-03-03 2021-07-20 上海璞芯科技有限公司 一种晶圆的传片方法和传片平台

Family Cites Families (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
CH626214GA3 (zh) * 1979-02-07 1981-11-13
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) * 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
EP0306967B1 (en) * 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) * 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
ATE208961T1 (de) * 1988-05-24 2001-11-15 Unaxis Balzers Ag Vakuumanlage
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
DE69027273T2 (de) 1989-10-20 1997-01-23 Applied Materials Inc Biaxialer Roboter mit magnetischer Kupplung
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5227807A (en) * 1989-11-29 1993-07-13 Ael Defense Corp. Dual polarized ambidextrous multiple deformed aperture spiral antennas
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
KR0162102B1 (ko) * 1991-05-29 1999-02-01 이노우에 아키라 반도체 제조장치
US5131460A (en) * 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
ES2090893T3 (es) 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
EP0608633B1 (en) 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
KR100261532B1 (ko) 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) * 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3136345B2 (ja) 1994-08-25 2001-02-19 富士電子工業株式会社 高周波加熱装置
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JPH08340036A (ja) * 1995-06-09 1996-12-24 Tokyo Electron Ltd 処理装置
TW309503B (zh) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) * 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5716207A (en) * 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
KR100244041B1 (ko) 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) * 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
EP1049640A4 (en) 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
US6717578B1 (en) * 1998-02-17 2004-04-06 Sun Microsystems, Inc. Graphics system with a variable-resolution sample buffer
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100561703B1 (ko) * 1998-11-12 2006-03-17 동경 엘렉트론 주식회사 처리시스템
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
US6734950B2 (en) * 2000-06-13 2004-05-11 Canon Kabushiki Kaisha Load-lock chamber and exposure apparatus using the same
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
US7166170B2 (en) 2001-05-17 2007-01-23 Tokyo Electron Limited Cylinder-based plasma processing system
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP4244555B2 (ja) * 2002-02-25 2009-03-25 東京エレクトロン株式会社 被処理体の支持機構
JP4168642B2 (ja) * 2002-02-28 2008-10-22 東京エレクトロン株式会社 被処理体収納容器体及び処理システム
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
JP4040499B2 (ja) * 2003-03-06 2008-01-30 キヤノン株式会社 ロードロック室、処理システム及び処理方法
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
JP2005277049A (ja) * 2004-03-24 2005-10-06 Tokyo Electron Ltd 熱処理システム及び熱処理方法
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108695213A (zh) * 2013-09-26 2018-10-23 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
CN108695213B (zh) * 2013-09-26 2022-03-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
CN113213204A (zh) * 2021-06-11 2021-08-06 丰县鑫牧网络科技有限公司 印刷机用存纸盒

Also Published As

Publication number Publication date
JP5072958B2 (ja) 2012-11-14
WO2007143567A2 (en) 2007-12-13
KR20100017367A (ko) 2010-02-16
US20100139889A1 (en) 2010-06-10
US20070280816A1 (en) 2007-12-06
TW200817263A (en) 2008-04-16
JP2009540547A (ja) 2009-11-19
EP2024264A2 (en) 2009-02-18
US8061949B2 (en) 2011-11-22
TWI394699B (zh) 2013-05-01
EP2024264A4 (en) 2012-04-11
KR101289024B1 (ko) 2013-07-23
KR100945331B1 (ko) 2010-03-08
KR20080031851A (ko) 2008-04-11
WO2007143567A3 (en) 2008-12-04
CN102275739A (zh) 2011-12-14
US7665951B2 (en) 2010-02-23

Similar Documents

Publication Publication Date Title
CN101472814A (zh) 多槽负载锁定室及其操作方法
CN102230155B (zh) 可分离式腔体
CN101496158B (zh) 具有分离间隙阀门密封隔间的负载锁定室
TWI425574B (zh) 具有管件內加熱器的負載鎖定室
US5588827A (en) Passive gas substrate thermal conditioning apparatus and method
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
TW200405507A (en) Large area substrate processing system
JP3210415U (ja) 薄膜封入マスクの予熱及び基板のバッファチャンバ
US20130340939A1 (en) System for substrate handling and processing
WO1996041105A1 (en) Dual side passive gas substrate thermal conditioning

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090701