CN101529557B - 用于将间距倍增大于2的因数的单个间隔物工艺及相关中间ic结构 - Google Patents

用于将间距倍增大于2的因数的单个间隔物工艺及相关中间ic结构 Download PDF

Info

Publication number
CN101529557B
CN101529557B CN2007800379938A CN200780037993A CN101529557B CN 101529557 B CN101529557 B CN 101529557B CN 2007800379938 A CN2007800379938 A CN 2007800379938A CN 200780037993 A CN200780037993 A CN 200780037993A CN 101529557 B CN101529557 B CN 101529557B
Authority
CN
China
Prior art keywords
axle
layer
sept
substrate
forms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800379938A
Other languages
English (en)
Other versions
CN101529557A (zh
Inventor
戴维·H·韦尔斯
米尔扎菲尔·K·阿巴切夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101529557A publication Critical patent/CN101529557A/zh
Application granted granted Critical
Publication of CN101529557B publication Critical patent/CN101529557B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/946Step and repeat
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Abstract

本发明提供用于将间距倍增大于2的因数的单个间隔物工艺。在一个实施例中,在衬底上方形成n(其中n≥2)层堆叠心轴(150b)、(140a),所述n层中的每一者包含彼此大致平行的多个心轴(150b)、(140a)。层n处的心轴(150b)在层n-1处的心轴(140a)上方且平行于心轴(140a),且层n处的邻接心轴之间的距离大于层n-1处的邻接心轴之间的距离。同时在心轴(150b)、(140a)的侧壁上形成间隔物(185)。蚀刻掉心轴(150b)、(140a)的暴露部分且将由间隔物(185)界定的线图案转移到衬底(110)。

Description

用于将间距倍增大于2的因数的单个间隔物工艺及相关中间IC结构
相关申请案交叉参考
本申请案涉及并以全文引用方式并入以下专利申请案:韦尔斯(Wells)在2005年6月9日提出申请的第11/150,408号美国专利申请案;桑特(Sant)等人在2005年6月2日提出申请的第11/144,543号美国专利申请案;及韦尔斯在2005年9月1日提出申请的第11/217,270号美国专利申请案;及阿巴切夫(Abatchev)等人在2005年5月23日提出申请的第11/134,982号美国专利申请案。 
技术领域
本发明在若干实施例中一般来说涉及用于半导体制作的掩蔽技术,且更特定来说涉及包括间距倍增的掩蔽技术。 
背景技术
由于许多因素(其中包括对增加的便携性、计算能力、存储器容量及能量效率的需求),集成电路的大小正不断减小。形成集成电路的组成特征(例如,电装置及互连线)的大小也持续降低以促进此大小减小。 
降低特征大小的趋势在集成电路(IC)工业中较明显,举例来说,在例如动态随机存取存储器(DRAM)、快闪存储器、静态随机存取存储器(SRAM)及铁电(FE)存储器等存储器电路或装置中。集成电路存储器的其它实例包括MRAM(包括磁阻元件)、可编程熔丝存储器、可编程导体存储器(包括金属掺杂硫属化物玻璃元件)、SRAM、SDRAM、EEPROM及其它易失性及非易失性存储器方案。举一个实例,DRAM常规上包含数百万个相同的电路元件,称作存储器单元。DRAM存储器单元常规上包括两个电装置:存储电容器及存取场效晶体管。每一存储器单元是可存储一个数据位(二进制数字)的可寻址位置。可通过晶体管将位写入到单元且可通过感测电容器中的电荷读取所述位。通过降低包含存储器单元的电装置的大小及存取存储器单元的导线的大小,存储器装置可制造得更小。另外,可通过将更多的存储器单元装配于存储器装置中的给定区域内来增加存储容量。 
常规上使用其中首先在半导体衬底上方的临时层中形成界定特征的图案且随后使用常规蚀刻化学物将所述图案转移到所述衬底的工艺来形成所述特征(例如,导线)。通常使用光刻法来图案化光可定义(或光致抗蚀剂)层内的此类特征。在光刻 法中,使用包括引导光(或辐射)穿过具有对应于将要在衬底中形成的特征图案的图案的光罩的工艺来在所述光可定义层中形成所述特征图案。 
可通过“间距”的概念来描述特征的大小,间距被定义为两个相邻特征中的相同点之间的距离。这些特征通常由邻近特征之间的间隔界定。间隔通常由例如绝缘体等材料填充。因此,对于规则的图案(例如,成阵列),可将间距视为特征的宽度与所述特征的一个侧上分隔所述特征与相邻特征的间隔的宽度的和。然而,由于例如光学及光(或辐射)波长等因素,光刻技术各自具有最小间距,低于此最小间距,特定光刻技术便不能够可靠地形成特征。因此,给定光刻技术的最小间距限制是进一步减小特征大小的阻碍。 
“间距倍增”或“间距加倍”是一种用于使光刻技术的能力延伸超出其最小间距的建议方法。间距倍增方法图解说明于图1A-1F中且描述于颁发给劳雷(Lowrey)等人的第5,328,810号美国专利中,此专利的全部揭示内容以引用的方式并入本文中。参照图1A,在光致抗蚀剂层中以光刻方式形成线10图案,所述光致抗蚀剂层上覆于可消耗材料层20上,而可消耗材料层20又上覆于衬底30上。如图1B中所示,然后使用蚀刻(例如各向异性蚀刻)将图案转移到层20,从而形成占位符或心轴40。可剥离光致抗蚀剂线10且可以各向同性方式蚀刻心轴40以增加相邻心轴40之间的距离,如图1C中所示。随后在心轴40上方沉积间隔物材料层50,如图1D中所示。然后在心轴40的侧上形成间隔物60,即延伸或经原始形成而从另一材料的侧壁延伸的材料。所述间隔物形成是通过以方向性间隔物蚀刻从水平表面70及80优先蚀刻间隔物材料而完成,如图1E中所示。然后移除剩余的心轴40,仅留下间隔物60,其一起充当用于图案化的掩模,如图1F中所示。因此,如果给定间距先前包括界定一个特征及一个间隔的图案,那么相同宽度现在包括两个特征及两个间隔,其中所述间隔由(例如)间隔物60界定。因此,有效地降低了可通过光刻技术实现的最小特征大小。 
尽管在以上实例中间距实际上被减半,但常规上将此间距减小称作间距“加倍”或更一般来说,称作间距“倍增”。因此,常规上,将间距“倍增”某一因数实际上涉及使间距减小所述因数。因此,可在两个相反意义上使用间距:规则图案中相同元件之间的距离及固定线性距离中特征的数量。间距倍增(或间距加倍)假设后一种意义,即,如果间距加倍,那么在其中光刻法仅界定一个特征及间隔的区域中界定两个特征及间隔。 
在2005年6月9日提出申请颁发给韦尔斯(“Wells”)的第11/150,408号美国专利申请案揭示用于使用间隔物作为后续间隔物的心轴来形成间距倍增的特征的方法。根据本文中所揭示的方法,在衬底上方的心轴的侧壁上形成第一组间隔物。基于所述侧壁位置来选择所述间隔物的宽度,使得所述间隔物在所需位置处居中。移除所述心轴且使用所述间隔物作为后续间隔物形成的心轴。然后在所述第一组间隔物上沉积第二材料且回蚀刻所述第二材料以形成第二组间隔物。所述第二组间隔物的宽度经选择,使得这些间隔物也在其所需位置处居中。移除所述第一组间隔物且使用所述第二组间隔物作为用于蚀刻衬底的掩模。相应地,实现间距倍增因数4,且因此此技术可扩展到间距倍增因数8、16等。
作为另一实例,在2005年6月2日提出申请颁发给桑特等人(“Sant”)的第11/144,543号美国专利申请案揭示用于使用间隔物形成的多个级来形成间距倍增的特征的方法。根据本文中所揭示的方法,使用多个间距倍增的间隔物来形成具有具有异常小的临界尺寸的特征的掩模图案。移除在多个心轴周围形成的每一对间隔物中的一者且在剩余间隔物周围沉积由两种互选择性可蚀刻材料形成的交替层。然后蚀刻由所述材料中的一者形成的层,留下由所述材料中的另一者形成的垂直延伸层,其形成掩模图案。作为替代方案,不是沉积交替层,而是在剩余间隔物周围沉积无定形碳,此后在所述无定形碳上形成间隔物对的多个循环,从而移除所述间隔物对中的一者并沉积无定形碳层。可重复所述循环以形成所需图案。 
针对间距倍增使用多个间隔物形成步骤的方法产生较大的处理成本。举例来说,韦尔斯的第11/150,408号美国专利申请案的工艺两次形成间隔物以实现间距倍增因数4。另外,形成紧密特征需要使用高分辨率光学扫描仪,其可增加处理成本。举例来说,具有约100nm的分辨率的248纳米(nm)光学扫描仪在提出申请时花费约$20,000,000,且具有约65nm的分辨率的193nm扫描仪花费约$30,000,000。另外,当前可与193nm扫描仪一同使用的光致抗蚀剂材料不如可供248nm扫描仪使用的那些光致抗蚀剂材料强健,因此对现有光致抗蚀剂掩模上的间隔物形成增加限制。 
相应地,有利地形成低于光刻技术的最小间距的特征,同时使处理步骤的数量最小化,且最终使处理时间及成本最小化。相应地,有利地准许间距倍增到的程度的灵活性。 
发明内容
根据本发明的实施例,提供其中使用单个间隔物步骤来完成间距倍增因数2n的方法,从而提供处理时间及成本的巨大灵活性及节约。另外,可使用较不昂贵的光学扫描仪(例如,365nm扫描仪)来执行根据实施例的方法,从而增加处理成本上的额外节约。 
根据本发明的实施例,在衬底上方提供n(其中n≥2)层堆叠心轴。所述衬底可包含硅晶片,另一半导电材料的晶片或包括半导电材料层及一个或一个以上插入层(例如,硬掩模层)的另一体衬底。所述n层心轴中的每一者可包含彼此大致平行的多个心轴。在一个实施例中,所述心轴界定平行线。层n处的心轴可被安置在层n-1处的心轴上方,安置在层n-1处的心轴上且相对于层n-1处的所述心轴居中且与层n-1处的心轴直接接触。层n处的邻近心轴之间的横向距离或间隔大于层n-1处的邻近心轴之间的横向距离或间隔。接下来,同时在所述心轴周围形成间隔物,且移除所述心轴的暴露部分,从而留下间隔物图案。然后,将所述间隔物图案用于处理。举例来说,随后可提供蚀刻化学物以将所述间隔物图案转移到下伏的衬底。此步骤可需要将所述间隔物图案转移到上覆于所述衬底上的一个或一个以上硬掩模层且随后移除所述间隔物。根据本发明实施例的方法的间距可倍增因数2n。举例来说,在两层心轴(n=2)的情况下,所述间距倍增因数4,且在三层心轴(n=3)的情况下,所述间距倍增因数6、8等。 
在一个实施例中,所述衬底包含上部层间电介质(ILD)层且通过所述掩模处理 形成镶嵌沟槽。在此种情况下,间隔物图案包含具有连接回路端的伸长线,且所述方法进一步包含在将间隔物图案转移到所述ILD中之前阻断所述回路端。在另一实施例中,所述衬底包含导体(例如,Si、多晶硅、A1、W、WSix、Ti、TiN等)且所述间隔物图案包含具有连接回路端的伸长线。在此种情况下,在将所述间隔物图案转移转移到所述导体之前或之后移除所述回路端。 
实施例的方法可用于形成间距倍增因数4、6等的特征(例如,线)图案。此类特征可充当各种装置中的组件,例如具有规则的电装置阵列的装置,且尤其是易失性及非易失性存储器装置(例如,DRAM、ROM或快闪存储器(包括NAND快闪存储器)的存储器单元阵列的线阵列及逻辑阵列。 
应了解,当材料的蚀刻速率比暴露给相同蚀刻剂的其它材料的蚀刻速率大至少约2倍时,认为所述材料选择性地或优先地可蚀刻。在某些实施例中,所述蚀刻速率比暴露给相同蚀刻剂的其它材料的蚀刻速率大至少约10倍、大至少约20倍或大至少约40倍。在本发明实施例的背景下,使用“选择性”来表示针对给定蚀刻剂一个或一个以上材料相对于一个或一个以上其它材料的蚀刻速率的蚀刻速率。作为实例,对于包含第一层及第二层的衬底,对包含所述第一层的材料具有选择性的蚀刻化学物以大致比所述第二层大的速率来蚀刻所述第一层。 
将在两种情况的背景下论述本发明的实施例。在第一种情况下,在衬底中形成间距倍增因数4的特征图案。在第一种情况下,在衬底中形成间距倍增因数6的特征图案。应了解,本文中所提供的方法可用于形成间距倍增大于6的因数的特征。作为实例,根据实施例的方法可用于形成间距倍增因数8、10等的特征。 
现在将参照图式,其中各图式中相同的编号指代相同的部件。应了解,所述图式及其中的特征未必按比例绘制。此外,尽管以下说明指定特定材料,但所属领域的技术人员将易于了解,只要合适的选择性蚀刻化学物可供所说明的转移步骤使用,可采用具有更少或更多数量的硬掩模及临时层(其之间有或没有额外的蚀刻终止层)的其它材料组合。 
在一个实施例中,提供一种用于制作集成电路的方法。所述方法包含:在衬底上方提供第一心轴,所述第一心轴具有第一宽度;及大致在所述第一心轴上方提供第二心轴,所述第二心轴具有比所述第一宽度小的第二宽度。同时在所述第一及第二心轴的侧壁上形成间隔物。选择性地移除所述心轴的相对于所述间隔物的至少若干部分以形成由所述间隔物界定的间隔物图案,且将所述间隔物图案转移到所述衬底。 
在另一实施例中,提供一种用于使用分层的心轴来形成沿一个维度间距倍增因数2n的线图案的方法。所述方法包含:在衬底上方提供n层堆叠心轴,其中n≥2,所述n层中的每一者包含多个彼此大致平行的伸长心轴,其中层n处邻近心轴之间的距离大于层n-1处邻近心轴之间的距离。同时在所述心轴的侧壁上形成间隔物。 
在再一实施例中,提供一种部分形成的集成电路(“IC”)。所述部分形成的IC包含:衬底上方的第一心轴,所述第一心轴具有第一宽度(A);及所述第一心轴上方的第二心轴,所述第二心轴具有第二宽度(B),其中A>B。所述部分形成的IC进一步包含所述心轴的侧壁上的间隔物,所述间隔物具有间隔物宽度(C),其中  C ≤ ( A - B ) 2 .
在另一实施例中,掩蔽工艺包括在两个或两个以上堆叠膜上方界定图案。将所述图案转移到两个或两个以上堆叠膜中。相对于所述两个或两个以上堆叠膜中的下部膜减小至少上部膜中的元件的尺寸以产生两个或两个以上堆叠的心轴。同时在所述堆叠心轴的侧壁上形成间隔物。 
附图说明
根据对实施例的详细说明且根据附图将更好地了解本发明,所述详细说明及附图仅图解说明本发明而不限定本发明,且附图中: 
图1A-1F是根据现有技术间距加倍方法的用于形成导线的掩蔽图案的序列的示意性截面侧视图; 
图2A-2F是根据本发明实施例的用于形成间距倍增因数4的特征图案的工艺的示意性截面侧视图; 
图3-12示意性地图解说明根据本发明实施例的类似于图2A-2F的方法的用于形成间距倍增因数4的线图案的方法; 
图3是根据本发明实施例的部分形成的集成电路的示意性截面侧视图,其从顶部到底部显示光可定义层、临时层、硬掩模层、转移层及衬底; 
图4A及4B是根据本发明的实施例图3的部分形成的集成电路在线图案在所述光可定义层中形成之后的示意性截面侧视图及俯视平面图; 
图5是根据本发明的实施例图4A及4B的部分形成的集成电路在所述线图案被转移到所述临时层之后的示意性截面侧视图; 
图6A及6B是图5的部分形成的集成电路在所述光可定义层被以各向同性方式蚀刻(或修整)之后的示意性截面侧视图及俯视平面图。根据本发明的实施例,包含所述临时层及光可定义层的线界定第一及第二心轴; 
图7是根据本发明的实施例图6A及6B的部分形成的集成电路在间隔物材料被保形地毯覆沉积在所述衬底上方之后的示意性截面侧视图; 
图8是根据本发明的实施例图7的部分形成的集成电路在所述间隔物材料被蚀刻以在所述心轴的侧壁上形成间隔物之后的示意性截面侧视图; 
图9是根据本发明的实施例图8的部分形成的集成电路在第二心轴被蚀刻掉之后的示意性截面侧视图; 
图10是根据本发明的实施例图9的部分形成的集成电路在第一心轴的暴露部分被蚀刻掉从而在硬掩模层上方留下线图案之后的示意性截面侧视图; 
图11是根据本发明的实施例图10的部分形成的集成电路在所述线图案被转移到所述硬掩模层及所述转移层之后的示意性截面侧视图; 
图12A及12B是根据本发明的实施例图11的部分形成的集成电路在所述线图案被转移到所述衬底且所述硬掩模及转移层被移除之后的示意性截面侧视图及俯视平面图; 
图12C是根据本发明的实施例图12A及12B的部分形成的集成电路在所述线的终端或“回路”已被移除之后的示意性俯视平面图; 
图13A-13H是根据本发明实施例的用于形成间距倍增因数6的特征图案的工艺的示意性截面侧视图; 
图14-22B示意性地图解说明根据本发明实施例的类似于图13A-13H的方法的用于形成间距倍增因数6的线图案的方法; 
图14是根据本发明实施例的部分形成的集成电路的示意性截面侧视图,其从底部到顶部显示衬底、转移层、第一硬掩模层、第二硬掩模层、第三硬掩模层及光可定义层; 
图15A及15B是根据本发明的实施例图14的部分形成的集成电路在线图案在所述光可定义层中形成之后的示意性截面侧视图及俯视平面图; 
图16是根据本发明的实施例图15A及15B的部分形成的集成电路在所述线图案被转移到所述第二及第三硬掩模层之后的示意性截面侧视图; 
图17是图16的部分形成的集成电路在堆叠心轴由各向同性及选择性蚀刻不同地修整之后的示意性截面侧视图。根据本发明的实施例,包含所述第二硬掩模层、第三硬掩模层及光可定义层的线界定第一、第二及第三心轴; 
图18是根据本发明的实施例图17的部分形成的集成电路在间隔物材料被保形地毯覆沉积在所述衬底上方之后的示意性截面侧视图; 
图19是根据本发明的实施例图18的部分形成的集成电路在所述间隔物材料被蚀刻以在所述心轴的侧壁上形成间隔物之后的示意性截面侧视图; 
图20是根据本发明的实施例图19的部分形成的集成电路在所述第一、第二及第三心轴的暴露部分被蚀刻掉从而在所述第一硬掩模层上方留下线图案之后的示意性截面侧视图; 
图21是根据本发明的实施例图20的部分形成的集成电路在所述线图案被转移到所述第一硬掩模层及所述转移层之后的示意性截面侧视图; 
图22A及22B是根据本发明的实施例图21的部分形成的集成电路在所述线图案被转移到所述衬底、所述硬掩模及转移层被移除且所述线的终端被蚀刻掉以留下隔离的线之后的示意性截面侧视图及俯视平面图;及 
图23A-23C是根据本发明实施例的部分形成的集成电路的示意性俯视平面图,其显示据以提供到根据图2-22B的方法形成的线的接触的方法。 
应了解,所述图式及其中的特征未按比例绘制。 
具体实施方式
间距倍增因数4
参照图2A,在一实施例中,在安置在多个层上方的光可定义层81中形成特征图案,所述多个层从顶部到底部包括第一硬掩模层82、第二硬掩模层83、第三硬掩模层84及衬底85。所述衬底可包括将要图案化或掺杂的晶片的顶部半导体层或一部分、将要图案化的导电层或将要图案化的绝缘体以形成(例如)镶嵌特征。尽管所图解说明的实施例包含第一、第二及第三硬掩模层82-84,但应了解,可如需提供其它层。第三硬掩模层84也可称作“蚀刻终止”或“转移层”。另外,尽管编号为第一、第二及第三(从顶部到底部),但可容易地以相反顺序使用所述术语。 
应了解,所述方法实施例可用于图案化半导体晶片上方的导电层(例如,Si、多晶硅、Al、W、WSix、Ti、TiN等)以形成导线,图案化半导体晶片或半导体晶片上方的绝缘体(例如,SiO2)以形成镶嵌特征。 
参照图2B,使用对包含第一及第二硬掩模层82及83的材料具有选择性的蚀刻化学物来将所述特征图案转移到第一及第二硬掩模层82及83。也就是说,所述蚀刻化学物以比包围层(例如,暴露的第三硬掩模层84)快的速率蚀刻第一及第二硬掩模层82及83。所述图案转移可同时移除光可定义层81,或可在单独的步骤中将其移除。接下来,各向同性地蚀刻(或“修整”)第一硬掩模层82,如图2C中所示。包含第一及第二硬掩模层82及83的特征分别界定多个第一心轴86及多个第二心轴87。所述第一心轴平行于所述第二心轴延伸。尽管未显示,在其它布置中,如果需要增加第二心轴87之间的间隔那么也可修整所述第二心轴,但其保持宽于上覆的第一心轴86。 
在所图解说明的实施例中,第一及第二心轴86及87是定向于页的平面中的平行线。每一第一心轴86可具有比对应的下伏第二心轴87的第二宽度小的第一宽度。可大致将第一心轴86安置在第二心轴87上。在一实施例中,第一心轴86相对于第二心轴87居中。 
接下来,参照图2D,同时在第一及第二心轴86及87的侧壁上形成间隔物88。如所属技术中已知,可通过保形沉积及间隔物层的水平部分的各向异性(或方向性)选择性移除来形成此类间隔物。 
参照图2E,蚀刻第一及第二心轴86及87的暴露部分89以形成间隔物图案90。然后,可使用间隔物图案90作为硬掩模。举例来说,随后可将图案90转移到第三硬掩模层84(如图2F中所示),从而在第三硬掩模层84中形成线84a图案。因此,间距倍增因数4(相对于在光可定义层中形成的特征图案)的特征图案在所述衬底上方形成。第三硬掩模层84将充当将线84a图案转移到衬底85的掩模。应了解,此最低级的硬掩模是任选的,但在创建低轮廓、短掩模特征时有利,以最小化纵横比决定蚀刻(ARDE)的效应及/或原本因直接使用图2E的结构作为掩模来处理衬底85而产生的微负载效应。 
参照图3-12,在本发明的实施例中,图解说明用于形成间距倍增因数4的特征的工艺。除采用初始光可定义层作为心轴层中的一者以外,所述工艺类似于图2A-2F的工艺。图3显示部分形成的集成电路100的截面侧视图。 
继续参照图3,在衬底110上提供各种掩蔽层120-150。将使用层120-150来形成用于图案化衬底110的掩模,如下文所论述。可基于化学物及工艺条件的考虑来为本文中所论述的各种图案形成及图案转移步骤选择上覆于衬底110上的层120-150的材料。由于最顶部的选择性可定义层150与衬底110之间的层可用于将图案转移到衬底110,因此可选择选择性可定义(例如,光可定义)层150与衬底110之间的层120-140中的每一者,使得可相对于其它暴露材料选择性地对其进行蚀刻。由于上覆于衬底110上的层120-150的目标是允许在衬底110中形成经良好界定的图案,因此应了解,如果使用合适的材料、选择性蚀刻化学物及/或工艺条件,那么可替代层120-150中的一者或一者以上。另外,应了解,可在衬底110上方添加额外掩蔽层以形成相对于衬 底110具有改善的蚀刻选择性的掩模。举例来说,如果层150是硬掩模层,那么可在层150上方提供额外的光可定义层(未显示)。本文中所论述的各种层的实例性材料包括氧化硅(例如,SiO2)、金属氧化物(例如,Al2O3)、氮化硅(Si3N4)、多晶硅(polySi)、无定形硅(a-Si)、无定形碳(a-C)及电介质抗反射涂布(DARC,富硅氮氧化硅),其中每一者可相对于其它材料中的至少三者可靠且选择性地蚀刻。 
继续参照图3,集成电路100从底部到顶部包含衬底110、转移层120、硬掩模层130、另一硬掩模层(在本文中还称作“临时层”)140及光可定义(或光致抗蚀剂)层150。衬底110可以是硅晶片、另一半导电材料的晶片或包括半导电材料层的另一体衬底。在某些实施例中,衬底110可包含额外的层及特征(未显示)。光致抗蚀剂层150可由任何光致抗蚀剂材料形成,包括所属技术中已知的光致抗蚀剂材料。光致抗蚀剂层150可与(例如)157nm、193nm、248nm或365nm波长的光学扫描仪、193nm波长的浸没系统、极紫外系统(包括13.7nm系统)或电子束光刻系统兼容。合适的光致抗蚀剂材料的实例包括对氟化氩(ArF)敏感的光致抗蚀剂(即适合与ArF光源一同使用的光致抗蚀剂),及对氟化氪(KrF)敏感的光致抗蚀剂(即适合与KrF光源一同使用的光致抗蚀剂)。在其它实施例中,层150及任何后续抗蚀剂层可由可通过纳米压印光刻法图案化的抗蚀剂形成,例如通过使用模型或机械力来图案化所述抗蚀剂。作为一个实例,光致抗蚀剂150可包含(例如)化学放大抗蚀剂材料,例如来自富士胶卷奥林株式会社(FUJIFILM OLIN Co.,Ltd)的FEP-171或来自东京应化工业株式会社(Tokyo Ohka Kogyo Co.,Ltd)的EP-009。 
根据本发明的实施例,采用较长波长的光学扫描仪用于实现处理成本的减小。根据本发明实施例的方法实现使用分辨率限制大于150nm或大于200nm的光刻系统来得到所述分辨率限制的一半、四分之一、六分之一等的特征大小。举例来说,可使用248nm的光学扫描仪来实现使用157nm的扫描仪或甚至更小可获得的相同特征间隔(例如,线或沟槽间隔)。 
继续参照图3,基于为其它层及衬底110选择的材料来选择层120-150中的每一者的材料,所有所述材料经选择使得选择性蚀刻化学物可供下文中所说明的蚀刻步骤使用。作为实例,在层150为光致抗蚀剂层的情况下,临时层140可由硅(例如,无定形硅)形成,硬掩模层130可由氮化硅(Si3N4)形成,且转移层120可由无定形碳或多晶硅/二氧化硅双分子层形成。表1列举层120-150中的每一者的材料的合适组合。应了解,层120-150中的每一者的其它材料也是可行的。 
表1. 
Figure G2007800379938D00081
Figure G2007800379938D00091
如果层150不是光致抗蚀剂层(组合2-5),那么可在硬掩模层120-150的最顶部上方提供光致抗蚀剂层。举例来说,如果层150由a-C形成,那么可在所述a-C层上方提供光可定义层(除其它层以外,如果需要)。尽管在表1中提供材料与层的某些组合的实例,但应了解,材料与层的其它组合归属于本发明实施例的范围内。 
可使用所属技术中已知的任何沉积技术来施加转移层120、硬掩模层130及临时层140,所述沉积技术包括但不限于旋涂沉积、化学气相沉积(CVD)、数字CVD、原子层沉积(ALD)、等离子增强型ALD(PEALD)及物理气相沉积(PVD)。通常通过旋转涂敷来施加光可定义层150。 
已形成所需的层堆叠120-150时,接下来通过间距倍增来形成间隔物图案。在下文所说明的实施例中,根据表1的组合选择层120-150。尽管下文提供的蚀刻化学物适用于此组合,但应了解可将所述蚀刻化学物应用于其它组合。 
参照图4A及4B,在光可定义层150中形成包含间隔或沟槽175的图案170,所述间隔或沟槽可被由光可定义材料形成的特征150a定界。图4B是进行中(或部分形成)的集成电路100在图案170在光可定义层150中形成之后的示意性俯视平面图。图4A是沿图4B的线4A-4A截取的截面图。可使用248nm或193nm的光通过(例如)光刻法来形成沟槽175,其中将光可定义层150暴露给穿过光罩的辐射且然后使其显影。在被显影之后,剩余的光致抗蚀剂材料形成掩模特征,例如线150a。 
如图所示,特征150a可包含平行且伸长的线,其中其平行长度是其宽度的至少10倍,更通常是其宽度的至少100倍。尽管其大部分长度平行,但从图25A-25C(在下文中说明)的说明应了解,所述线可包括特别用以促进接触的弯曲或转弯。所述特征对于形成(例如)用作存储器阵列上的逻辑中的导线的规则线阵列最有用。 
参照图5,将光可定义层150中的图案170转移到临时层140,从而创建对应的线140a。在所图解说明的实施例中,“a”指示间距。可使用对层140及150的材料具有选择性的各向异性(或方向性)蚀刻来完成此转移。在一个实施例中,所述图案转移包含使用相对于层130及150对层140的材料具有选择性的蚀刻化学物。可使用(例如)溴化氢(HBr)等离子来完成所述图案转移。在所图解说明的实施例中,所述蚀刻化学物不显著地移除包含层130的材料,其在所图解说明的实施例中充当蚀刻终止。 
参照图6A及6B,通过相对于线140a及硬掩模层130进行各向同性及选择性蚀刻以形成线150b来“修整”线150a。图6B是集成电路100在线150a的蚀刻之后的示意性俯视平面图。图6A是沿图6B的线6A-6A截取的截面图。在一个实施例中,所述各向同性蚀刻不蚀刻层130及线140a。另一选择为,也可通过同一蚀刻或单独的蚀刻更小程度地修整下伏线140a。基于层130及线140a及150a的材料来选择蚀刻化 学物。可使用(例如)O2/Cl2、O2/HBr等离子或O2/SO2/N2等离子来各向同性蚀刻所图解说明光致抗蚀剂的线150a。 
继续参照图6A及6B,线140a及150b分别界定第一及第二心轴。心轴140a及150b将充当间隔物的占位符(参见下文)。 
接下来,参照图7及8,同时在心轴140a及150b的侧壁上形成间隔物。 
参照图7,保形地在暴露的表面(包括硬掩模层130及第一及第二心轴140a及150b的顶部及侧壁)上方保形毯覆沉积间隔物材料层180。层180可具有约等于将要从层180形成的所需间隔物宽度的厚度,从而计及因后续处理步骤(例如,间隔物蚀刻或心轴移除)而可发生的任何尺寸改变。层180可由(例如)氧化物(SiO2、Al2O3、HfO2)或氮氧化硅形成。间隔物层180可相对于硬掩模层130及第一及第二心轴140a及150a选择性地可蚀刻。 
接下来,参照图8,间隔物层180经受各向异性(或方向性)蚀刻以从部分形成的集成电路100的水平表面优先移除间隔物材料。在SiCO2间隔物材料的情况下,可使用(例如)采用含CF4、CHF3及/或CH2F2等离子的反应性离子蚀刻(RIE)来执行此种方向性蚀刻(还称作间隔物蚀刻)。所述蚀刻化学物可对包含间隔物层180的材料具有选择性。 
继续参照图8,间隔物185的宽度由“B”表示,第一心轴140a的宽度由“C”表示且第二心轴150b的宽度由“D”表示。在一个实施例中,“B”可小于或等于(C-D)/2。在另一实施例中,“B”可小于或等于(C-D)/3。在再一实施例中,“B”可约等于(C-D)/4。在某些实施例中,“B”经选择以具有大约相等的线/间隔图案。在一个实施例中,“B”约等于“D”。间隔物宽度“B”由用于形成间隔物185的处理条件(例如,沉积厚度、蚀刻化学物、暴露时间)确定。 
应了解,图8中所示特征的位置及宽度将控制最终形成的掩模特征的位置及宽度(参见图10及11)及衬底110中的最终图案(参见图12A及12B)。 
接下来,参照图9及10,选择性地移除第一及第二心轴140a及150b的暴露部分(即,心轴140a及150b未被间隔物185覆盖的若干部分)以留下自支撑的间隔物。所述自支撑间隔物界定间隔物图案190。所述图式显示延伸进并延伸出所述页的线图案190的截面图。在一个实施例中,使用选择性地移除第一及第二心轴140a及150b的单个各向异性蚀刻化学物来执行心轴移除。在所图解说明的实施例中,使用第一蚀刻化学物移除第二心轴150b的暴露部分,此后使用第二蚀刻化学物移除第一心轴140a的暴露部分来执行心轴移除。作为实例,如果第一心轴140a由无定形硅形成且第二心轴150b由光致抗蚀剂材料形成,那么可使用含氧的等离子蚀刻心轴150b且使用HBr等离子蚀刻心轴140a。如包含硬掩模层130的材料(例如,Si3N4),氧化硅间隔物185将抵抗两个蚀刻。 
接下来,参照图11,将间隔物图案190转移到层120及130,从而形成线120a及130a。可针对每一层使用选择性掩模蚀刻化学物连续完成(即,转移到层130,此 后转移到层120)或使用同一蚀刻化学物同时完成图案转移。作为实例,如果层130由Si3N4形成,那么所述蚀刻化学物可包含含氟的等离子,例如CHF3/O2/He等离子或C4F8/CO/Ar等离子。作为另一实例,如果层130由Al2O3或HfO2形成,那么可使用含BCl3的等离子。随后可使用可对层120的材料具有选择性的方向性蚀刻来蚀刻转移层120。作为实例,如果层120由无定形碳形成,那么可使用含硫磺及氧的等离子,例如SO2/O2等离子。 
如按从图10到11的顺序所图解说明,可在通过掩模蚀刻衬底110进行处理之前移除间隔物185及第一心轴140a的剩余部分以减小掩模特征的纵横比。举例来说,在间隔物185包含氧化硅(例如,SiO2)且第一心轴140a包含无定形硅的情况下,可使用湿式或干式蚀刻执行间隔物移除。取决于所选择的移除化学物,可在硬掩模层130的蚀刻之后但在蚀刻转移层120之前执行间隔物/心轴移除。可相对于硬掩模及转移层120、130选择性地移除心轴。 
接下来,参照图12A及12B,其中线120a及130a充当掩模,将图案190转移到衬底110以形成线191与衬底110中的间隔或沟槽192交替的图案190。图12B是集成电路100在图案转移之后的示意性俯视平面图。图12A是沿图12B的线12A-12A截取的截面图。尽管在所图解说明的实施例中,线120a及130a充当用于到衬底110的图案转移的掩模,但应了解,可移除线130a且线120a可充当所述掩模。可使用基于衬底的材料的蚀刻化学物来将图案190转移到所述衬底。作为实例,如果所述衬底包含硅,那么可使用各向异性蚀刻(例如,BCl3/Cl2或碳氟化合物等离子蚀刻)来通过形成于转移层120中的图案选择性地蚀刻衬底110。如果所述衬底在硅晶片上方包含SiO2(ILD),那么可使用碳氟化合物等离子蚀刻化学物来形成镶嵌特征。如果所述衬底包含金属(例如,Al),那么可使用基于氯的等离子来形成导线。随后可选择性地移除线120a及130a。作为替代方案,可使用在衬底110的顶表面处停止的化学机械抛光(CMP)来移除线120a及130a。 
继续参照图12B,可在阵列的端处通过回路端线195来连结线191。回路端线195是心轴线的终端处间隔物沉积的结果。注意,在上部心轴上的收缩或修整工艺期间,所述上部心轴在x及y两个方向(以及垂直)上收缩,从而产生所图解说明的同心间隔物回路。当然,当界定存储器阵列的线时,与其宽度相比,所述线比所显示的尺寸长得多。如果需要个别线,那么执行单独的掩模及蚀刻步骤(未显示)以移除间隔物图案(图10或11)或衬底110中的所得线191的终端回路端。举例来说,可施加掩模以保护线191(使终端及线195不被覆盖)且使用蚀刻化学物来移除线195。随后移除保护性掩模以给出图12C中所图解说明的线191图案190。另一选择为,对于镶嵌工艺,在转移到下部层之前(图11或12A及12B),保护性掩模可阻断间隔物图案的端(图10或11)。 
因此,使用单个间隔物形成步骤在衬底110中形成间距倍增因数4的线191(例如,导线)图案190。如果集成电路100在间距倍增之前每一间隔“a”包括一个心轴 (参见图5),那么在间距之后,间隔“a”包含四个线。 
应了解,线191的图案190在外围处可具有各种集成电路组件,例如焊接垫及未间距倍增的特征(例如,线)。在后续处理步骤中,可形成到线191的互连。 
在一个实施例中,线191彼此之间可间隔约50及100纳米(nm)。在另一实施例中,线191彼此之间可间隔约20及50nm。在某些实施例中,所述线之间的间隔可经选择以避免所述线之间的电短路。 
尽管已使用图3-12中所图解说明的工艺来形成线,但应了解,所述工艺可用于形成镶嵌特征。在此种情况下,衬底110可以是绝缘体(例如,SiO2)且间距倍增因数4的间隔192代表将要在所述绝缘体中形成的沟槽的位置,其可填充有导电材料。 
间距倍增因数6
将论述用于形成间距倍增因数6的特征(例如,线、间隔)图案的方法的实施例。 
参照图13A,在一实施例中,在安置在多个掩蔽层上方的光可定义层201中形成特征图案210,所述多个层从顶部到底部包括第一硬掩模层202、第二硬掩模层203、第三硬掩模层204、第四硬掩模层205及衬底206。如在先前的实施例中,所述衬底可包括将要图案化或掺杂的晶片的顶部半导体层或部分、将要图案化的导电层或将要图案化的绝缘体以形成(例如)镶嵌特征。在所图解说明的实施例中,所述特征是垂直于页的平面定向的线。尽管所图解说明的实施例包含第一、第二第三及第四硬掩模层202-205,但应了解,可提供其它硬掩模及临时层,例如额外的插入蚀刻终止层。 
应了解,本发明实施例的方法可用于图案化半导体晶片上方的导电层(例如,Si、多晶硅、Al、W、WSix、Ti、TiN等)以形成导线,图案化半导体晶片或半导体晶片上方的绝缘体(例如,SiO2)以形成镶嵌特征。 
参照图13B,使用单个蚀刻或一系列选择性蚀刻将特征图案210转移到第一、第二及第三硬掩模层202-204。接下来,参照图13C,各向同性地修整第一硬掩模层202。所述蚀刻化学物选择性地修整包含第一硬掩模层202的材料。所述各向同性蚀刻在第一硬掩模层202中形成改变的特征图案211。接下来,在第一硬掩模层202充当掩模的情况下,使用对包含第三硬掩模层203的材料具有选择性的各向异性(或方向性)蚀刻化学物来将所述改变的特征图案211转移到第二硬掩模层203,如图13D中所图解说明。接下来,参照图13E,各向同性且选择性地蚀刻(相对于第二及第三硬掩模层203及204)第一硬掩模层202。 
尽管参照特定的蚀刻顺序来说明图13B-13E,但所属领域的技术人员应了解可到达图13E的结构的其它蚀刻顺序。 
间距倍增工艺的此级处的线分别在第一、第二及第三硬掩模层202-204中界定第一、第二及第三心轴212-214。在一个实施例中,至少对于其大部分长度来说,所述第二及第三心轴平行于所述第一心轴延伸。接下来,在心轴212-214上保形地沉积间隔物材料且对其进行各向异性蚀刻以形成心轴212-214周围的间隔物215,如图13F中所示。接下来,在心轴212-214充当掩模的情况下,各向异性蚀刻心轴212-214的 暴露部分217以形成相对于在光可定义层201中形成的线(参见图13A)间距倍增因数6的线图案216,如图13G中所示。换句话说,在其中光刻法已界定一个线及一个间隔的间隔(图13A)中,现在界定六个线及六个间隔(图13G)。 
接下来,参照图13H,使用对包含第四硬掩模层205的材料具有选择性的蚀刻化学物来将线图案216转移到第四硬掩模层205。第四硬掩模层(或转移层)205将充当用于到衬底206(未显示)的后续图案转移或所述衬底的通过掩模的其它处理(例如,氧化、氮化、电掺杂、自对准硅化等)的所述掩模。 
图14-21图解说明根据本发明的另一实施例的用于形成间距倍增因数6的特征的工艺,其中光致抗蚀剂加倍以作为最顶部心轴。 
参照图14,部分形成的集成电路300从底部到顶部包含衬底310、转移层320、第一硬掩模层330、第二硬掩模层340、第三硬掩模层350及光可定义材料层360。转移层320将充当用于到衬底310的图案转移的最终掩模。在随后的处理步骤中,将在层340-360中形成心轴,其中层360中的心轴由光可定义材料形成。然而,如在图13A-13H中,层360可以是硬掩模层且集成电路300进一步包含上覆于层360上的光可定义层。 
继续参照图14,衬底310可包括将要图案化或掺杂的晶片的顶部半导体层或部分、将要图案化的导电层或将要图案化的绝缘体以形成(例如)镶嵌特征。光致抗蚀剂层360可由任何光致抗蚀剂材料(包括所属技术中已知的光致抗蚀剂材料)形成,且可与157nm、193nm、248nm或365nm波长的光学扫描仪、193nm波长的浸没系统、极紫外系统(包括13.7nm系统)或电子束光刻系统兼容。 
基于化学物及工艺条件的考虑来为本文中所论述的各种图案形成及图案转移步骤选择上覆于衬底310上的层320-360的材料。层320-360中的每一者可经选择,使得可相对于下文中所论述步骤的其它暴露材料对所述层进行选择性蚀刻。由于上覆于衬底310上的层320-360的目标是允许在衬底310中形成经良好界定的图案,因此应了解,如果使用合适的材料、化学物及/或工艺条件,那么可替代层320-360中的一者或一者以上。另外,应了解,可在衬底310上方添加额外掩蔽层以形成相对于衬底310具有改善的蚀刻选择性的掩模,例如额外的插入蚀刻终止层。作为实例,在层360由光可定义材料形成的情况下,层350可由BARC、旋涂有机膜或无定形碳形成;层340可由无定形硅形成;层330可由Si3N4形成;且层320可由无定形碳形成。所属领域的技术人员应了解,层320-360中的每一者的其它组合及排列也是可行的。 
参照图15A及15B,在光可定义层360中形成包含间隔或沟槽375的图案370,所述间隔或沟槽可被由光可定义材料形成的特征360a定界。图15B是进行中(或部分形成)的集成电路300在图案370在光可定义层360中形成之后的示意性俯视平面图。图15A是沿图15B的线15A-15A截取的截面图。可使用248nm或193nm的光通过(例如)光刻法来形成沟槽375,其中将光可定义层360暴露给穿过光罩的辐射且然后使其显影。层360可由具有比层350高的蚀刻速率(相对于所使用的特定化学 物)的材料形成(参见下文)。一般来说,较低分辨率的光刻系统(例如,248nm)系统可用于所图解说明的工艺,但仍实现较好的特征大小。在一个实施例中,层360由248nm抗蚀剂形成。在所图解说明的实施例中,间距由“E”指示。 
如图所示,特征360a可包含平行且伸长的线,其中其平行长度是其宽度的至少10倍,更通常是其宽度的至少100倍。尽管其大部分长度平行,但从图23A-23C(在下文中说明)的说明应了解,所述线可包括特别用以促进接触的弯曲或转弯。所述特征对于形成(例如)用于存储器装置中的规则线阵列最有用。 
参照图16,将光可定义层360中的线360a图案370转移到第二及第三掩模层340及350,从而创建线340a及350a。可使用对层340及350的材料具有选择性的各向异性(或方向性)蚀刻来完成此转移。在一个实施例中,所述图案转移包含使用对层350的材料具有选择性的第一蚀刻化学物,此后使用对层340的材料具有选择性的蚀刻化学物。在另一实施例中,可使用单个蚀刻化学物来蚀刻层340及350。作为实例,可使用SO2/O2/Ar/N2等离子蚀刻层350,此后使用HBr等离子蚀刻层340来完成图案转移。在所图解说明的实施例中,所述蚀刻化学物不显著地移除包含层330的材料,其在所图解说明的实施例中充当蚀刻终止。作为替代方案,可使用穿过层340及350的溅射蚀刻(例如,Ar溅射蚀刻)来完成图案转移,在此情况下将对溅射进行定时以不蚀刻层330。 
参照图17,通过相对于线340a及层330进行各向同性及选择性蚀刻以形成线360c及350b来修整线360a及350a。通过选择层360a及350a的材料的正确组合(参见图16)及适当的修整化学物,可在一个修整步骤中实现从图16到图17的转变,如所图解说明。如果层350a及350b是有机的,那么可使用O2/Cl2、O2/HBr或O2/SO2/N2等离子。另一选择为,可在多个修整步骤中不同程度地各向同性且选择性地修整不同级处的不同心轴材料以到达图17的结构。 
接下来,参照图18及19,同时在心轴340a及350b及360c的侧壁上形成间隔物。 
参照图18,保形地将间隔物材料层380保形毯覆沉积在集成电路300的暴露表面上方,所述暴露表面包括层330及心轴340a、350b及360c的顶部及侧壁。层380可具有约等于将要形成的所需间隔物385宽度的厚度(参见图19),从而计及因后续处理步骤(例如,间隔物蚀刻或心轴移除)而可发生的任何尺寸改变。对于上述材料组合,层380可由(例如)氧化物(例如,SiO2、Al2O3、HfO2)或氮氧化硅形成。更一般来说,间隔物层380可相对于硬掩模层330及心轴340a、350b及360c选择性地可蚀刻。 
参照图19,间隔物层380经受各向异性(或方向性)蚀刻以从部分形成的集成电路300的水平表面移除间隔物材料。所述蚀刻化学物可对间隔物层380的材料具有选择性。针对所选择的材料组合,可使用(例如)采用含CF4、CHF3及/或NF3的等离子的RIE来执行此种方向性蚀刻(还称作间隔物蚀刻)。 
继续参照图19,间隔物385的宽度由“F”表示,第一心轴340a的宽度由“G”表示,第二心轴350b的宽度由“H”表示且第三心轴360c的宽度由“I”表示。间隔物宽度“F”将确定在衬底310中形成的特征之间的间隔。在一个实施例中,“F”可小于或等于(H-I)/2。在另一实施例中,“F”可小于或等于(H-I)/3。在再一实施例中,“F”可约等于(H-I)/4。“F”可经选择以便甚至获得线/间隔宽度。在一个实施例中,“G”与“H”之间的差(即,G-H)约等于“H”与“I”之间的差(即,H-I)。“I”可约等于“F”。间隔物宽度“F”由用于形成间隔物385的处理条件(例如,沉积厚度、蚀刻化学物、蚀刻时间)确定。 
应了解,图19中所示特征的位置及宽度将控制最终形成的掩模特征的位置及宽度(参见图20及21)及衬底310中的最终图案(参见图22A及22B)。 
接下来,参照图19-21,选择性地移除心轴340a、350b及360c的暴露部分(即,心轴340a、350b及360c不被间隔物385覆盖的若干部分)以留下自支撑的间隔物385。自支撑间隔物385界定间隔物图案390,其可界定将要在衬底310中形成的伸长线图案。所图解说明的实施例是延伸进并延伸出所述页的线图案390的截面图。可使用(例如)对层340-360中的至少一者具有选择性的蚀刻化学物或多个选择性蚀刻化学物来蚀刻所述心轴。作为实例,可使用SO2/O2/Ar/N2RIE蚀刻心轴350b及360c,此后使用HBr RIE等离子蚀刻心轴340a来完成图案转移。 
接下来,参照图21,将间隔物图案390转移到层320及330,从而形成线320a及330a。可使用对每一层具有选择性的蚀刻化学物连续完成(即,层330,此后是层320)或使用同一蚀刻化学物或物理(溅射蚀刻)同时完成图案转移。作为实例,如果层330由Si3N4形成,那么可使用含氟的等离子,例如CHF3/O2/He或C4F8/CO/Ar等离子。作为另一实例,如果层330由Al2O3或HfO2形成,那么可使用含BCl3的等离子。随后可使用可对包含层320的材料具有选择性的方向性蚀刻来蚀刻转移层320。作为实例,如果层320由BARC或无定形碳形成,那么可使用含硫磺的等离子,例如SO2/O等离子。 
如按从图20到21的顺序所图解说明,可在通过掩模处理(例如,蚀刻衬底)之前移除间隔物385及第一及第二心轴340a及350b的剩余部分,以减小掩模特征的纵横比。举例来说,如果间隔物385包含氧化硅(例如,SiO2),第一心轴340a包含无定形硅且第二心轴350b包含无定形碳,那么可使用湿式或干式蚀刻(例如,湿式缓冲氧化物蚀刻或使用基于氟的等离子的干式蚀刻)来执行间隔物移除。作为替代方案,可使用旋涂填充物及化学物机械抛光(CMP)来移除间隔物385及心轴340a及350b。硬掩模层330可充当蚀刻终止阻挡层以保护转移层320内的图案390。取决于所选择的移除化学物,可在硬掩模层330的蚀刻之后但在蚀刻转移层320之前执行间隔物/心轴移除。可相对于硬掩模层330及转移层320选择性地移除心轴。 
接下来,参照图22A及22B,其中线320a及330a充当掩模,将图案390转移到衬底310以形成线391与衬底310中的间隔或沟槽392交替的图案390。图22B是集 成电路300在图案转移及用以移除线391的终端的单独掩模及蚀刻步骤之后的示意性俯视平面图(参见下文)。图22A是沿图22B的线22A-22A截取的截面图。尽管在所图解说明的实施例中,线320a及330a充当用于到衬底310的图案转移的掩模,但应了解,可移除线330a且线320a可充当所述掩模。 
继续参照图22A及22B,如果将要蚀刻的衬底是半导体晶片或导体,那么可使用各向异性蚀刻(例如,BCl3/Cl2等离子蚀刻)来完成图案转移,以通过在转移层320中形成的图案选择性地蚀刻衬底310。随后选择性地移除线320a及330a。作为替代方案,可使用化学机械抛光(CMP)来移除线320a及330a。 
执行后续掩模及蚀刻步骤以移除线391的终端。也就是说,施加掩模以保护线391(使终端不被覆盖)且使用蚀刻化学物来移除所述终端。随后移除所述保护性掩模以给出图22B中所图解说明的线391图案390。另一选择为,对于镶嵌工艺,在转移到下部层之前,保护性掩模可阻断间隔物回路的端(参见图12B及所附论述)。 
因此,线图案相对于形成于光可定义层360中的图案(参见图15A)间距倍增因数6。在集成电路300在间距倍增之前每一间隔“E”包括一个心轴及一个间隔或沟槽(参见图15A)的情况下,在间距倍增之后,间隔“E”包含六个线391及六个间隔或沟槽392。 
在一个实施例中,线390彼此之间可间隔约50及100纳米(nm)。在另一实施例中,线390彼此之间可间隔约20及50nm。在某些实施例中,所述线之间的间隔可经选择以避免所述线之间的电短路。 
参照图23A-23C,其图解说明用于形成到使用方法实施例形成的线的接触的步骤序列。所图解说明的序列是间距倍增因数4的线的接触形成的实例;然而,所属领域中的技术人员应了解,本文所教示的原理也可用于形成到间距倍增因数6、8等的线的接触。 
图23A是部分形成的集成电路400在形成心轴410及415之后的示意性俯视平面图。所述心轴界定伸长且间距倍增的线。所述间距倍增的线邻接未间距倍增的特征(例如,导线、接触或垫)。集成电路400可类似于图6A及6B中所示的集成电路。心轴415上覆于心轴410上,所述心轴由间隔420定界。心轴上覆于硬掩模层422(通过间隔420可看到)、转移层(未显示)及衬底425(参见图23C)上。所述衬底包含上覆于半导体晶片425上的导电层(例如,Si、多晶硅、Al、W、WSix、Ti、TiN等)(参见图23C)。集成电路400在心轴410及415的终端处包含回路或弯曲430。 
根据以上说明应了解,最初图案化(例如,通过光刻法)的垂直堆叠的心轴410、415具有相同的宽度。然而,在差别收缩或修整之后,使得上部心轴415比下部心轴410窄。相应地,选择具有较窄区段416的初始图案,使得所述修整工艺从那些较窄的区段416完全移除所述上部心轴,从而留下下部心轴410的没有任何上覆上部心轴的区段。此外,剩余下部心轴410包括此较窄区段416中下部心轴410的线之间的相对较宽的间隔窗口。在图23A的图解说明中,显示每一较窄区段416中有两个此类邻近窗口。如根据后续图式将了解,区段416中的较窄桥及宽窗口两个特征促进间距倍增的特征到未间距倍增的特征(例如,接触)的电连接。
此外,将图案的其它区段417制造得比所述线宽。在修整之后,上部心轴415保持比在阵列区段中宽。如根据后续图式将了解,在此类较宽区段417中形成的间隔物间隔得更远,从而也促进间距倍增的线使用未间距倍增的特征(例如,接触)的单独电连接。因此,如由界定阵列中的线的宽区段417、窄区段416及的中间区段中的三个不同宽度表明,初始图案包括沿所述图案变化的线宽度,以促进将由侧壁间隔物界定的特征之间的电连接。 
参照图23B,通过在心轴410及415上方保形毯覆沉积间隔物材料,此后通过方向性间隔物蚀刻(优先蚀刻毯覆保形间隔物层的水平段)以形成间隔物图案来形成间隔物431。间隔物431由图23B中心轴410、415的边缘上的厚黑色线代表。可执行修整及间隔物蚀刻,使得其不蚀刻硬掩模层422。点线箭头423指示将在那里切割(用于常规蚀刻)或阻断(用于镶嵌制作)导线426的位置(参见图23C)。掩模特征440(其可在图23C的导线426被界定之后由单独的接触掩模界定)充当将在图案转移到上覆于衬底425上的导电层之后形成的接合垫460的位置(参见下文)。所图解说明的箭头形状423跨越上述间隔的较宽窗口,使得常规光刻法可准确地放置阻断或切割掩模。 
接下来,参照图23C,将间隔物图案转移到上覆于衬底425上的导电层,且移除下伏于图23B中所示的箭头的线的若干部分以形成隔离的导线426。图23C的集成电路进一步包含用于建立到线426的电接触的接合垫460。 
尽管已使用图23A-23C中所图解说明的序列常规地蚀刻导线,但应了解,所述序列可用于蚀刻镶嵌特征的沟槽或绝缘(例如,SiO2)或半导电(例如,硅)衬底中的线。 
尽管已在某些实施例中图解说明了间距倍增的特征,但应了解,可随间距倍增的特征形成未间距倍增的特征(例如,焊接垫)。在某些情况下,未间距倍增的特征可上覆于间距倍增的特征上。 
因此,所属领域的技术人员应了解,可在不背离本发明的范围的情况下对上文所说明的方法及结构作出各种其它省略、添加及修改。举例来说,且并非限制,通过在线阵列(例如,在存储器阵列中)的背景下说明,本文中所教示的间距倍增技术将应用于各种各样的背景下。举例来说,本文中所教示的技术可应用于光学元件(例如,衍射光栅)、MEMS结构、边缘射极等。在这些应用中的某些应用中,不需要到间距倍增特征的电连接,从而甚至进一步简化所述应用。本文中所教示的方法用于将特征大小延伸到光可定义大小以下,但甚至应用于光学分辨率不受限制的情况,以采用较不昂贵的光刻系统。此外,应了解,本文中所说明的技术可延伸到更大数量的心轴级,且可与采用多个间隔物沉积步骤的技术组合,且可多次重复所述工艺以实现更复杂的图案。所有此类修改及改变既定归属于所附权利要求书所界定的本发明的范围内。 

Claims (53)

1.一种用于制作集成电路的方法,其包含:
在衬底上方提供第一心轴,所述第一心轴具有第一宽度;
在所述第一心轴上方提供第二心轴,所述第二心轴具有比所述第一宽度小的第二宽度且由与所述第一心轴不同的材料形成;
同时在所述第一及第二心轴的侧壁上形成间隔物;
选择性地移除所述第一心轴及所述第二心轴的相对于所述间隔物的至少若干部分以形成由所述间隔物界定的间隔物图案;及
通过由所述间隔物图案界定的掩模处理所述衬底。
2.如权利要求1所述的方法,其中处理包含蚀刻以将所述间隔物图案转移到所述衬底。
3.如权利要求2所述的方法,其进一步包含将所述间隔物图案转移到下部硬掩模层及在处理之前移除所述间隔物。
4.如权利要求3所述的方法,其中所述衬底包含上部层间电介质层且通过所述掩模处理形成镶嵌沟槽。
5.如权利要求4所述的方法,其中所述间隔物图案包含具有连接的回路端的伸长线,且所述方法进一步包含在处理之前阻断所述回路端。
6.如权利要求3所述的方法,其中在所述衬底上方提供所述第一心轴包含在导体上方提供所述第一心轴。
7.如权利要求6所述的方法,其中所述间隔物图案包含具有连接的回路端的伸长线,且所述方法进一步包含在处理之前移除所述回路端。
8.如权利要求1所述的方法,其中提供所述第二心轴包含提供平行于所述第一心轴延伸的所述第二心轴。
9.如权利要求1所述的方法,其中提供所述第二心轴包含提供相对于所述第一心轴居中的所述第二心轴。
10.如权利要求1所述的方法,其中同时形成所述间隔物提供具有比所述第一宽度小的第三宽度的间隔物。
11.如权利要求1所述的方法,其中提供所述第二心轴包含使用分辨率限制大于200nm的光刻法。
12.如权利要求1所述的方法,其进一步包含在所述第二心轴上提供第三心轴,所述第三心轴具有第三宽度。
13.如权利要求12所述的方法,其中提供所述第三心轴包含提供具有比所述第二宽度小的所述第三宽度的所述第三心轴。
14.如权利要求13所述的方法,其中提供所述第三心轴包含提供相对于所述第二心轴居中的所述第三心轴。
15.如权利要求1所述的方法,其中选择性地移除包含移除所述第一心轴的若干部分。
16.如权利要求1所述的方法,其中选择性地移除包含留下所述第一心轴的直接在所述第二心轴的所述侧壁上的间隔物下方的若干部分。
17.如权利要求1所述的方法,其中形成间隔物包含在所述衬底的表面上毯覆沉积间隔物材料。
18.如权利要求1所述的方法,其中同时形成间隔物包含提供具有小于或等于所述第一宽度的半倍减去所述第二宽度的半倍的宽度的间隔物。
19.如权利要求1所述的方法,其中提供所述第一心轴包含图案化光致抗蚀剂层,且其中提供所述第二心轴包含通过经图案化的光致抗蚀剂层蚀刻一层第二心轴材料。
20.如权利要求19所述的方法,其进一步包含在蚀刻所述一层第二心轴材料之后各向同性地蚀刻所述经图案化的光致抗蚀剂层。
21.一种用于使用分层的心轴沿一个维度形成间距倍增因数2n的线图案的方法,其包含:
在衬底上方提供n层堆叠心轴,其中n≥2,所述n层中的每一者包含多个伸长心轴,所述多个伸长心轴对于其大部分长度而言彼此平行,其中层n处的心轴在层n-1处的心轴上方且平行于层n-1处的所述心轴,其中层n处的邻近心轴之间的距离大于层n-1处的邻近心轴之间的距离,其中直接相邻的心轴由不同的材料形成;及
同时在所述心轴的侧壁上形成间隔物。
22.如权利要求21所述的方法,其中提供n层堆叠心轴包含提供层n处的与层n-1处的心轴直接接触的心轴。
23.如权利要求21所述的方法,其中提供n层堆叠心轴包含提供层n处的在层n-1处的心轴上方居中的心轴。
24.如权利要求21所述的方法,其进一步包含选择性地移除所述心轴的相对于所述间隔物的至少若干部分以形成由所述间隔物界定的间隔物图案。
25.如权利要求24所述的方法,其进一步包含将所述间隔物图案转移到所述衬底以形成所述间距倍增因数2n的线图案。
26.如权利要求25所述的方法,其中将所述间隔物图案转移到所述衬底包含形成界定沟槽的所述线图案。
27.如权利要求21所述的方法,其中提供n层堆叠心轴包含提供界定线的心轴。
28.如权利要求21所述的方法,其中提供n层堆叠心轴包含提供层n处的比层n-1处的个别心轴窄的个别心轴。
29.如权利要求21所述的方法,其进一步包含在所述衬底与所述心轴之间提供一个或一个以上硬掩模层。
30.如权利要求21所述的方法,其进一步包含在所述衬底与所述心轴之间提供转移层。
31.如权利要求21所述的方法,其中在所述衬底上方提供n层堆叠心轴包含在半导体晶片上方提供n层堆叠心轴。
32.如权利要求31所述的方法,其中在所述衬底上方提供n层堆叠心轴包含在所述半导体晶片上方包含层间电介质层的衬底上方提供n层堆叠心轴。
33.一种部分形成的集成电路,其包含上覆于衬底上的掩模,所述掩模包含:
所述衬底上方的第一心轴,所述第一心轴具有第一宽度A,及所述第一心轴上方的第二心轴,所述第二心轴具有第二宽度B,其中A>B,其中所述第一心轴由与所述第二心轴不同的材料形成;及
所述第一心轴及所述第二心轴的侧壁上的间隔物,所述间隔物具有间隔物宽度C,其中
Figure FSB00000387658100031
34.如权利要求33所述的部分形成的集成电路,其中所述第二心轴在所述第一心轴上方。
35.如权利要求33所述的部分形成的集成电路,其中
Figure FSB00000387658100032
36.如权利要求35所述的部分形成的集成电路,其中
Figure FSB00000387658100033
37.如权利要求33所述的部分形成的集成电路,其中所述第二心轴相对于所述第一心轴居中。
38.如权利要求33所述的部分形成的集成电路,其进一步包含所述第二心轴上方的第三心轴,所述第三心轴具有第三宽度,其中所述第三宽度小于所述第二宽度。
39.如权利要求38所述的部分形成的集成电路,其中所述第三心轴相对于所述第二心轴居中。
40.如权利要求33所述的部分形成的集成电路,其中所述第一及第二心轴为平行线。
41.如权利要求33所述的部分形成的集成电路,其中所述间隔物界定间隔物图案。
42.如权利要求41所述的部分形成的集成电路,其中所述间隔物图案包含用于NAND快闪存储器的控制栅极堆叠的特征。
43.如权利要求41所述的部分形成的集成电路,其中所述间隔物图案包含逻辑阵列的特征。
44.如权利要求41所述的部分形成的集成电路,其中所述间隔物图案包含栅极阵列的特征。
45.如权利要求41所述的部分形成的集成电路,其中所述间隔物图案包含存储器阵列的特征。
46.如权利要求41所述的部分形成的集成电路,其中所述间隔物图案包含动态随机存取存储器(DRAM)装置的特征。
47.一种掩蔽工艺,其包含:
在两个或两个以上堆叠膜上方界定图案;
将所述图案转移到所述两个或两个以上堆叠膜中;
相对于所述两个或两个以上堆叠膜中的下部膜减小至少一上部膜的元件的尺寸以产生两个或两个以上堆叠心轴;及
同时在所述堆叠心轴的侧壁上形成侧壁间隔物。
48.如权利要求47所述的工艺,其中界定所述图案包含光刻法。
49.如权利要求47所述的工艺,其中界定所述图案包含形成窄区段,所述窄区段的宽度经选择以使得在减小尺寸时在所述窄区段中移除所述上部膜,而在减小尺寸之后在所述图案的其它区段中所述上部膜仍留作心轴。
50.如权利要求47所述的工艺,其中界定所述图案包含形成宽区段,所述宽区段的宽度经选择以分离形成于所述宽区段中的侧壁间隔物,使得光刻法可界定到由所述宽区段中的所述侧壁间隔物界定的特征的接触,而光刻法不能够单独地接触由所述图案的其它区段中的所述侧壁间隔物界定的特征。
51.如权利要求47所述的工艺,其中界定所述图案包含沿所述图案改变线的宽度以促进由所述侧壁间隔物界定的特征之间的电连接。
52.如权利要求47所述的工艺,其中界定所述图案包含在所述图案的窗口区段中的所述下部膜的邻近段之间包括窗口,以相对于在由所述图案的其它区段中的所述下部膜界定的心轴上形成的间隔物的间隙增加在由所述窗口区段中的所述下部膜界定的心轴上形成的间隔物的间隙。
53.如权利要求52所述的工艺,其中所述窗口区段包含邻近窗口,所述邻近窗口准许间隔物的横向间隙足以实现到由所述间隔物界定的特征的未间距倍增的接触。
CN2007800379938A 2006-08-30 2007-08-20 用于将间距倍增大于2的因数的单个间隔物工艺及相关中间ic结构 Active CN101529557B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/514,117 US7611980B2 (en) 2006-08-30 2006-08-30 Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US11/514,117 2006-08-30
PCT/US2007/018395 WO2008027239A2 (en) 2006-08-30 2007-08-20 Single spacer process for multiplying pitch by a factor greater than two and related intermediate ic structures

Publications (2)

Publication Number Publication Date
CN101529557A CN101529557A (zh) 2009-09-09
CN101529557B true CN101529557B (zh) 2011-06-15

Family

ID=39136473

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800379938A Active CN101529557B (zh) 2006-08-30 2007-08-20 用于将间距倍增大于2的因数的单个间隔物工艺及相关中间ic结构

Country Status (6)

Country Link
US (4) US7611980B2 (zh)
JP (1) JP5263547B2 (zh)
KR (1) KR101483804B1 (zh)
CN (1) CN101529557B (zh)
TW (1) TWI383432B (zh)
WO (1) WO2008027239A2 (zh)

Families Citing this family (559)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR100866723B1 (ko) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20090004868A1 (en) * 2007-06-29 2009-01-01 Doyle Brian S Amorphous silicon oxidation patterning
KR100861169B1 (ko) * 2007-07-27 2008-09-30 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
KR100965775B1 (ko) * 2007-09-12 2010-06-24 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8716135B1 (en) * 2008-01-30 2014-05-06 Cadence Design Systems, Inc. Method of eliminating a lithography operation
JP5086283B2 (ja) * 2008-02-15 2012-11-28 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
KR100933868B1 (ko) * 2008-03-10 2009-12-24 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
JP5160302B2 (ja) * 2008-05-19 2013-03-13 株式会社東芝 半導体装置の製造方法
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
JP2010003826A (ja) * 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP4789158B2 (ja) 2008-08-18 2011-10-12 株式会社東芝 半導体装置の製造方法、及び半導体装置
KR20100049334A (ko) * 2008-11-03 2010-05-12 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8048813B2 (en) * 2008-12-01 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing delamination in the fabrication of small-pitch devices
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
JP5330004B2 (ja) * 2009-02-03 2013-10-30 株式会社東芝 半導体装置の製造方法
US7829466B2 (en) * 2009-02-04 2010-11-09 GlobalFoundries, Inc. Methods for fabricating FinFET structures having different channel lengths
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8043964B2 (en) 2009-05-20 2011-10-25 Micron Technology, Inc. Method for providing electrical connections to spaced conductive lines
CN102439523B (zh) 2009-07-23 2015-01-07 道康宁公司 用于双重图案化的方法和材料
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8354331B2 (en) * 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US7871909B1 (en) * 2010-01-19 2011-01-18 Sandisk 3D Llc Methods of using single spacer to triple line/space frequency
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8764999B2 (en) 2010-11-23 2014-07-01 Tokyo Electron Limited Sidewall image transfer pitch doubling and inline critical dimension slimming
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8922020B2 (en) 2010-12-29 2014-12-30 Macronix International Co., Ltd. Integrated circuit pattern and method
US20120175745A1 (en) * 2011-01-06 2012-07-12 Nanya Technology Corporation Methods for fabricating semiconductor devices and semiconductor devices using the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102623423B (zh) * 2011-01-26 2015-01-28 旺宏电子股份有限公司 集成电路图案及多重图案化方法
JP5289479B2 (ja) * 2011-02-14 2013-09-11 株式会社東芝 半導体装置の製造方法
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013004669A (ja) * 2011-06-15 2013-01-07 Toshiba Corp パターン形成方法、電子デバイスの製造方法及び電子デバイス
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130065397A1 (en) * 2011-09-12 2013-03-14 Vigma Nanoelectronics Methods to increase pattern density and release overlay requirement by combining a mask design with special fabrication processes
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115778A1 (en) * 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US8629040B2 (en) 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8728940B2 (en) 2012-01-26 2014-05-20 Micron Technology, Inc. Memory arrays and methods of forming same
US8741776B2 (en) * 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8524605B1 (en) * 2012-04-16 2013-09-03 Vigma Nanoelectronics Fabrication and mask design methods using spatial frequency sextupling technique
US8980111B2 (en) 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
US9006911B2 (en) 2012-05-16 2015-04-14 Nanya Technology Corporation Method for forming patterns of dense conductor lines and their contact pads, and memory array having dense conductor lines and contact pads
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8716133B2 (en) 2012-08-23 2014-05-06 International Business Machines Corporation Three photomask sidewall image transfer method
US8815740B2 (en) * 2012-08-24 2014-08-26 Kabushiki Kaisha Toshiba Method for forming pattern and method for fabricating semiconductor device
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8986920B2 (en) * 2012-11-13 2015-03-24 Nanya Technology Corporation Method for forming quarter-pitch patterns
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8941189B2 (en) 2013-01-07 2015-01-27 International Business Machines Corporation Fin-shaped field effect transistor (finFET) structures having multiple threshold voltages (Vt) and method of forming
CN103928303A (zh) * 2013-01-10 2014-07-16 中芯国际集成电路制造(上海)有限公司 多重图形的形成方法
CN103928312B (zh) * 2013-01-10 2016-12-28 中芯国际集成电路制造(上海)有限公司 图案的形成方法
US8835328B2 (en) * 2013-02-08 2014-09-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved semiconductor fin structures
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) * 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240346B2 (en) 2013-03-14 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Double patterning method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8969205B2 (en) * 2013-03-28 2015-03-03 GlobalFoundries, Inc. Double patterning via triangular shaped sidewall spacers
CN104078330B (zh) * 2013-03-28 2018-03-06 中芯国际集成电路制造(上海)有限公司 自对准三重图形的形成方法
CN104078329B (zh) * 2013-03-28 2019-05-28 中芯国际集成电路制造(上海)有限公司 自对准多重图形的形成方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9613806B2 (en) 2013-09-04 2017-04-04 Sandisk Technologies Llc Triple patterning NAND flash memory
US8932955B1 (en) 2013-09-04 2015-01-13 Sandisk Technologies Inc. Triple patterning NAND flash memory with SOC
US8969206B1 (en) * 2013-09-04 2015-03-03 Sandisk Technologies Inc. Triple patterning NAND flash memory with stepped mandrel
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9006110B1 (en) * 2013-11-08 2015-04-14 United Microelectronics Corp. Method for fabricating patterned structure of semiconductor device
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9136162B2 (en) * 2013-12-05 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using horn shaped spacer
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9425049B2 (en) 2014-01-14 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Cut first self-aligned litho-etch patterning
US9368349B2 (en) * 2014-01-14 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut last self-aligned litho-etch patterning
US9224617B2 (en) * 2014-01-29 2015-12-29 Globalfoundries Inc. Forming cross-coupled line segments
KR101860249B1 (ko) * 2014-02-23 2018-05-21 도쿄엘렉트론가부시키가이샤 다수의 패터닝된 층을 교차시켜 패턴 밀도를 증가시키는 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9257282B2 (en) 2014-05-02 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9123656B1 (en) * 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9224744B1 (en) 2014-09-03 2015-12-29 Sandisk Technologies Inc. Wide and narrow patterning using common process
US9941139B2 (en) * 2014-09-10 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9478461B2 (en) * 2014-09-24 2016-10-25 Sandisk Technologies Llc Conductive line structure with openings
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9337050B1 (en) * 2014-12-05 2016-05-10 Globalfoundries Inc. Methods of forming fins for finFET semiconductor devices and the selective removal of such fins
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9390922B1 (en) 2015-02-06 2016-07-12 Sandisk Technologies Llc Process for forming wide and narrow conductive lines
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9425047B1 (en) 2015-02-19 2016-08-23 Sandisk Technologies Llc Self-aligned process using variable-fluidity material
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102337410B1 (ko) 2015-04-06 2021-12-10 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US9786503B2 (en) * 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9595444B2 (en) 2015-05-14 2017-03-14 Sandisk Technologies Llc Floating gate separation in NAND flash memory
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI653687B (zh) * 2015-07-01 2019-03-11 聯華電子股份有限公司 半導體元件及其製作方法
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9911693B2 (en) * 2015-08-28 2018-03-06 Micron Technology, Inc. Semiconductor devices including conductive lines and methods of forming the semiconductor devices
CN108352304B (zh) * 2015-09-24 2022-03-08 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108700802A (zh) 2015-12-31 2018-10-23 Asml荷兰有限公司 蚀刻辅助特征
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9679771B1 (en) * 2016-03-07 2017-06-13 Peking University Shenzhen Graduate School Fabrication and design methods using selective etching and dual-material self-aligned multiple patterning processes to reduce the cut-hole patterning yield loss
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
CN109075124B (zh) * 2016-04-29 2023-01-24 东京毅力科创株式会社 使用具有多种材料的层对基底进行图案化的方法
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773870B1 (en) 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9997369B2 (en) 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10840150B2 (en) * 2017-01-10 2020-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9911914B1 (en) 2017-03-28 2018-03-06 International Business Machines Corporation Sub-lithographic magnetic tunnel junctions for magnetic random access memory devices
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
TW201917775A (zh) * 2017-07-15 2019-05-01 美商微材料有限責任公司 用於利用放大的epe窗口切割圖案流程的遮罩方案
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107731665B (zh) * 2017-11-13 2023-07-25 长鑫存储技术有限公司 用于间距倍增的集成电路制造
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10573528B2 (en) * 2017-12-14 2020-02-25 Tessera, Inc. Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US20190207101A1 (en) * 2017-12-28 2019-07-04 Spin Transfer Technologies, Inc. Photolithographic method for fabricating dense pillar arrays using spacers as a pattern
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10629436B2 (en) 2018-04-12 2020-04-21 International Business Machines Corporation Spacer image transfer with double mandrel
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110391136B (zh) * 2018-04-17 2022-03-15 联华电子股份有限公司 图案化方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10622301B2 (en) 2018-08-17 2020-04-14 International Business Machines Corporation Method of forming a straight via profile with precise critical dimension control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
EP3660890B1 (en) * 2018-11-27 2021-08-11 IMEC vzw A method for forming an interconnection structure
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11107728B2 (en) 2019-05-22 2021-08-31 International Business Machines Corporation Interconnects with tight pitch and reduced resistance
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11776812B2 (en) 2020-05-22 2023-10-03 Tokyo Electron Limited Method for pattern reduction using a staircase spacer
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407785A (en) * 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5899746A (en) * 1995-09-08 1999-05-04 Sony Corporation Method of forming pattern
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension

Family Cites Families (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US77524A (en) * 1868-05-05 Improvement in harvesters
US292991A (en) * 1884-02-05 Machine for cutting heads of boxes
US115978A (en) * 1871-06-13 Improvement in corn-harvesters
JPS5229344A (en) 1975-08-30 1977-03-05 Brother Ind Ltd Machine with automatic thread cutter
JPS5748237Y2 (zh) 1978-12-28 1982-10-22
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
JPS5898942A (ja) * 1981-12-09 1983-06-13 Hitachi Ltd 超微細パタ−ンの形成法
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
JPS607736A (ja) * 1983-06-27 1985-01-16 Toshiba Corp 半導体装置の製造方法
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
DE3682395D1 (de) 1986-03-27 1991-12-12 Ibm Verfahren zur herstellung von seitenstrukturen.
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS6425537A (en) * 1987-07-22 1989-01-27 Hitachi Ltd Fine pattern forming method
JPS6435916U (zh) 1987-08-28 1989-03-03
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR970007173B1 (ko) * 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
KR100225060B1 (ko) * 1996-07-25 1999-10-15 윤종용 반도체 장치의 제조 방법
JP3164026B2 (ja) 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
KR19980012565U (ko) 1996-08-27 1998-06-05 양재신 버스용 에어컨 덕트의 취부구조
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
KR200173196Y1 (ko) 1997-06-19 2000-03-02 에릭 발리베 모터의 브러시홀더
KR100247862B1 (ko) * 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6222244B1 (en) * 1998-06-08 2001-04-24 International Business Machines Corporation Electrically blowable fuse with reduced cross-sectional area
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6140217A (en) * 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US7463124B2 (en) * 1998-08-24 2008-12-09 Leviton Manufacturing Co., Inc. Circuit interrupting device with reverse wiring protection
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6438497B1 (en) * 1998-12-11 2002-08-20 Symyx Technologies Method for conducting sensor array-based rapid materials characterization
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) * 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
DE19921965B4 (de) * 1999-05-12 2007-11-15 Stahlecker, Fritz Feinzahngarnitur einer Auflösewalze für eine Offenend-Spinnmaschine
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6362057B1 (en) 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP2001274365A (ja) * 2000-03-28 2001-10-05 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6683337B2 (en) * 2001-02-09 2004-01-27 Micron Technology, Inc. Dynamic memory based on single electron storage
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
KR100398955B1 (ko) * 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) * 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) * 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7026247B2 (en) * 2003-10-28 2006-04-11 International Business Machines Corporation Nanocircuit and self-correcting etching method for fabricating same
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
TWI274397B (en) 2003-11-20 2007-02-21 Winbond Electronics Corp Method for forming narrow trench structure and method for forming gate structure with narrow spacing
KR101002928B1 (ko) 2003-11-29 2010-12-27 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) * 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) * 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) * 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
KR100672123B1 (ko) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
KR100763538B1 (ko) 2006-08-29 2007-10-05 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 미세 패턴의 형성방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407785A (en) * 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5899746A (en) * 1995-09-08 1999-05-04 Sony Corporation Method of forming pattern
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension

Also Published As

Publication number Publication date
US9478497B2 (en) 2016-10-25
KR20090057283A (ko) 2009-06-04
US20140038416A1 (en) 2014-02-06
WO2008027239A2 (en) 2008-03-06
US8883644B2 (en) 2014-11-11
JP2010503206A (ja) 2010-01-28
CN101529557A (zh) 2009-09-09
JP5263547B2 (ja) 2013-08-14
US20080057692A1 (en) 2008-03-06
TW200830358A (en) 2008-07-16
WO2008027239A3 (en) 2008-09-12
TWI383432B (zh) 2013-01-21
US8557704B2 (en) 2013-10-15
US20150054168A1 (en) 2015-02-26
US20100029081A1 (en) 2010-02-04
KR101483804B1 (ko) 2015-01-16
US7611980B2 (en) 2009-11-03

Similar Documents

Publication Publication Date Title
CN101529557B (zh) 用于将间距倍增大于2的因数的单个间隔物工艺及相关中间ic结构
US10497611B2 (en) Methods for isolating portions of a loop of pitch-multiplied material and related structures
CN101297391B (zh) 具有用于间距倍增的间隔物的掩膜图案及其形成方法
CN100576447C (zh) 相对于光刻部件间距减小的图案
US7579278B2 (en) Topography directed patterning
US7972926B2 (en) Methods of forming memory cells; and methods of forming vertical structures

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant