CN101542685A - 减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置 - Google Patents

减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置 Download PDF

Info

Publication number
CN101542685A
CN101542685A CNA2007800439106A CN200780043910A CN101542685A CN 101542685 A CN101542685 A CN 101542685A CN A2007800439106 A CNA2007800439106 A CN A2007800439106A CN 200780043910 A CN200780043910 A CN 200780043910A CN 101542685 A CN101542685 A CN 101542685A
Authority
CN
China
Prior art keywords
layer
sept
forms
group
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800439106A
Other languages
English (en)
Other versions
CN101542685B (zh
Inventor
周葆所
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101542685A publication Critical patent/CN101542685A/zh
Application granted granted Critical
Publication of CN101542685B publication Critical patent/CN101542685B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Abstract

本发明提供一种在目标层上形成特征的方法。所述特征具有与用作掩模的抗蚀剂层的部分的临界尺寸相比减小三倍或四倍的临界尺寸。在目标层上沉积中间层,且在所述中间层上形成所述抗蚀剂层。在图案化所述抗蚀剂层之后,在所述抗蚀剂层的剩余部分的侧壁上形成第一间隔物,从而掩蔽所述中间层的部分。在所述中间层的所述部分的侧壁上形成第二间隔物。在移除所述中间层的所述部分之后,将所述第二间隔物用作掩模以在所述目标层上形成所述特征。还揭示一种部分制造的集成电路装置。

Description

减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置
优先权主张
本申请案主张2006年11月29日申请的第11/606,613号美国专利申请案“减小半导体装置的临界尺寸的方法及具有减小的临界尺寸的部分制造的半导体装置”(″METHODS TO REDUCE THE CRITICAL DIMENSION OF SEMICONDUCTORDEVICES AND PARTIALLY FABRICATED SEMICONDUCTOR DEVICES HAVINGREDUCED CRITICAL DIMENSIONS″)的申请日期的权益。
技术领域
本发明的实施例大体上涉及半导体装置制造,且更具体来说涉及减小半导体装置的临界尺寸(CD)的方法及具有减小的临界尺寸的部分制造的半导体装置。
背景技术
集成电路(IC)设计人员想要通过减小个别特征的大小且减小半导体衬底上的相邻特征之间的间隔距离来提高IC内的特征的集成度或密度。特征大小的不断减小对形成所述特征所用的技术(例如光刻)提出了更高要求。这些特征通常是通过材料(例如绝缘体或导体)中的开口来界定的且通过所述材料彼此隔开。相邻特征中的相同点之间的距离在此项产业中称为“间距”。举例来说,间距通常测量为特征之间的中心到中心的距离。因此,间距大约等于特征的宽度与使所述特征与相邻特征分隔的间隔的宽度的总和。特征的宽度也称为线的CD或最小特征大小(“F”)。CD通常是在IC制造期间使用给定技术(例如光刻)形成的最小几何特征,例如互连线、触点或沟槽的宽度。因为邻近于特征的间隔的宽度通常等于特征的宽度,所以特征的间距通常是特征大小的两倍(2F)。
常规248nm光刻能形成100nm到200nm的最小线宽度。然而,由于减小特征大小及间距的压力存在,所以已研发出间距加倍技术。第5,328,810号美国专利揭示了一种使用间隔物或心轴在半导体衬底中形成均匀隔开的沟槽的间距加倍方法。沟槽具有相等的深度。可扩展层形成于半导体衬底上且被图案化,从而形成宽度为F的条带。将条带蚀刻,从而产生具有减小的宽度F/2的心轴条带。部分可扩展的纵梁层以共形方式沉积在心轴条带上,且被蚀刻而在心轴条带的侧壁上形成具有F/2厚度的纵梁条带。心轴条带被蚀刻,而纵梁条带保留于半导体衬底上。纵梁条带充当一掩模,用以在半导体衬底中蚀刻具有F/2宽度的沟槽。虽然以上提到的专利中的间距实际上减半,但此间距减小在此项产业中称为“间距加倍”或“间距倍增”。换言之,间距“倍增”某一因数涉及将间距减小所述因数。本文中保留此常规术语。
第6,239,008号美国专利揭示了一种间距加倍方法。将光致抗蚀剂图案化在半导体材料层上。一个光致抗蚀剂结构与一个邻接间隔的尺寸定义为x。将光致抗蚀剂结构修整为1/2x。将结构之间的间隔增加到3/4x。半导体材料层的暴露部分被蚀刻,从而在半导体材料层中形成结构。移除光致抗蚀剂结构。将毯覆层沉积在半导体材料层结构上。蚀刻所述毯覆层以在半导体材料层结构的侧壁上形成间隔物。将第二毯覆层沉积在半导体材料层结构、间隔物和间隔上,从而在间隔中形成第二组结构。第二毯覆层是与制作半导体材料层结构所用的材料相似或相同的材料。将半导体材料层结构、间隔物及第二组结构平坦化。移除间隔物。半导体材料层结构及第二组结构及其之间的间隔具有1/4x的尺寸。
第6,638,441号美国专利揭示了一种间距三倍方法。将光致抗蚀剂层图案化在衬底上。在图案上形成一层。蚀刻第一层以使衬底暴露。在图案上形成第二层。蚀刻第二层以使衬底暴露。移除被图案化的光致抗蚀剂。在第一层及第二层以及衬底上形成第三层。蚀刻第三层以使衬底暴露。在第一层、第二层及第三层以及衬底上形成第四层。第四层的材料与第一层的材料相同。蚀刻第四层以使第一层、第二层及第三层暴露。移除第二层及第三层。第一层及第四层形成一图案,其具有三倍的间距。
193nm光刻能够形成比248nm光刻更小的特征。然而,193nm光致抗蚀剂材料与248nm光致抗蚀剂材料相比具有增加的线边缘粗糙度(LER)。此外,248nm光致抗蚀剂材料比193nm光致抗蚀剂材料强硬。
因此,此项技术中需要一种间距减小方法,其能够利用248nm光致抗蚀剂来减小特征的CD。
附图说明
虽然说明书的结尾是特别指出并清楚地主张被视为本发明的内容的权利要求书,但在结合附图阅读时,可通过以下对本发明的说明更容易地理解本发明的实施例,图中:
图1说明形成在部分制造的集成电路装置上的目标层上的中间层、抗反射层及抗蚀剂层的实施例;
图2说明用所要的CD图案化的图1的抗蚀剂层的实施例;
图3说明形成在图2的特征上的第一间隔物层的实施例;
图4说明从图3的第一间隔物层形成的第一间隔物的实施例;
图5说明已移除图2的特征后图4的部分制造的集成电路装置的实施例;
图6说明已移除中间层及抗反射层的若干部分后图5的部分制造的集成电路装置的实施例;
图7说明已移除第一间隔物及抗反射层后图6的部分制造的集成电路装置的实施例;
图8说明形成在图7的部分制造的集成电路装置上的第二间隔物层的实施例;
图9说明移除第二间隔物层的若干部分以形成第二间隔物后图8的部分制造的集成电路装置的实施例;
图10说明已移除中间层的剩余部分后图9的部分制造的集成电路装置的实施例;
图11说明已修整特征后图2的特征的实施例;
图12说明形成在图11的特征上的第一间隔物层的实施例;
图13说明从图12的第一间隔物层形成的第一间隔物的实施例;
图14说明已移除图11的特征后图13的部分制造的集成电路装置的实施例;
图15说明已移除中间层及抗反射层的若干部分后图14的部分制造的集成电路装置的实施例;
图16说明已移除第一间隔物及抗反射层后图15的部分制造的集成电路装置的实施例;
图17说明形成在图16的部分制造的集成电路装置上的第二间隔物层的实施例;
图18说明移除第二间隔物层的若干部分以形成第二间隔物后图17的部分制造的集成电路装置的实施例;及
图19说明已移除中间层的剩余部分后图18的部分制造的集成电路装置的实施例。
具体实施方式
本发明的实施例大体上涉及制造半导体装置。更确切地说,本发明的实施例涉及减小特征的CD的方法及具有此类减小的部分制造的集成电路装置。
在一个实施例中,一种减小半导体装置特征的CD的方法包含形成目标层,所述目标层带有具有减小的CD的特征。在目标层上形成中间层。在覆盖于中间层上的抗蚀剂层中形成图案,所述图案具有可通过常规平版印刷技术印刷的CD。图案的CD可通过最终形成在目标层中的特征的大小来确定。邻近于抗蚀剂层的剩余部分形成第一间隔物材料垂直区(第一间隔物)。目标层在第一间隔物之间暴露,且中间层垂直区通过中间层的剩余部分而形成。第二间隔物材料垂直区(第二间隔物)形成在中间层垂直区旁边。移除中间层垂直区。形成在目标层上的特征的CD随第一间隔物及第二间隔物的厚度而变。
本文中描述的方法及部分制造的集成电路装置并不形成制造集成电路的完整工艺流程。所述工艺流程的剩余部分是所属领域的技术人员已知的。因此,本文中只描述对于理解本发明的实施例必要的方法及部分制造的集成电路装置。
本文中描述的材料层可通过合适的沉积技术形成,其中包含但不限于旋涂、毯覆式涂覆、化学气相沉积(CVD)、原子层沉积(ALD)、等离子增强ALD或物理气相沉积(PVD)。所属领域的技术人员可依据将使用的材料来选择沉积技术。
现在将参照图式,其中全文中相同数字指代相同部分。图式未必是按比例绘制。
在本发明的一个实施例中,可在目标层上形成具有减小的CD且因此具有减小的间距的特征。特征的CD可为覆盖层(例如抗蚀剂层)上形成的初始图案的CD的三分之一。图1到图10中说明三倍间距减小方法的实施例。
如图1中所说明,部分制造的集成电路装置100可包含目标层110。可最终在目标层110中形成具有减小的CD的特征。特征的CD可相对于在上覆的抗蚀剂层140中形成的图案的CD减小。由于特征的CD减小,所以特征的间距也减小。目标层110可由与半导体装置制造兼容的材料形成。举例来说,目标层110可为半导体衬底,例如常规的硅衬底或其它具有半传导材料层的块状衬底。在本文中使用时,术语“块状衬底”不但包含硅晶片,而且包含绝缘体上硅(“SOI”)衬底、蓝宝石上硅(“SOS”)衬底、基础半导体基底上的硅的外延层和其它半导体、光电子元件或生物技术材料,例如硅-锗、锗、砷化镓、氮化镓或磷化铟。
中间层120可形成在目标层110上方。当在目标层110上形成特征时,中间层120可充当牺牲掩模。中间层120的厚度可取决于牺牲掩模的所要高度。中间层120可由相对于在部分制造的集成电路装置100上形成的间隔物可选择性蚀刻的材料形成。在本文中使用时,当一材料显现出比暴露于相同蚀刻化学物质的另一材料的蚀刻速率大至少约2倍的蚀刻速率时,称所述材料“可选择性蚀刻”。理想情况是,此材料具有比暴露于相同蚀刻化学物质的另一材料的蚀刻速率大至少约10倍的蚀刻速率。仅举例来说,中间层120可由透明碳(TC)、无定形碳(AC)或旋涂材料形成。中间层120可通过常规技术(例如通过CVD或通过旋涂)形成。
抗反射层130可形成在中间层120上方。抗反射材料在此项技术中是已知的,且可包含(但不限于):无机材料,例如二氧化硅或氮氧化硅,其是介电抗反射涂层(DARC);或有机材料,例如含硅的旋涂硬掩模。抗反射层130可通过常规技术形成。
抗蚀剂层140可形成在抗反射层130之上。抗蚀剂层140可由248nm光致抗蚀剂材料形成,例如深紫外线(DUV)248nm光致抗蚀剂。248nm光致抗蚀剂材料在此项技术中是众所周知的,且因此在本文中不作详细描述。可使用其它光致抗蚀剂材料(例如193nm光致抗蚀剂)来形成抗蚀剂层140。在沉积第一间隔物层150之前,可利用额外蚀刻来使抗蚀剂层140’的侧壁变平滑。光致抗蚀剂材料可通过常规技术(例如通过旋涂)来沉积,且通过常规光刻技术来图案化。光致抗蚀剂及光刻技术在此项技术中是众所周知的,且因此在本文中对光致抗蚀剂材料的选择、沉积及图案化不作详细论述。如图2中说明,可通过显影和蚀刻光致抗蚀剂材料而在抗蚀剂层140中形成图案。抗蚀剂层140’的剩余部分可通过开口145隔开。抗蚀剂层140’可具有可使用选定图案化或光刻技术来实现的最小可印刷CD。或者,抗蚀剂层140’可经受额外蚀刻或修整以实现所要的CD。抗蚀剂层140中的图案可配合第一间隔物及第二间隔物使用以在目标层110中形成特征。这些特征可包含但不限于线、沟槽或电装置的其它组件。
图2中展示抗蚀剂层140’在显影和蚀刻光致抗蚀剂材料后剩余的部分。抗蚀剂层140’的侧壁可具有大致垂直的轮廓。由于248nm光致抗蚀剂材料具有良好的LER,所以抗蚀剂层140’的侧壁也可相对平滑。由此,当如下所述在侧壁上形成第一间隔物时,所述大致垂直的轮廓可得以保持。抗蚀剂层140’可具有约为x的宽度或CD,其中x为可通过常规平版印刷技术印刷的尺寸。由此,x随用于图案化抗蚀剂层140的光刻技术而变。抗蚀剂层140’的邻近部分之间的间隔(图2中指示为B)可具有约为x的宽度。如下所述,B可比宽度x宽或比其窄。
如图3中说明,第一间隔物层150可形成在抗反射层130之上及抗蚀剂层140’的侧壁和顶面上。第一间隔物层150可以共形方式例如通过ALD来沉积。然而,只要第一间隔物层150以大致共形的方式沉积在所要的厚度处,就可使用其它沉积技术。第一间隔物层150可由与后续制造动作兼容且相对于抗反射层130、中间层120及目标层110可选择性蚀刻的材料形成。仅举例来说,第一间隔物层150可由氧化物(例如氧化硅)或氮化物(例如氮化硅)形成。由于所使用的光致抗蚀剂材料为248nm光致抗蚀剂,所以抗蚀剂层140’的侧壁可显现出足够低的LER,使得第一间隔物层150可直接施加于抗蚀剂层140’。然而,可在沉积第一间隔物层150之前使用额外蚀刻来使抗蚀剂层140’的侧壁变平滑。第一间隔物层150的厚度可大约等于最终将在目标层110上形成的特征的CD。举例来说,如果目标层110上的特征将具有约为x/3的CD,则第一间隔物层150可沉积在等于约x/3的厚度处。
如图4中说明,可在抗蚀剂层140’及抗反射层130的大致水平的表面上移除第一间隔物层150的部分,但第一间隔物层150可保持在抗蚀剂层140’的大致垂直的表面上。由此,抗蚀剂层140’的顶面及抗反射层130的若干部分可暴露。这可通过各向异性蚀刻第一间隔物层150从而形成邻接抗蚀剂层140’的侧壁的第一间隔物150’而实现。可依据在第一间隔物层150中使用的材料来选择蚀刻剂。举例来说,如果第一间隔物层150由氧化硅形成,则可利用碳氟化合物化学物质来进行蚀刻。仅举例来说,可使用四氟甲烷(CF4)、三氟甲烷(CHF3)或其它用于选择性地蚀刻氧化硅的常规蚀刻剂来蚀刻第一间隔物层150。第一间隔物150’可具有与抗蚀剂层140’的侧壁的大致相同的垂直轮廓。第一间隔物150’可具有约等于x/3的宽度。第一间隔物150’可充当用于随后蚀刻抗反射层130及中间层120的掩模。
如图5中说明,可相对于第一间隔物150’选择性移除抗蚀剂层140’。换言之,在蚀刻之后,第一间隔物150’可保留在抗反射层130的表面上。可从第一间隔物150’之间移除抗蚀剂层140’,从而使抗反射层130的下伏部分暴露。因移除抗蚀剂层140’而形成的空隙可具有约等于x的宽度。可使用一使用基于氧的等离子的干式蚀刻工艺来选择性蚀刻抗蚀剂层140’。抗蚀剂层140’的移除可为高度各向异性的,以保留第一间隔物150’的垂直轮廓。
接下来,如图6中说明,可移除抗反射层130的暴露部分和中间层120的若干部分。可蚀刻抗反射层130的暴露部分,例如先前在抗蚀剂层140’下方的部分。随后可将抗反射层130中的图案转印到中间层120。第一间隔物150’可充当掩模,从而保护抗反射层130’和中间层120’的下伏部分。抗反射层130和中间层120可使用单个蚀刻化学物质来蚀刻或可单独蚀刻。可通过常规技术来实现对抗反射层130和中间层120的蚀刻。举例来说,在由氮氧化硅形成抗反射层130的情况下,尤其可使用等离子蚀刻,其包含碳氟化合物化学物质,例如CF4或二氟甲烷(CH2F2),或包含酸,例如氢溴酸(HBr)。当中间层120由透明碳形成时,可使用利用氮气(N2)、氧气(O2)和氢溴酸的等离子蚀刻。其它可能的等离子化学物质包含O2和二氧化硫(SO2)。对抗反射层130的蚀刻可减小第一间隔物150’的高度。然而,对中间层120的蚀刻可对第一间隔物150’的高度大体上没有影响。
如图7中说明,可移除第一间隔物150’和抗反射层130’,从而在目标层110上方留下中间层120’。第一间隔物150’和抗反射层130’可通过常规蚀刻剂来移除。举例来说,第一间隔物150’和抗反射层130’可通过使用经过缓冲的氢氟酸的湿式蚀刻来蚀刻。或者,第一间隔物150’和抗反射层130’可通过用于蚀刻抗反射层130’的蚀刻化学物质来移除。
如图8中说明,可在中间层120’上形成第二间隔物层160。间隔物层160可由与第一间隔物层150中使用的相同的材料形成。因此,第二间隔物层160可使用与形成间隔物层150时利用的相同工艺设备形成。以此方式,可减少设备量和伴随的维修。此外,通过使用相同材料,节省了显影和沉积不同材料的成本。第二间隔物层160可在等于约x/3的厚度处以共形方式沉积在中间层120’上。通过以共形方式沉积第二间隔物层160的材料,可将中间层120’的相邻部分之间的间隙从约x减小为约x/3。
如图9中说明,可移除第二间隔物层160的若干部分以形成第二间隔物160’。第二间隔物层160的大致水平的部分可通过各向异性蚀刻而移除,而第二间隔物层160的大致垂直的部分可保留,从而形成第二间隔物160’。各向异性蚀刻可使中间层120’的顶面暴露。第二间隔物160’可具有等于约x/3的宽度。如上文相对于移除第一间隔物层150的若干部分所论述,可通过常规技术移除第二间隔物层160的大致水平的部分。
如图10中说明,可通过相对于第二间隔物160’及目标层110选择性蚀刻中间层120’来移除此层。中间层120’可通过常规技术例如通过利用与用于蚀刻中间层120的相同的蚀刻化学物质来蚀刻。第二间隔物160’的侧壁可具有大致垂直的轮廓。第二间隔物160’可具有约x/3的宽度,且可彼此隔开约x/3的距离。图10还说明抗蚀剂层140’的初始宽度x及抗蚀剂层140’的相邻部分之间的原始间隔B。因此,可在目标层110上形成具有抗蚀剂层140’的宽度的约三分之一的宽度的第二间隔物160’。可在邻近的第二间隔物160’之间实现大约相等的间隔。通过使用第二间隔物160’作为牺牲掩模,可将目标层110图案化,从而形成具有抗蚀剂层140’的CD的约1/3的CD的特征。目标层110可通过常规技术来图案化,本文中对此技术不作详细描述。
为了进一步减小形成在目标层上的特征的CD,可使用额外的间隔物蚀刻工艺。举例来说,可在移除中间层120’后在第二间隔物160’上形成第三(或额外)间隔物。额外间隔物可具有约等于待形成在目标层110上的特征的CD的厚度。额外间隔物可沉积在对应于x的分数的厚度处。
目标层110可用于半导体装置,例如动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、铁电存储器(FE)、NAND及NOR快闪存储器、微处理器(例如带有场效晶体管(FET)),以及平板显示器。举例来说,目标层110可为待被蚀刻以形成使NAND快闪装置的单元隔离的浅沟槽隔离(STI)结构的晶片衬底。目标层110也可为传导活性层,例如待图案化到晶体管的栅极中的多晶硅层。目标层110也可为待图案化成连接不同活性区域的传导线的金属层,例如铝、钨、钛或铜。作为蚀刻目标层110的补充或替代,可在目标层110上沉积材料,从而填充第二间隔物160’之间的空隙。
任一以上动作均可依据不同层中使用的材料及形成和移除材料时使用的工艺而与其它动作组合。此外,任一以上动作均可利用多个工艺来实现单个动作。在任一动作之后,也可发生进一步的上文未描述的处理。额外处理的实例包含离子植入、扩散掺杂、沉积额外层、干式或湿式蚀刻和化学机械抛光。仅举例来说,在任一动作之后,可使用蚀刻来使暴露的层的轮廓变窄、变平滑或改善。
通过利用上述方法,可使用248nm光致抗蚀剂材料配合两个或两个以上间隔物蚀刻工艺在目标层110上形成小型特征。所述特征可具有常规上可用248nm光致抗蚀剂实现的CD的分数的CD。可使用248nm光刻以属于此技术的限值内的分辨率来图案化抗蚀剂层140。在此阶段,抗蚀剂层140’的剩余部分可具有比待在目标层110中形成的特征的CD大的CD。通过使用第一间隔物和第二间隔物作为牺牲掩模,可进行间隔物蚀刻工艺以形成具有抗蚀剂层140’的部分的CD的三分之一或四分之一的CD的特征。由此,特征的CD可小于可使用更高级的光刻技术(例如193nm光刻)实现的CD。
可利用上述实施例形成目标层110上的特征的相对于抗蚀剂层140’的蚀刻部分的CD减小的CD或间距。举例来说,当使用248nm光致抗蚀剂形成抗蚀剂层140’(如图2中说明)时,x可为约120nm且B可为约120nm,得到约240nm的总间距。在图案化抗蚀剂层140及进行间隔物蚀刻工艺之后,第二间隔物160’的宽度(如图10中说明)可为约40nm,且相邻第二间隔物160’之间的距离也可等于约40nm,得到约80nm的总间距。然而,抗蚀剂层140’的宽度可为从约30nm到约150nm的范围内的任何宽度。因此,可在目标层110中形成具有从约10nm到约50nm的宽度的特征。
通过调整抗蚀剂层140’的宽度、抗蚀剂层140’的邻近部分之间的间距和沉积的间隔物层的厚度,可实现CD或间距的额外减小。本发明的实施例也涵盖使特征的CD或最小间距减小4倍的方法。图11到图19中说明根据本发明的四倍间距减小方法的实施例。在此实施例中使用相对于先前实施例而言大致类似的材料和处理动作。图11到图19中说明的实施例与图1到图10中说明的实施例的不同之处尤其在于抗蚀剂层140’的宽度以及抗蚀剂层140’的邻近部分之间的间隔不同。此外,沉积的间隔物层的厚度不同。
图11说明大致与图2中说明的相同的部分制造的集成电路装置100,区别在于抗蚀剂层140’的剩余部分具有约3x/4的宽度,且抗蚀剂层140’的邻近部分之间的间隔为约5x/4。抗蚀剂层140可如此项技术中已知而沉积和图案化以形成此间隔。仅举例来说,抗蚀剂层140可被图案化,使得抗蚀剂层140’的部分具有约x的宽度,且抗蚀剂层140’的邻近部分之间的间隔为约x。抗蚀剂层140’的所述部分可进一步被蚀刻或修整,以具有约3x/4的宽度,这使抗蚀剂层140’的邻近部分之间的间隔增加到约5x/4。
图12说明可在抗蚀剂层140’和抗反射层130上形成第一间隔物层150。第一间隔物层150可以共形方式沉积在约x/4的厚度处。如前所述,第一间隔物层150的厚度可对应于待形成在目标层110上的特征的CD。第一间隔物层150可通过ALD沉积。
图13说明例如通过各向异性蚀刻从抗蚀剂层140’和抗反射层130的大致水平的表面上移除第一间隔物层150。第一间隔物材料150可保留在抗蚀剂层140’的大致垂直的表面上,从而形成第一间隔物150’。第一间隔物150’可具有等于约x/4的厚度,且抗蚀剂层140’的邻近部分之间的间隔可减小到约3x/4。图14说明可移除抗蚀剂层140’,从而在抗反射层130之上留下第一间隔物150’。邻近的第一间隔物150’之间的间隔可为约3x/4。图15说明可使用第一间隔物150’作为掩模来移除抗反射层130和中间层120的若干部分。由此,可在此蚀刻期间保护抗反射层130’和中间层120’的在第一间隔物150’下方的部分。图16说明可移除第一间隔物150’和抗反射层130’,从而在目标层110的表面上留下中间层120’。中间层120’可具有约x/4的宽度,且中间层120’的邻近部分之间的距离可为约3x/4。
图17说明可在中间层120’的若干部分上形成第二间隔物层160。第二间隔物层160可例如通过ALD在约x/4的厚度处以共形方式沉积。
图18说明可移除第二间隔物层160的大致水平的部分,从而暴露中间层120’的顶面和目标层110的若干部分。第二间隔物层160的剩余部分可形成第二间隔物160’。如图19中说明,可移除中间层120’。第二间隔物160’可具有约x/4的宽度,且可与邻近的第二间隔物160’隔开约x/4的距离。邻近的第二间隔物160’之间的间隔可使得具有抗蚀剂层140’的CD的四分之一的CD的特征能在目标层110上形成。通过使用第二间隔物160’作为掩模,可图案化目标层110,从而形成具有所要的四倍间距减小的特征。
使用四倍减小的此实施例,当图11中说明的抗蚀剂层140’具有约90nm(3x/4=90nm)的宽度及约150nm(5x/4=150nm)的宽度B(例如以248nm光致抗蚀剂形成)时,第二间隔物160’的所得宽度可为约30nm,且邻近的第二间隔物160’之间的距离也可等于约30nm。因此,使用四倍减小的实施例,可形成具有抗蚀剂层140’的CD的约四分之一的CD的特征。
因此,可利用本发明的实施例在目标层110中形成具有抗蚀剂层140’的宽度的CD的约三分之一或四分之一的CD的特征。由此,可在目标层110中形成具有小于约50nm的CD的特征。对使用本发明的实施例形成的特征的最终CD及最终间距不存在下限。举例来说,由于第一间隔物层150和第二间隔物层160的厚度可通过ALD来精确控制,所以可精确地控制目标层110中形成的特征的CD。
虽然已参看特定实施例描述了本发明,但本发明不限于所描述的这些实施例。相反,本发明仅受随附权利要求书的限制,随附权利要求书在其范围内包含根据所描述的本发明的原理操作的所有等效方法、工艺、装置和系统。
权利要求书(按照条约第19条的修改)
1.一种在目标层上形成特征的方法,其包括:
在目标层上形成中间层;
在位于所述中间层上方的抗蚀剂层中形成开口;
在所述抗蚀剂层的部分的侧壁上形成第一组间隔物;
使所述目标层的除被所述第一组间隔物掩蔽的部分以外的部分暴露;
移除所述第一组间隔物以使所述中间层的下伏部分暴露;
在所述中间层的所述暴露的部分的侧壁上形成第二组间隔物;
移除所述中间层的所述部分;及
在所述目标层的暴露的部分中形成特征。
2.根据权利要求1所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有比所述抗蚀剂层中的所述开口的临界尺寸小的临界尺寸的所述特征。
3.根据权利要求1所述的方法,其中在抗蚀剂层中形成开口包括形成具有x的临界尺寸的所述开口,且产生所述抗蚀剂层的具有x的临界尺寸的部分。
4.根据权利要求3所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有等于约x/3的临界尺寸的特征。
5.根据权利要求1所述的方法,其中在抗蚀剂层中形成开口包括形成具有5x/4的临界尺寸的所述开口,且产生所述抗蚀剂层的具有3x/4的临界尺寸的部分。
6.根据权利要求1所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有等于约x/4的临界尺寸的特征。
7.根据权利要求1所述的方法,其中形成第一组间隔物包括在约等于待在所述目标层上形成的特征的临界尺寸的厚度处沉积间隔物材料。
8.根据权利要求1所述的方法,其中形成第一组间隔物或形成第二组间隔物包括用氧化硅或氮化硅形成所述第一组间隔物或所述第二组间隔物。
9.根据权利要求1所述的方法,其中形成第一组间隔物包括在所述抗蚀剂层的剩余部分上以共形方式沉积间隔物材料。
10.根据权利要求1所述的方法,其中使所述目标层的除被所述第一组间隔物掩蔽的区以外的部分暴露包括蚀刻所述抗蚀剂层的在所述第一组间隔物之间的剩余部分和蚀刻所述中间层的下伏部分。
11.根据权利要求1所述的方法,其中移除所述第一组间隔物以使所述中间层的下伏部分暴露包括移除所述第一组间隔物。
12.根据权利要求1所述的方法,其进一步包括在所述抗蚀剂层与所述中间层之间形成抗反射层。
13.根据权利要求1所述的方法,其中形成第一组间隔物包括在所述抗蚀剂层的剩余部分的侧壁上形成大致垂直的间隔物。
14.根据权利要求1所述的方法,其进一步包括在使所述目标层的所述部分暴露之前移除所述抗蚀剂层的剩余部分。
15.根据权利要求1所述的方法,其进一步包括在使所述中间层的部分暴露之前移除所述第一组间隔物。
16.根据权利要求1所述的方法,其中形成第二组间隔物包括用与所述第一组间隔物相同的材料形成所述第二组间隔物。
17.根据权利要求1所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有约等于所述第一组间隔物的厚度的临界尺寸的所述特征。
18.一种部分制造的集成电路装置,其包括:
目标层;
位于且形成于所述目标层上的中间层的大致垂直的区段;及
形成在所述目标层上的间隔物,所述间隔物具有最小宽度的三分之一或四分之一的宽度,所述间隔物与相邻间隔物隔开等于所述间隔物的所述宽度的距离,所述间隔物的一部分通过空隙及所述中间层的所述大致垂直的区段与相邻间隔物隔开,剩余间隔物通过所述中间层的所述大致垂直的区段与相邻间隔物隔开。

Claims (19)

1.一种在目标层上形成特征的方法,其包括:
在目标层上形成中间层;
在位于所述中间层上方的抗蚀剂层中形成开口;
在所述抗蚀剂层的部分的侧壁上形成第一组间隔物;
使所述目标层的除被所述第一组间隔物掩蔽的部分以外的部分暴露;
使所述中间层的部分暴露;
在所述中间层的所述部分上形成第二组间隔物;
移除所述中间层的所述部分;以及
在所述目标层的暴露的部分中形成特征。
2.根据权利要求1所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有比所述抗蚀剂层中的所述开口的临界尺寸小的临界尺寸的所述特征。
3.根据权利要求1所述的方法,其中在抗蚀剂层中形成开口包括形成具有x的临界尺寸的所述开口,且产生所述抗蚀剂层的具有x的临界尺寸的部分。
4.根据权利要求3所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有等于约x/3的临界尺寸的特征。
5.根据权利要求1所述的方法,其中在抗蚀剂层中形成开口包括形成具有5x/4的临界尺寸的所述开口,且产生所述抗蚀剂层的具有3x/4的临界尺寸的部分。
6.根据权利要求1所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有等于约x/4的临界尺寸的特征。
7.根据权利要求1所述的方法,其中形成第一组间隔物包括在约等于待在所述目标层上形成的特征的临界尺寸的厚度处沉积间隔物材料。
8.根据权利要求1所述的方法,其中形成第一组间隔物或形成第二组间隔物包括用氧化硅或氮化硅形成所述第一组间隔物或所述第二组间隔物。
9.根据权利要求1所述的方法,其中形成第一组间隔物包括在所述抗蚀剂层的剩余部分上以共形方式沉积间隔物材料。
10.根据权利要求1所述的方法,其中使所述目标层的除被所述第一组间隔物掩蔽的区以外的部分暴露包括蚀刻所述抗蚀剂层的在所述第一组间隔物之间的剩余部分和蚀刻所述中间层的下伏部分。
11.根据权利要求1所述的方法,其中使所述至少一个中间层的部分暴露包括移除所述第一组间隔物。
12.根据权利要求1所述的方法,其进一步包括在所述抗蚀剂层与所述至少一个中间层之间形成抗反射层。
13.根据权利要求1所述的方法,其中形成第一组间隔物包括在所述抗蚀剂层的剩余部分的侧壁上形成大致垂直的间隔物。
14.根据权利要求1所述的方法,其进一步包括在使所述目标层的所述部分暴露之前移除所述抗蚀剂层的剩余部分。
15.根据权利要求1所述的方法,其进一步包括在使所述中间层的部分暴露之前移除所述第一组间隔物。
16.根据权利要求1所述的方法,其中形成第二组间隔物包括用与所述第一组间隔物相同的材料形成所述第二组间隔物。
17.根据权利要求1所述的方法,其中在所述目标层的暴露的部分中形成特征包括形成具有约等于所述第一组间隔物的厚度的临界尺寸的所述特征。
18.根据权利要求1所述的方法,其中形成第二组间隔物包括在所述中间层的剩余部分的侧壁上形成大致垂直的间隔物。
19.一种部分制造的集成电路装置,其包括:
目标层;
位于且形成于所述目标层上的中间层的大致垂直的区段;以及
形成在所述目标层上的间隔物,所述间隔物具有最小宽度的三分之一或四分之一的宽度,所述间隔物与相邻间隔物隔开等于所述间隔物的所述宽度的距离,所述间隔物的一部分通过空隙和所述中间层的所述大致垂直的区段与相邻间隔物隔开,剩余间隔物通过所述中间层的所述大致垂直的区段与相邻间隔物隔开。
CN2007800439106A 2006-11-29 2007-11-21 减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置 Active CN101542685B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/606,613 2006-11-29
US11/606,613 US7807575B2 (en) 2006-11-29 2006-11-29 Methods to reduce the critical dimension of semiconductor devices
PCT/US2007/085371 WO2008067228A1 (en) 2006-11-29 2007-11-21 Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions

Publications (2)

Publication Number Publication Date
CN101542685A true CN101542685A (zh) 2009-09-23
CN101542685B CN101542685B (zh) 2011-09-28

Family

ID=39273348

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800439106A Active CN101542685B (zh) 2006-11-29 2007-11-21 减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置

Country Status (7)

Country Link
US (3) US7807575B2 (zh)
EP (1) EP2095402B1 (zh)
JP (1) JP5532303B2 (zh)
KR (1) KR101091298B1 (zh)
CN (1) CN101542685B (zh)
TW (1) TWI356446B (zh)
WO (1) WO2008067228A1 (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102064096A (zh) * 2010-12-03 2011-05-18 北京大学 一种细线条的制备方法
CN101345190B (zh) * 2007-07-10 2012-05-23 旺宏电子股份有限公司 图案的形成方法
CN102592967A (zh) * 2011-01-06 2012-07-18 南亚科技股份有限公司 半导体组件及其制造方法
CN103325709A (zh) * 2013-05-28 2013-09-25 上海华力微电子有限公司 一种无氮介质抗反射层的离线检测方法
CN103367156A (zh) * 2012-03-31 2013-10-23 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
CN103545248A (zh) * 2012-07-11 2014-01-29 台湾积体电路制造股份有限公司 半导体器件的制造方法
CN103578923A (zh) * 2012-08-06 2014-02-12 台湾积体电路制造股份有限公司 用于图案化形成的自组装单层
CN103632928A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN103903972A (zh) * 2014-04-22 2014-07-02 上海华力微电子有限公司 一种小尺寸图形的制作方法
CN103904018A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN104051257A (zh) * 2013-03-15 2014-09-17 台湾积体电路制造股份有限公司 用于集成电路设计的间隔蚀刻工艺
CN104103574A (zh) * 2013-04-10 2014-10-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN104681410A (zh) * 2013-11-26 2015-06-03 台湾积体电路制造股份有限公司 形成图案的机制
CN105489485A (zh) * 2014-10-07 2016-04-13 东京毅力科创株式会社 处理被处理体的方法
CN105845550A (zh) * 2015-01-30 2016-08-10 东京毅力科创株式会社 被处理体的处理方法
CN106158600A (zh) * 2014-10-17 2016-11-23 台湾积体电路制造股份有限公司 迭代自对准图案化
CN107735851A (zh) * 2015-06-03 2018-02-23 应用材料公司 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
CN107968046A (zh) * 2016-10-20 2018-04-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
WO2019090762A1 (zh) * 2017-11-13 2019-05-16 吴展兴 半导体结构及其形成方法

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US9460924B2 (en) * 2007-03-26 2016-10-04 GlobalFoundries, Inc. Semiconductor device having structure with fractional dimension of the minimum dimension of a lithography system
US7939451B2 (en) * 2007-06-07 2011-05-10 Macronix International Co., Ltd. Method for fabricating a pattern
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
KR100965011B1 (ko) * 2007-09-03 2010-06-21 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US8357618B2 (en) * 2007-10-26 2013-01-22 Applied Materials, Inc. Frequency doubling using a photo-resist template mask
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8329385B2 (en) * 2008-06-10 2012-12-11 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
JP5224919B2 (ja) * 2008-06-10 2013-07-03 株式会社東芝 半導体装置の製造方法
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
JP5336283B2 (ja) * 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US20100183957A1 (en) * 2009-01-21 2010-07-22 Seagate Technology Llc Method of Patterned Media Template Formation and Templates
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5425514B2 (ja) * 2009-04-16 2014-02-26 AzエレクトロニックマテリアルズIp株式会社 微細パターン形成方法
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
JP5574679B2 (ja) * 2009-11-17 2014-08-20 株式会社東芝 半導体装置の製造方法
US8354331B2 (en) * 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
TWI412487B (zh) * 2009-12-31 2013-10-21 Huang Chung Cheng 奈米線結構的製造方法
JP2011233878A (ja) * 2010-04-09 2011-11-17 Elpida Memory Inc 半導体装置の製造方法
US20110294075A1 (en) * 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
KR20110135136A (ko) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 반도체 장치의 극미세 패턴 형성을 위한 방법
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR101756226B1 (ko) * 2010-09-01 2017-07-11 삼성전자 주식회사 반도체 소자 및 그 반도체 소자의 패턴 형성방법
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US20120085733A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
KR101225601B1 (ko) * 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
US8314034B2 (en) * 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR20120077505A (ko) 2010-12-30 2012-07-10 삼성전자주식회사 비휘발성 반도체 메모리 장치 및 그 제조 방법
JP5473962B2 (ja) * 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
TWI484534B (zh) * 2011-03-09 2015-05-11 Winbond Electronics Corp 縮小間距之方法
JP5330440B2 (ja) * 2011-03-23 2013-10-30 株式会社東芝 半導体装置の製造方法
JP5395837B2 (ja) 2011-03-24 2014-01-22 株式会社東芝 半導体装置の製造方法
US8389383B1 (en) * 2011-04-05 2013-03-05 Micron Technology, Inc. Patterned semiconductor bases, and patterning methods
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US20120280354A1 (en) 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8809169B2 (en) * 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9142421B2 (en) * 2011-12-29 2015-09-22 Intel Corporation Double patterning lithography techniques
TWI510854B (zh) * 2011-12-31 2015-12-01 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
JP6108832B2 (ja) * 2011-12-31 2017-04-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
CN103367108B (zh) * 2012-03-31 2015-10-14 中芯国际集成电路制造(上海)有限公司 自对准双构图方法及其形成的图案
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8524605B1 (en) * 2012-04-16 2013-09-03 Vigma Nanoelectronics Fabrication and mask design methods using spatial frequency sextupling technique
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
FR2990794B1 (fr) * 2012-05-16 2016-11-18 Commissariat Energie Atomique Procede de realisation d'un substrat muni de zones actives variees et de transistors planaires et tridimensionnels
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9449839B2 (en) 2012-08-06 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP5829994B2 (ja) * 2012-10-01 2015-12-09 信越化学工業株式会社 パターン形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9378979B2 (en) 2012-11-20 2016-06-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices and devices fabricated thereby
KR20140064458A (ko) 2012-11-20 2014-05-28 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9105295B2 (en) * 2013-02-25 2015-08-11 HGST Netherlands B.V. Pattern tone reversal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US8975187B2 (en) * 2013-03-15 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Stress-controlled formation of tin hard mask
KR20140137734A (ko) * 2013-05-23 2014-12-03 삼성디스플레이 주식회사 반사형 편광판 제조방법 및 인셀 반사형 편광판 제조방법
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9165770B2 (en) * 2013-09-26 2015-10-20 GlobalFoundries, Inc. Methods for fabricating integrated circuits using improved masks
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9123772B2 (en) * 2013-10-02 2015-09-01 GlobalFoundries, Inc. FinFET fabrication method
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8975129B1 (en) * 2013-11-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
KR102114965B1 (ko) * 2014-02-07 2020-05-26 삼성디스플레이 주식회사 반사형 편광판의 제조방법 및 반사형 편광판을 구비한 표시장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102223035B1 (ko) 2014-03-05 2021-03-04 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
JP5869057B2 (ja) * 2014-06-30 2016-02-24 ウィンボンド エレクトロニクス コーポレーション 半導体記憶装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102339781B1 (ko) 2014-12-19 2021-12-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102327667B1 (ko) * 2015-01-14 2021-11-17 삼성전자주식회사 반도체 소자의 제조 방법
US9754785B2 (en) 2015-01-14 2017-09-05 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
KR102343859B1 (ko) 2015-01-29 2021-12-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9576817B1 (en) * 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9620380B1 (en) * 2015-12-17 2017-04-11 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
KR102398664B1 (ko) * 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9852917B2 (en) * 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102301850B1 (ko) 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TW201917775A (zh) * 2017-07-15 2019-05-01 美商微材料有限責任公司 用於利用放大的epe窗口切割圖案流程的遮罩方案
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102460716B1 (ko) 2017-12-26 2022-10-31 삼성전자주식회사 집적회로 소자의 제조 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10818505B2 (en) 2018-08-15 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning process and semiconductor structure formed using thereof
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10727058B2 (en) 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11355342B2 (en) * 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309838B (zh) * 2019-07-31 2023-07-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11024511B1 (en) 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11532628B2 (en) * 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237A (en) * 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
JPS63142665A (ja) * 1986-12-05 1988-06-15 Oki Electric Ind Co Ltd 半導体装置の製造方法
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
JPH0855920A (ja) * 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) * 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6605541B1 (en) * 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6239008B1 (en) * 1999-09-29 2001-05-29 Advanced Micro Devices, Inc. Method of making a density multiplier for semiconductor device manufacturing
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
JP3811323B2 (ja) * 1999-11-30 2006-08-16 シャープ株式会社 量子細線の製造方法
US6667237B1 (en) * 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP2002280388A (ja) * 2001-03-15 2002-09-27 Toshiba Corp 半導体装置の製造方法
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
KR20040025289A (ko) * 2002-09-19 2004-03-24 삼성전자주식회사 고밀도 스토리지 패턴 형성방법
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
WO2006070474A1 (ja) * 2004-12-28 2006-07-06 Spansion Llc 半導体装置の製造方法
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101345190B (zh) * 2007-07-10 2012-05-23 旺宏电子股份有限公司 图案的形成方法
CN102064096B (zh) * 2010-12-03 2012-07-25 北京大学 一种细线条的制备方法
CN102064096A (zh) * 2010-12-03 2011-05-18 北京大学 一种细线条的制备方法
CN102592967B (zh) * 2011-01-06 2015-04-15 南亚科技股份有限公司 半导体组件及其制造方法
CN102592967A (zh) * 2011-01-06 2012-07-18 南亚科技股份有限公司 半导体组件及其制造方法
CN103367156B (zh) * 2012-03-31 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
CN103367156A (zh) * 2012-03-31 2013-10-23 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
CN103545248A (zh) * 2012-07-11 2014-01-29 台湾积体电路制造股份有限公司 半导体器件的制造方法
CN103578923A (zh) * 2012-08-06 2014-02-12 台湾积体电路制造股份有限公司 用于图案化形成的自组装单层
CN103578923B (zh) * 2012-08-06 2018-06-08 台湾积体电路制造股份有限公司 用于图案化形成的自组装单层
CN103632928A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN103904018A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US11854820B2 (en) 2013-03-15 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
CN104051257A (zh) * 2013-03-15 2014-09-17 台湾积体电路制造股份有限公司 用于集成电路设计的间隔蚀刻工艺
US9502261B2 (en) 2013-03-15 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US10665467B2 (en) 2013-03-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
CN104103574A (zh) * 2013-04-10 2014-10-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN104103574B (zh) * 2013-04-10 2017-12-29 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103325709A (zh) * 2013-05-28 2013-09-25 上海华力微电子有限公司 一种无氮介质抗反射层的离线检测方法
CN103325709B (zh) * 2013-05-28 2016-08-10 上海华力微电子有限公司 一种无氮介质抗反射层的离线检测方法
CN104681410A (zh) * 2013-11-26 2015-06-03 台湾积体电路制造股份有限公司 形成图案的机制
CN104681410B (zh) * 2013-11-26 2017-09-19 台湾积体电路制造股份有限公司 形成图案的机制
CN103903972A (zh) * 2014-04-22 2014-07-02 上海华力微电子有限公司 一种小尺寸图形的制作方法
CN105489485A (zh) * 2014-10-07 2016-04-13 东京毅力科创株式会社 处理被处理体的方法
US9911607B2 (en) 2014-10-07 2018-03-06 Tokyo Electron Limited Method of processing target object
CN105489485B (zh) * 2014-10-07 2019-09-17 东京毅力科创株式会社 处理被处理体的方法
CN106158600A (zh) * 2014-10-17 2016-11-23 台湾积体电路制造股份有限公司 迭代自对准图案化
CN112542377A (zh) * 2014-10-17 2021-03-23 台湾积体电路制造股份有限公司 迭代自对准图案化
CN105845550B (zh) * 2015-01-30 2018-11-27 东京毅力科创株式会社 被处理体的处理方法
CN105845550A (zh) * 2015-01-30 2016-08-10 东京毅力科创株式会社 被处理体的处理方法
CN107735851A (zh) * 2015-06-03 2018-02-23 应用材料公司 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
CN107735851B (zh) * 2015-06-03 2021-11-05 应用材料公司 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
CN107968046A (zh) * 2016-10-20 2018-04-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN107968046B (zh) * 2016-10-20 2020-09-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
WO2019090762A1 (zh) * 2017-11-13 2019-05-16 吴展兴 半导体结构及其形成方法

Also Published As

Publication number Publication date
EP2095402A1 (en) 2009-09-02
TW200834660A (en) 2008-08-16
TWI356446B (en) 2012-01-11
KR20090090327A (ko) 2009-08-25
US20130009283A1 (en) 2013-01-10
US20110006402A1 (en) 2011-01-13
WO2008067228B1 (en) 2008-07-24
US20080122125A1 (en) 2008-05-29
JP5532303B2 (ja) 2014-06-25
US7807575B2 (en) 2010-10-05
EP2095402B1 (en) 2016-04-06
KR101091298B1 (ko) 2011-12-07
CN101542685B (zh) 2011-09-28
US8338304B2 (en) 2012-12-25
WO2008067228A1 (en) 2008-06-05
US8836083B2 (en) 2014-09-16
JP2010511306A (ja) 2010-04-08

Similar Documents

Publication Publication Date Title
CN101542685B (zh) 减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置
US8685859B2 (en) Self-aligned semiconductor trench structures
US7455956B2 (en) Method to align mask patterns
KR101170284B1 (ko) 피치 더블링 프로세스 중에 어레이 피처를 격리시키는 방법 및 격리된 어레이 피처를 갖는 반도체 장치 구조물
CN102017073B (zh) 在半导体结构中形成经隔离有源区域、沟槽及导电线的方法以及包含其的半导体结构
US7791143B2 (en) Semiconductor constructions
US20090294840A1 (en) Methods of providing electrical isolation and semiconductor structures including same
KR19990001440A (ko) 반도체장치의 배선 형성 방법
KR100356475B1 (ko) 반도체 소자의 트랜지스터 제조 방법
KR100700283B1 (ko) 반도체소자의 소자분리용 트랜치 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant