CN101661973A - 对硅太阳能衬底进行切片的轨道配置和方法 - Google Patents

对硅太阳能衬底进行切片的轨道配置和方法 Download PDF

Info

Publication number
CN101661973A
CN101661973A CN200910167477A CN200910167477A CN101661973A CN 101661973 A CN101661973 A CN 101661973A CN 200910167477 A CN200910167477 A CN 200910167477A CN 200910167477 A CN200910167477 A CN 200910167477A CN 101661973 A CN101661973 A CN 101661973A
Authority
CN
China
Prior art keywords
workpiece
cleavage
track structure
module
accelerator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200910167477A
Other languages
English (en)
Inventor
F·J·亨利
A·布雷洛夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Genesis Corp
Original Assignee
Silicon Genesis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Genesis Corp filed Critical Silicon Genesis Corp
Publication of CN101661973A publication Critical patent/CN101661973A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D5/00Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor
    • B28D5/0005Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor by breaking, e.g. dicing
    • B28D5/0011Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor by breaking, e.g. dicing with preliminary treatment, e.g. weakening by scoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D5/00Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor
    • B28D5/0058Accessories specially adapted for use with machines for fine working of gems, jewels, crystals, e.g. of semiconductor material
    • B28D5/0082Accessories specially adapted for use with machines for fine working of gems, jewels, crystals, e.g. of semiconductor material for supporting, holding, feeding, conveying or discharging work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T83/00Cutting
    • Y10T83/04Processes
    • Y10T83/0448With subsequent handling [i.e., of product]
    • Y10T83/0467By separating products from each other
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T83/00Cutting
    • Y10T83/202With product handling means
    • Y10T83/2074Including means to divert one portion of product from another

Abstract

本发明涉及对硅太阳能衬底进行切片的轨道配置和方法。提供一种用于由工件制造独立式膜的系统。该系统包括:轨道结构,该轨道结构被配置为传送至少一个工件;和经由终端站耦接至该轨道结构的一个或更多基于加速器的离子注入器。每个基于加速器的离子注入器被配置为将具有大于1MeV的能量的粒子引入以注入到载入终端站的工件表面中,从而在工件中形成解理区。该系统包括耦接到轨道结构的一个或更多解理模块,解理模块被配置为进行解理工艺以沿解理区从工件释放独立式膜。此外,该系统包括输出端口和一个或更多服务模块,该输出端口耦接至每个解理模块以输出从工件分离的独立式膜,每个服务模块连接至轨道结构。

Description

对硅太阳能衬底进行切片的轨道配置和方法
对相关申请的交叉引用
[0001]本非临时专利申请要求2008年8月25日提交的美国临时专利申请No.61/091,710的优先权,并且在此针对所有目的通过参考并入该申请的全部内容。
技术领域
[0002]本发明一般涉及包含用于形成衬底的方法和结构的技术。更具体地,本方法和系统提供一种方法和系统,其使用加速器工艺来制造用于各种用途(包括光伏电池)的厚独立式(free standing)半导体膜。但是应认识到本发明具有更宽范围的适用性;它还可以应用于其他类型的用途,例如集成电路器件、光电或光电子器件、压电器件、平板显示器、微机电系统(“MEMS”)、纳米技术结构、传感器、致动器、集成电路、生物和生物医学器件的三维封装等。
背景技术
[0003]起初,人类依靠“太阳”来取得几乎所有有用形式的能量。所述能量来自石油、辐射、木材和各种形式的热能。仅仅作为实例,人类已经严重地依赖诸如煤和气的石油源用于他们的很多需求。不幸的是,所述石油源已经变得耗尽且已经导致其他问题。作为替代品,在某种程度上,太阳能已经被提出用于减少我们对石油源的依赖性。仅仅作为实例,太阳能可以从通常由硅制成的“太阳能电池”取得。
[0004]硅太阳能电池在被暴露至来自太阳的太阳辐射时产生电能。该辐射与硅原子交互作用并形成电子和空穴,所述电子和空穴迁移到硅本体中的p掺杂区和n掺杂区,并在所述掺杂区之间产生电压差和电流。取决于用途,太阳能电池已经与集中元件(concentratingelement)集成以提高效率。作为实例,太阳辐射使用将所述辐射引导至活性(active)光伏材料的一个或更多部分的集中元件来积累和聚焦。尽管有效,但是这些太阳能电池仍有很多限制。
[0005]仅仅作为一个实例,太阳能电池依赖诸如硅的起始材料(starting material)。这种硅通常使用多晶硅(即多晶体的硅)和/或多晶硅材料制成。这些材料通常难以制造。多晶硅电池通常通过制造多晶硅板来形成。尽管这些板可以有效地形成,但是它们不具备高效太阳能电池的最佳性能。单晶硅具有高级太阳能电池的适当性能。然而,这种单晶硅价格昂贵,还难以按照高效且成本有效的方式用于太阳能用途。此外,多晶硅材料和单晶硅材料在传统制造过程中均遭受称为“刮线损失(kerf loss)”的材料损失,其中,出于铸件或生长晶锭(grown boule)并将材料单片化(singulate)成晶片形式的因素,锯割工艺消除起始材料的多达40%甚至达到60%。这是制备用作太阳能电池的薄多晶硅或单晶硅板的非常低效的方法。
[0006]通常,薄膜太阳能电池通过使用较少的硅材料是价格较不昂贵的,但是它们的非晶或多晶结构与由多晶硅衬底制成的更昂贵的体硅相比效率较低。这些和其他限制可以在本说明书的全文且从以下说明更具体地找到。
[0007]综上,可见用于形成高质量且低成本的适当衬底材料的技术是高度期望的。
发明内容
[0008]根据本发明,提供包括用于形成衬底的方法和结构的技术。更具体地,本方法和系统提供一种方法和系统,其使用加速器工艺和解理(cleave)工艺来制造用于各种用途(包括光伏电池)的厚独立式半导体膜。但是应认识到本发明具有更宽范围的适用性;它还可以应用于其他类型的用途,例如集成电路器件、光电或光电子器件、压电器件、平板显示器、微机电系统(“MEMS”)、纳米技术结构、传感器、致动器、集成电路、生物和生物医学器件的三维封装等。
[0009]在特定实施例种,本发明提供一种用于由体(bulk)工件制造独立式膜的系统。该系统包括轨道结构(racetrack structure),该轨道结构被配置为传送至少一个工件。该系统还包括经由终端站耦接至该轨道结构的一个或更多基于加速器的离子注入器。每个基于加速器的离子注入器被配置为将具有大于1MeV的能量的粒子引入以注入到载入终端站的工件表面中,从而在工件中形成解理区。另外,该系统包括耦接到轨道结构的一个或更多解理模块。每个解理模块被配置为进行解理工艺以沿解理区从工件释放独立式膜。并且,该系统包括输出端口和一个或更多服务模块,该输出端口耦接至解理模块以输出从工件分离的独立式膜,每个服务模块连接至轨道结构。
[0010]在另一特定实施例中,本发明提供一种用于从体工件量产(volume manufacture)厚度独立的材料(free standing thickness ofmaterial)的方法。该方法包括提供包括第一传送装置的轨道结构。该方法还包括在托盘中装载至少一个工件。该工件具有基本上位于预定晶面的表面。另外,该方法包括经由第一传送装置将托盘中的工件传送到耦接至轨道结构的终端站。该方法还包括通过耦接至轨道结构的注入子系统产生离子粒子束。该离子粒子束被引入终端站中的工件的表面,并被注入到限定解理区的深度。并且,该方法包括经由第一传送装置将托盘中的工件传送到耦接至轨道结构的解理模块。通过一个或更多热机械工艺对工件进行处理以沿解理区解理厚度独立的材料。并且,该方法包括释放厚度基本等于该深度的厚度独立的材料,并经由第二传送装置将该厚度独立的材料传送出解理模块。在一个实施例中,轨道结构是闭环架构,用于传送保持工件的其它部分的托盘,并且在注入子系统中进行重复的注入工艺以及在解理模块中进行下一轮的解理工艺。
[0011]使用本发明的实施例相对于已有技术获得了大量优点。特别地,本发明的实施例使用成本有效的加速器系统和方法来为层转移技术提供高能注入工艺。这种加速器系统可以包括但不限于漂移管线性加速器技术、射频四极(通常称为RFQ)、静电加速器技术、或这些技术的组合(例如,RFQ与漂移管线性加速器或RFI(RF聚焦指状组合型(RF-Focused Interdigital))线性加速器组合)、回旋加速器、和其他适当技术。在优选实施例中,加速器提供一种注入工艺,其在施主衬底中形成由解理面限定的可转移材料的厚度。可以进一步加工可转移材料的厚度以提供针对诸如光伏器件、3D MEMS或集成电路、IC封装、半导体器件、这些器件的任意组合、和其它器件的用途的高质量半导体材料。在优选实施例中,本方法尤其提供高效光伏电池的单晶硅。在优选实施例中,本方法和结构使用低初始剂量的能量粒子,这使得工艺成本有效且高效。另外,本方法和结构允许制作大面积衬底。将会发现本发明可以应用于制作期望形状因子的薄硅材料板(例如,对于单晶硅板,50μm-200μm厚,面积尺寸为从15cmx15cm一直到1mx1m)。在替代优选实施例中,根据本发明的实施例可以提供种子层,该种子层可以进一步提供异质结构外延工艺的分层(layering)。异质结构外延工艺尤其可以用于形成薄多结光伏电池。仅仅作为实例,GaAs和GaInP层可以异质外延地沉积到锗种子层上,该锗种子层是使用根据本发明的实施例的注入工艺形成的转移层。在特定实施例中,本方法可以应用于从单个晶锭(ingot)(例如硅晶锭)连续地解理多个片(slice)。换言之,根据特定实施例,本方法可以被重复以连续地解理片(类似于从烤面包块切面包片)。当然,可以有其他变体、修改和替代物。
[0012]取决于实施例,可以获得这些优点中的一个或更多。这些和其他优点会在本说明书的全文且从以下说明更具体地描述。
附图说明
[0013]图1是例示根据本发明的实施例在具有轨道配置的工具中加工材料膜的方法的简化示意图。
[0014]图2是根据本发明实施例在轨道配置中的系统和工艺的简化顶视示意图。
[0015]图3是采用彼此连通的两个轨道的系统的简化顶视示意图。
[0016]图4是采用跑道(runway)型架构的系统的简化顶视示意图。
[0017]图5是采用转动压盘(rotating platen)而不是传送带来移动托盘、块(brick)或衬底的系统的简化顶视示意图。
具体实施方式
[0018]根据本发明的实施例,提供包括用于形成衬底的方法的技术。更具体地,根据本发明的实施例提供一种从半导体工件形成厚度独立的材料的方法。在特定实施例中,使用多个高能粒子提供材料的独立式层以导致在半导体衬底中形成解理面。根据本发明的方法可以用于多种用途,包括但不限于半导体器件封装、光伏电池、MEMS器件及其它用途。
[0019]图1是例示根据本发明的实施例在具有轨道配置的工具中加工材料膜的方法的简化示意图。在特定实施例中,提供如下用于从体形式的半导体工件制作厚度独立的材料的方法100:
1.工艺110:提供包括第一传送装置的轨道结构;
2.工艺115:在托盘中装载至少一个工件;
3.工艺120:经由第一传送装置将托盘中的工件传送至与轨道结构耦接的终端站;
4.工艺125:通过耦接至轨道结构的注入系统产生离子粒子束;
5.工艺130:将离子粒子束引入以注入工件的表面并驻留在限定解理区的深度;
6.工艺135:经由第一传送装置将托盘中的工件传送至与轨道结构耦接的解理模块;
7.工艺140:进行一个或更多热机械工艺以解理厚度独立的材料;
8.工艺145:释放厚度基本等于深度的厚度独立的材料;
9.工艺150:传送厚度独立的材料;
10.工艺155:根据需要进行其他步骤。
[0020]上述顺序的步骤提供了一种根据本发明实施例的使用注入工艺和解理工艺形成衬底的方法。如所示,方法100包括:使用基于加速器的高能注入工艺和受控解理工艺来利用按照轨道方式配置的系统去除材料膜,该膜优选是厚且独立式的。在不脱离权利要求的范围的情况下,还可以提供其他替代方式,其中可以添加步骤,可以去除一个或更多步骤,或者可以按不同的顺序提供一个或更多步骤。本方法的进一步细节可以在本说明书的全文且从以下说明更具体地找到。
[0021]简而言之,方法100包括至少两个主要工艺。第一主要工艺是至少包括工艺120和125的注入工艺,其中工件的表面暴露至能量离子束。例如,工件是晶体硅的锭或块,具有被制备为基本在特定晶面(例如(111)或(110)面)内的表面,具有若干度的误切角(miscut angle)。能量粒子束可以是注入氢的轻离子,其经由相应的高电流高能加速器被加速至大于1MeV的高能。然后经由磁束扫描器将离子束引导并调整为具有期望的斑尺寸(spot size)和可控的扫描模式。当将离子束引入工件的表面时,离子到达并驻留在位于工件表面下方明确限定的深度处的薄层中,限定其解理区或解理层。该解理区或层构建机械裂纹将沿其优先传播的面。使用基于加速器的离子注入的技术及其注入工具的实例的有关细节可以在美国专利申请No.11/936582、美国专利申请No.60/997684和美国专利申请No.60/992086中找到,这些申请共同转让给加利福尼亚圣何塞的Silicon Genesis公司,在此通过参考并入这些申请用于所有目的。在一个实施例中,在耦接至轨道结构的注入子系统中进行注入工艺,该注入子系统是可扩展且模块化的以与其他工艺或服务模块耦接。例如,以下将按图2更详细地描述轨道结构。
[0022]方法100的第二主要工艺是工件的实际解理或加工以导致裂纹传播来形成厚度独立的材料。该工艺至少包括工艺140-150,通常在解理模块内进行,该解理模块耦接至轨道结构并与进行注入工艺的终端站分离。特别地,该工艺包括具有明确限定的解理区的已经通过高能离子束进行预注入的工件的一个或更多热机械处理。在一种实施方式中,通过将离子束注入表面的一部分在工件表面下方至少形成处于限定深度的局部起始区。可以至少从该起始区开始进行一个或更多热机械处理以局部地启动裂纹。此外,热机械工艺要导致裂纹随后沿解理区或层传播,处于能量成本较小的目的,该解理区或层优选地靠近预定的晶面(例如(111)面)。最后,该工艺导致工件的薄上层从工件的其余部分分离。该薄上层是单片厚度独立的材料,厚度基本等于解理层的深度。通过一个或更多附加步骤,厚度独立的材料可以被释放并经由输出端口被传送出解理模块。所释放的厚度独立的材料可以称为晶片,该晶片是自支撑的并且可以用作包括太阳能电池的许多用途的晶片衬底。受控解理厚度独立的材料的技术及相关联的解理工艺工具的实例的有关细节可以在美国专利No.6013563、美国专利No.61/051344和美国专利申请No.61/051307中找到,这些专利和申请共同转让给加利福尼亚圣何塞的Silicon Genesis公司,在此通过参考并入这些申请用于所有目的。当然,可以有其他变体、替代物和修改。
[0023]在特定实施例中,本方法可以进行其他工艺。例如,该方法可以将厚的分离材料放置在支撑构件上,随后处理该材料。附加地或可选地,本方法在对表面区施加第一多个高能粒子之前对半导体衬底进行一个或更多工艺。取决于实施例,所述工艺可以用于形成光伏电池、集成电路、光学器件、这些器件的任意组合等。当然,可以有其他变体、替代物和修改。
[0024]图2是根据本发明实施例在轨道配置中的系统和工艺的简化顶视示意图。该示意图仅是一个实例,其不应该不当地限制权利要求的范围。如所示,按轨道结构1000提供并配置工厂量产系统。轨道结构1000被具体例示为闭环架构。当然,诸如线性单跑道或多个并行跑道架构可以是适用的。该轨道结构固有地包括轨道路径(track route),并且工厂传送装置可以一同构建。然后,样品托盘1100能够安装到轨道路径上,并且经由该传送装置能够在不同位置之间传送。例如,轨道路径内的箭头例示了托盘1100被传送的方向。
[0025]在一个实施例中,托盘服务模块1200可以耦接至轨道结构1000。托盘模块1200可用于设置(station)样品托盘1100,样品托盘1100中可以装载一个或更多工件。在一种实施方式中,样品托盘1100被设计为能够携带多个工件以最大化制造吞吐量。例如,托盘1100可以具有6x6个货盘(pallet),每个货盘放置156x156mm的晶锭;或者可以具有8x8个货盘,每个货盘放置125x125mm的晶锭。每个晶锭可以具有达到100mm的总高度。在一个实施方式中,取决于晶片形状因子,每个注入/解理工艺对的吞吐量期望在每小时185-300晶片的范围内。在实施例中,可以安装多于一个托盘来增加生产量。
[0026]在另一实施例中,工厂量产系统包括一个或更多注入子系统。每个注入子系统包括基于加速器的离子注入器(例如1001)和终端站(ES)(例如1011)。托盘1100可以被装载到终端站1011中,终端站1011是真空室并耦接至加速器1001以对托盘1100中的至少一个工件进行注入工艺。
[0027]基于加速器的离子注入器1001的特征在于高电流、高能离子束加速器,并被设计为产生能量大于1MeV的质子或其他轻离子的单能量束。在一个实例中,使用基于RFQ或基于RFI的线性加速器。在另一实例中,采用回旋加速器。在又一实例中,可以使用静电加速器。对本发明实施例有用的离子注入设备近来已经通过使用直流(DC)静电粒子加速器(例如,从比利时Ion Beam Application SA可以获得的DYNAMITRON质子加速器)变得可用。可以使用的其他形式的DC静电加速器包括范德格喇夫(Van De Graaff)或范德格喇夫串列式静电(Tandem Van De Graaff)加速器类型。
[0028]由加速器1001产生的质子束被引入终端站1011,并用适当的斑直径和剂量控制进行调整。在终端站1011内,将托盘1100中的工件的表面暴露至质子束,质子束可以被扫描并脉冲调制以提供跨越表面区域的适当剂量。然后,将能量离子注入到每个工件的表面并驻留在依据束的能量水平的明确限定的深度处,导致在薄层内形成应力缺陷结构,称为解理区或解理层。在一个实施方式中,可以有某些被耦接至轨道结构1000的附加注入子系统。例如,另一注入子系统包括加速器1002(或1003,或100N)和终端站1012(或1013,或101N),用于相应地对保持至少一个工件的分离的托盘进行类似注入工艺。
[0029]再次参照图2,轨道结构100还被配置为与沿轨道路径的若干工艺或服务模块耦接。例如,一个或更多解理模块1211、1212或121N直接耦接至轨道结构1000。在注入子系统之一中进行了注入工艺之后(在下一段落中描述),可以经由传送装置将托盘1100传送到解理模块1211。解理模块1211包括用于对托盘1100中的工件进行一个或更多热机械处理的工具。具体地,可以应用并控制热机械处理以导致在靠近解理区处引发工件的机械裂纹,并且随后沿解理区进行受控传播。在一个实施例中,热机械处理导致体工件的薄上层的解理工艺或受控裂纹传播。解理工艺将导致完全厚度独立的材料独立式膜或简单的薄晶片的形成以及从托盘中的每个工件分离。在另一实施例中,例如,通过添加冗余的解理模块1212至121N,可以增加与轨道结构1000相关联的解理模块的数目,以利用与相同轨道结构1000中安装的注入子系统的数目的适当比率实现平衡的流水作业。
[0030]当然,可以有接轨道配置的系统的其他替代物、变体和修改。例如,轨道结构1000可以被设计为与退火站1201耦接,在退火站1201可以在装载到解理模块1211中之前对托盘1100中的工件进行热处理。在另一实例中,轨道结构1000可以包括用于在形成厚度独立的材料之后进行任何必要步骤的可选模块1221。在特定实施例中,每个解理模块,例如1211或1212,可以包括用于在厚度独立的材料分离之后对其进行传送的输出端口1311或1312。厚度独立的材料可以首先被检验然后装盒,或者直接放置到与轨道结构1000关联的第二传送装置1300上。在特定实施例中,轨道结构还可以包括质量控制(QC)模块1231,用于在去除厚度独立的材料之后,对托盘1100中的每个工件的剩余部分进行质量检验。QC模块1231还可以能够进行必要的工件再制备(包括表面平滑化工艺)以使得每个工件为重复的注入/解理工艺做好准备。特别地,携带工件的托盘1100可以被再次传送到终端站1011-101N之一中来进行下一轮注入工艺。随后在具有轨道配置的工具中制造材料膜的方法的细节可以在上述图1中找到。
[0031]替代实施例落入本发明的范围内。例如,图3示出采用具有多于一个环路的轨道的实施例的简化示意图。轨道的第一环路300被用于接收承载工件的托盘,并在注入站与解理站之间循环该托盘。在解理之后,将晶片输出到传送装置。
[0032]轨道的第二环路320被用于为托盘重新填充块,一旦连续的注入和解理工艺已经减少了现有块中存在的材料的量。第二轨道还与各种站连通,例如装载锁(load lock)、块检验节点、块研磨节点和块储存点。
[0033]尽管图2和3的实施例示出闭环架构,但是这不是必须的。根据替代实施例,可以采用单跑道架构。
[0034]图4示出采用跑道架构的一个所述替代实施例。在本实施例中,单个线性传送装置400传送工件402(其可以在托盘上支撑)以暴露至一个或更多线性加速器的终端站中的注入。传送装置还与各种其他节点连通,例如,可用于分析或加工晶片/衬底或块的解理模块或服务模块。
[0035]在解理之后,可以采用机器人(robot)404将块从靠近传送装置的末端的区域传送至传送装置的开始区域。该传送将允许块的注入以解理附加材料。在特定实施例中,机器人可以是轨道机器人(track robot)(如图所示)或者可以是自动导向车辆(AGV)。
[0036]尽管前面的实施例示出具有一个或更多传送装置结构,但是这不是本发明必须的。替代实施例可以使用不同于传送装置的结构来移动托盘/块/衬底。移动这些元件的替代方式的一个实例可以采用基于轨道的机器人。移动这些元件的替代方式的另一实例可以采用AGV。
[0037]替代实施例的又一实例采用旋转盘型(lazy susan-type)结构来实现移动。如图5的实施例所示,将环形平台500配置为转动以允许其上的块/托盘与不同的注入站或分析加工节点连通。环形平台还与输入和输出接点连通,允许托盘/块/解理衬底的连续装载和卸载。
[0038]通过参考并入以下的非临时专利申请的全部用于所有目的:美国非临时专利申请No.11/782289;和美国非临时专利申请No.11/784524。
[0039]尽管以上是具体实施例的完整描述,但是可以使用各种修改、替代构造和等同物。尽管以上已经使用选定的步骤顺序进行了描述,但是可以使用上述步骤以及其他步骤的任何元素的任意组合。另外,取决于实施例,特定步骤可以被组合和/或消除。此外,根据替代实施例,可以使用氦和氢离子的共注入来代替氢粒子,以允许用修改的剂量和/或解理性质形成解理面。在其他实施例中,工件可以是一个或更多硅锭和/或晶片等。在其他实施例,工件可以被配置为具有轻微误切或在空间上成角度(具有或没有误切)。当然,可以有其他变体、修改好替代物。因此,上述说明和例示不应该被认为限制本发明的范围,该范围由所附权利要求限定。

Claims (28)

1.一种用于由体工件制造独立式膜的系统,所述系统包括:
轨道结构,所述轨道结构被配置为传送至少一个工件;
经由终端站耦接至所述轨道结构的一个或更多基于加速器的离子注入器,每个基于加速器的离子注入器被配置为将粒子引入以注入到载入终端站的工件的表面中,从而在工件中形成解理区;以及
耦接到所述轨道结构的一个或更多解理模块,每个解理模块被配置为进行解理工艺以沿解理区从工件释放独立式膜,由此从工件释放独立式膜之后,将工件返回至终端站以引入更多粒子。
2.如权利要求1的系统,还包括:耦接至所述解理模块的输出端口,用于输出从工件分离的独立式膜。
3.如权利要求1的系统,还包括:一个或更多服务模块,每个服务模块连接至所述轨道结构。
4.如权利要求3的系统,其中所述一个或更多服务模块包括托盘服务模块,用于将一个或更多工件装载到支撑托盘,并进行对所述托盘的维护。
5.如权利要求3的系统,其中所述一个或更多服务模块包括退火站,用于在所述一个或更多解理模块中的解理工艺之前或之后进行热处理。
6.如权利要求3的系统,其中所述一个或更多服务模块包括质量控制站,用于检验和制备用于重复注入和解理工艺的工件。
7.如权利要求1的系统,其中所述至少一个基于加速器的离子注入器被配置为引入具有大于1MeV的能量的粒子。
8.如权利要求1的系统,其中所述轨道结构可以被配置为闭环架构或单跑道架构。
9.如权利要求1的系统,其中所述轨道结构包括第一传送装置,用于将托盘中的至少一个工件从一个位置传送至另一位置,所述另一位置包括终端站、所述一个或更多解理模块之一、或所述一个或更多服务模块之一。
10.如权利要求9的系统,其中所述轨道结构是可扩展的以延伸所述第一传送装置和添加与其耦接的附加工艺模块。
11.如权利要求1的系统,其中采用轨道机器人、机械手、自动导向车辆或转动平台。
12.如权利要求1的系统,还包括用于所述轨道结构的生产线平衡装置,其中所述一个或更多基于加速器的离子注入器的数目与所述一个或更多解理模块的数目的比率可调整。
13.如权利要求1的系统,其中所述一个或更多基于加速器的离子注入器包括用于产生具有达到约5MeV的能量的粒子的基于RFQ的线性加速器、基于QFI的线性加速器、回旋加速器或静电加速器。
14.如权利要求1的系统,其中所述粒子包括具有正电荷或负电荷的氢离子或其它轻离子。
15.如权利要求1的系统,其中所述工件可以是晶体硅的锭,并且所述表面可以被选择为沿(111)或(110)晶面,具有若干度的小误切角和方形或伪方形的截面形状。
16.如权利要求1的系统,其中所述一个或更多解理模块还包括用于对所述工件使用电子-磁工艺照射和扫描并进行解理工艺的工具。
17.一种用于从体工件量产厚度独立的材料的方法,所述方法包括以下步骤:
提供包括第一传送装置的轨道结构;
在所述传送装置中装载至少一个工件,所述工件具有基本上位于预定晶面的表面;
经由第一传送装置将工件传送到耦接至轨道结构的终端站;
通过耦接至轨道结构的注入子系统产生离子粒子束,所述离子粒子束被引入终端站中的工件的表面,并被注入到限定解理区的深度;
经由第一传送装置将工件传送到耦接至轨道结构的解理模块,通过一个或更多工艺对工件进行处理以沿解理区解理厚度独立的材料;
释放厚度基本等于所述深度的厚度独立的材料;
将工件返回至终端站;以及
经由第二传送装置将所述厚度独立的材料传送出解理模块。
18.如权利要求17的方法,其中将所述工件装载在支撑在托盘上的传送装置中。
19.如权利要求18的方法,其中将至少一个工件装载在托盘中的步骤在耦接至所述轨道结构的托盘服务模块中进行。
20.如权利要求18的方法,其中所述托盘是包括多个货盘的压盘,每个货盘被配置为利用机构保持一个工件以调整相对表面角和相对高度。
21.如权利要求17的方法,其中所述轨道结构可以被配置为闭环架构或单跑道架构。
22.如权利要求17的方法,其中通过注入子系统产生离子粒子束的步骤包括:使用加速器来产生具有若干MeV的能量的离子粒子束;将所述离子粒子束重定向到所述终端站;和使用磁扫描器来扫描所述离子粒子束。
23.如权利要求17的方法,其中限定所述解理区的深度取决于所述离子粒子束的能量水平和剂量的组合。
24.如权利要求17的方法,还包括:在经由第一传送装置将工件传送到所述解理模块之前,在耦接至轨道结构的退火模块中使工件退火。
25.如权利要求17的方法,还包括:在所述厚度独立的材料已经从工件释放之后,将工件从所述解理模块传送至QC模块,并在所述QC模块中进行工件的检验,所述QC模块耦接至所述轨道结构。
26.如权利要求17的方法,其中所述工件采用传送装置、轨道机器人、机械手、自动导向车辆或转动平台返回至所述终端站。
27.一种方法,包括以下步骤:
采用轨道结构将工件传送至基于加速器的离子注入器;
将粒子引入并注入到所述工件的表面以在所述工件中形成解理区;
采用所述轨道结构将包括所述解理区的所述工件传送至解理模块,每个解理模块被配置为进行解理工艺以沿所述解理区从所述工件释放独立式膜;以及
在从所述工件释放所述独立式膜之后,采用所述轨道将所述工件返回至基于加速器的离子注入器。
28.如权利要求27的方法,其中所述轨道包括传送装置、轨道机器人、机械手、自动导向车辆或转动平台。
CN200910167477A 2008-08-25 2009-08-25 对硅太阳能衬底进行切片的轨道配置和方法 Pending CN101661973A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9171008P 2008-08-25 2008-08-25
US61/091,710 2008-08-25

Publications (1)

Publication Number Publication Date
CN101661973A true CN101661973A (zh) 2010-03-03

Family

ID=41343195

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910167477A Pending CN101661973A (zh) 2008-08-25 2009-08-25 对硅太阳能衬底进行切片的轨道配置和方法

Country Status (4)

Country Link
US (1) US8330126B2 (zh)
EP (1) EP2159025A3 (zh)
KR (1) KR101163282B1 (zh)
CN (1) CN101661973A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103646990A (zh) * 2013-11-28 2014-03-19 青岛蓝图文化传播有限公司市南分公司 一种解理的方法
CN108461555A (zh) * 2018-02-05 2018-08-28 宇泰(江西)新能源有限公司 一种具有表面织构结构的单晶硅光伏电池

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101915753B1 (ko) * 2010-10-21 2018-11-07 삼성디스플레이 주식회사 이온 주입 시스템 및 이를 이용한 이온 주입 방법
CN105314362A (zh) * 2014-07-31 2016-02-10 晶彩科技股份有限公司 自动化搬运的机构及其方法
US20180175008A1 (en) 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
DE202016000166U1 (de) 2015-01-09 2016-06-02 Silicon Genesis Corporation Dreidimensionale integrierte Schaltung
US10049915B2 (en) 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
WO2020131431A1 (en) * 2018-12-17 2020-06-25 Applied Materials, Inc. A method of forming devices on a substrate
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer
US11670532B1 (en) * 2021-12-06 2023-06-06 Applied Materials, Inc. System and method for controlling electrostatic clamping of multiple platens on a spinning disk

Family Cites Families (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US610513A (en) 1898-09-06 Harry lourta broad
US2614055A (en) 1947-05-12 1952-10-14 Samica Corp Method of treating mica
DE834363C (de) 1951-02-27 1952-03-20 Zentral Werkstatt Goettingen Verfahren und Vorrichtung zur Herstellung duenner Glimmerfolien
US3117002A (en) 1960-02-16 1964-01-07 Ampco Metal Inc Aluminum bronze alloy having improved wear resistance by the addition of cobalt, chromium, and manganese
US3225820A (en) 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
DE1238105B (de) 1963-07-17 1967-04-06 Siemens Ag Verfahren zum Herstellen von pn-UEbergaengen in Silizium
US3390033A (en) 1964-08-13 1968-06-25 Rca Corp Method of separating frit sealed parts of an electron tube
US5082793A (en) 1965-09-28 1992-01-21 Li Chou H Method for making solid state device utilizing ion implantation techniques
US3516855A (en) 1967-05-29 1970-06-23 Ibm Method of depositing conductive ions by utilizing electron beam
US3551213A (en) 1968-09-04 1970-12-29 Bell Telephone Labor Inc Geometrically selective ion bombardment by means of the photoelectric effect
US3786359A (en) 1969-03-28 1974-01-15 Alpha Ind Inc Ion accelerator and ion species selector
US3900636A (en) 1971-01-21 1975-08-19 Gillette Co Method of treating cutting edges
US3806380A (en) 1971-03-05 1974-04-23 Hitachi Ltd Method for hardening treatment of aluminum or aluminum-base alloy
GB1392811A (en) 1971-04-07 1975-04-30 Atomic Energy Authority Uk Methods for treating steel to modify the structure thereof
US3770499A (en) 1972-02-28 1973-11-06 Motorola Inc Liquid phase deposition of thin insulating and refractory film on a substrate
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US3914655A (en) 1973-06-28 1975-10-21 Ibm High brightness ion source
FR2245779B1 (zh) 1973-09-28 1978-02-10 Cit Alcatel
US3946334A (en) 1973-11-14 1976-03-23 Nippon Electric Company, Limited Injection semiconductor laser device
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US3964957A (en) 1973-12-19 1976-06-22 Monsanto Company Apparatus for processing semiconductor wafers
FR2261802B1 (zh) 1974-02-21 1978-01-06 Devienne Fernand
NL7404364A (nl) 1974-04-01 1975-10-03 Philips Nv Werkwijze en inrichting voor het bewerken van vlakke voorwerpen.
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
FR2298880A1 (fr) 1975-01-22 1976-08-20 Commissariat Energie Atomique Procede et dispositif d'implantation ionique
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4116751A (en) 1975-10-08 1978-09-26 Solomon Zaromb Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4053335A (en) 1976-04-02 1977-10-11 International Business Machines Corporation Method of gettering using backside polycrystalline silicon
US4216906A (en) 1976-06-21 1980-08-12 Flow Research, Inc. Method of making high velocity liquid jet
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4237601A (en) 1978-10-13 1980-12-09 Exxon Research & Engineering Co. Method of cleaving semiconductor diode laser wafers
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4255208A (en) 1979-05-25 1981-03-10 Ramot University Authority For Applied Research And Industrial Development Ltd. Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer
CH640886A5 (de) 1979-08-02 1984-01-31 Balzers Hochvakuum Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen.
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2506344B2 (fr) 1980-02-01 1986-07-11 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
FR2475068B1 (fr) 1980-02-01 1986-05-16 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
GB2071411B (en) 1980-03-07 1983-12-21 Philips Electronic Associated Passivating p-n junction devices
EP0191503A3 (en) 1980-04-10 1986-09-10 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (fr) 1981-03-13 1982-09-17 Vide Traitement Procede de traitements thermochimiques de metaux par bombardement ionique
JPS5861763A (ja) 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
FR2519437A1 (fr) 1982-01-04 1983-07-08 Commissariat Energie Atomique Dispositif de limitation et de controle de la temperature d'une cible reposant sur un support dans une enceinte sous vide et recevant un faisceau d'energie et son application a des mesures thermiques
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
US4554570A (en) 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
FR2529383A1 (fr) 1982-06-24 1983-12-30 Commissariat Energie Atomique Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris
FR2537768A1 (fr) 1982-12-08 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques
FR2537777A1 (fr) 1982-12-10 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'implantation de particules dans un solide
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3246480A1 (de) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
FR2560426A1 (fr) 1984-02-28 1985-08-30 Commissariat Energie Atomique Dispositif de production d'ions d'une espece determinee, utilisant pour les separer d'autres ions, une selection en energie, application a l'implantation ionique
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
JPS6124240A (ja) 1984-07-13 1986-02-01 Toshiba Corp 半導体基板
FR2575601B1 (fr) 1984-12-27 1987-01-30 Commissariat Energie Atomique Procede et dispositif de determination de parametres electriques d'une couche semi-conductrice en fonction de la profondeur
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
NL8501773A (nl) 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
US4706377A (en) 1986-01-30 1987-11-17 United Technologies Corporation Passivation of gallium arsenide by nitrogen implantation
JPS62208638A (ja) 1986-03-07 1987-09-12 Toshiba Corp 半導体装置の製造方法
JPS62219636A (ja) 1986-03-20 1987-09-26 Hitachi Ltd 半導体装置
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4906594A (en) 1987-06-12 1990-03-06 Agency Of Industrial Science And Technology Surface smoothing method and method of forming SOI substrate using the surface smoothing method
EP0296804B1 (en) 1987-06-24 1994-03-30 Advanced Semiconductor Materials America, Inc. Process for epitaxial deposition of silicone
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
DE3803424C2 (de) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben
JP2666945B2 (ja) 1988-02-08 1997-10-22 株式会社東芝 半導体装置の製造方法
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (ja) 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
US5202095A (en) 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
DE19538634C2 (de) 1995-10-17 1997-09-04 Itt Ind Gmbh Deutsche Verfahren zum Vereinzeln von elektronischen Elementen aus einem Halbleiterwafer
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5370765A (en) 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5203960A (en) 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5196355A (en) 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5070040A (en) 1990-03-09 1991-12-03 University Of Colorado Foundation, Inc. Method and apparatus for semiconductor circuit chip cooling
US5141878A (en) 1990-04-02 1992-08-25 At&T Bell Laboratories Silicon photodiode for monolithic integrated circuits and method for making same
DE4114108C1 (zh) 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JP2575545B2 (ja) 1990-07-05 1997-01-29 株式会社東芝 半導体装置の製造方法
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5256562A (en) 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5258325A (en) 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5317436A (en) 1990-12-31 1994-05-31 Kopin Corporation A slide assembly for projector with active matrix moveably mounted to housing
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5362671A (en) 1990-12-31 1994-11-08 Kopin Corporation Method of fabricating single crystal silicon arrayed devices for display panels
US5444557A (en) 1990-12-31 1995-08-22 Kopin Corporation Single crystal silicon arrayed devices for projection displays
US5258320A (en) 1990-12-31 1993-11-02 Kopin Corporation Single crystal silicon arrayed devices for display panels
DE4100526A1 (de) 1991-01-10 1992-07-16 Wacker Chemitronic Vorrichtung und verfahren zum automatischen vereinzeln von gestapelten scheiben
CA2060956C (en) 1991-02-12 2000-04-11 Jesse N. Matossian Evaluation of the extent of wear of articles
JPH04266047A (ja) 1991-02-20 1992-09-22 Fujitsu Ltd 埋め込み層形成に相当するsoi型半導体装置の製造方法及び半導体装置
US5130708A (en) 1991-03-11 1992-07-14 Will Boyden, Inc. Boat sinking warning device
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
JPH0817166B2 (ja) 1991-04-27 1996-02-21 信越半導体株式会社 超薄膜soi基板の製造方法及び製造装置
JPH04359518A (ja) 1991-06-06 1992-12-11 Nec Corp 半導体装置の製造方法
JPH04365377A (ja) 1991-06-13 1992-12-17 Agency Of Ind Science & Technol 半導体装置
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
KR0156011B1 (ko) 1991-08-12 1998-12-01 이노우에 아키라 플라즈마 처리장치 및 방법
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2726583B2 (ja) 1991-11-18 1998-03-11 三菱マテリアルシリコン株式会社 半導体基板
US5198071A (en) 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
US5827751A (en) 1991-12-06 1998-10-27 Picogiga Societe Anonyme Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically
EP1043768B1 (en) 1992-01-30 2004-09-08 Canon Kabushiki Kaisha Process for producing semiconductor substrates
JP2901031B2 (ja) 1992-01-30 1999-06-02 キヤノン株式会社 半導体基材及びその作製方法
JP2910001B2 (ja) 1992-01-30 1999-06-23 キヤノン株式会社 半導体基材及びその作製方法
JP3261685B2 (ja) 1992-01-31 2002-03-04 キヤノン株式会社 半導体素子基体及びその作製方法
US5372952A (en) 1992-04-03 1994-12-13 National Semiconductor Corporation Method for forming isolated semiconductor structures
US5269880A (en) 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5213986A (en) 1992-04-10 1993-05-25 North American Philips Corporation Process for making thin film silicon-on-insulator wafers employing wafer bonding and wafer thinning
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5363603A (en) 1992-06-22 1994-11-15 Alliant Techsystems, Inc. Abrasive fluid jet cutting compositon and method
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP2560178B2 (ja) 1992-06-29 1996-12-04 九州電子金属株式会社 半導体ウェーハの製造方法
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5304509A (en) 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP3192000B2 (ja) 1992-08-25 2001-07-23 キヤノン株式会社 半導体基板及びその作製方法
JP3266163B2 (ja) 1992-10-14 2002-03-18 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JPH06232141A (ja) 1992-12-07 1994-08-19 Sony Corp 半導体基板の作成方法及び固体撮像装置の製造方法
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5409563A (en) 1993-02-26 1995-04-25 Micron Technology, Inc. Method for etching high aspect ratio features
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP2856030B2 (ja) 1993-06-29 1999-02-10 信越半導体株式会社 結合ウエーハの製造方法
US5344524A (en) 1993-06-30 1994-09-06 Honeywell Inc. SOI substrate fabrication
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
FR2707401B1 (fr) 1993-07-09 1995-08-11 Menigaux Louis Procédé de fabrication d'une structure intégrant un guide optique clivé à un support de fibre optique pour un couplage optique guide-fibre et structure obtenue.
JP2786081B2 (ja) 1993-07-27 1998-08-13 日本電気株式会社 Soi基板
US5443431A (en) 1993-10-13 1995-08-22 Zexel-Gleason Usa, Inc. Differential with friction-enhancing wedge
US5840590A (en) 1993-12-01 1998-11-24 Sandia Corporation Impurity gettering in silicon using cavities formed by helium implantation and annealing
US5459016A (en) 1993-12-16 1995-10-17 Minnesota Mining And Manufacturing Company Nanostructured thermal transfer donor element
FR2714524B1 (fr) 1993-12-23 1996-01-26 Commissariat Energie Atomique Procede de realisation d'une structure en relief sur un support en materiau semiconducteur
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5476691A (en) 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
FR2715503B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrat pour composants intégrés comportant une couche mince et son procédé de réalisation.
FR2715501B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Procédé de dépôt de lames semiconductrices sur un support.
FR2715502B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
JP3352340B2 (ja) 1995-10-06 2002-12-03 キヤノン株式会社 半導体基体とその製造方法
JP3293736B2 (ja) 1996-02-28 2002-06-17 キヤノン株式会社 半導体基板の作製方法および貼り合わせ基体
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5480842A (en) 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
FR2720189B1 (fr) 1994-05-18 1996-08-30 Commissariat Energie Atomique Procédé de réalisation d'une structure à faible taux de dislocations comprenant une couche d'oxyde enterrée dans un substrat semi-conducteur.
US5411592A (en) 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
FR2725074B1 (fr) 1994-09-22 1996-12-20 Commissariat Energie Atomique Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat
JP3265493B2 (ja) 1994-11-24 2002-03-11 ソニー株式会社 Soi基板の製造方法
AUPM982294A0 (en) 1994-12-02 1995-01-05 Pacific Solar Pty Limited Method of manufacturing a multilayer solar cell
US5504328A (en) 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
US5611855A (en) 1995-01-31 1997-03-18 Seh America, Inc. Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth
JP3381443B2 (ja) 1995-02-02 2003-02-24 ソニー株式会社 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法
US6107213A (en) 1996-02-01 2000-08-22 Sony Corporation Method for making thin film semiconductor
CA2172233C (en) 1995-03-20 2001-01-02 Lei Zhong Slant-surface silicon wafer having a reconstructed atomic-level stepped surface structure
TW355845B (en) 1995-03-27 1999-04-11 Semiconductor Energy Lab Co Ltd Semiconductor device and a method of manufacturing the same
JPH08271880A (ja) 1995-04-03 1996-10-18 Toshiba Corp 遮光膜,液晶表示装置および遮光膜形成用材料
US5603779A (en) 1995-05-17 1997-02-18 Harris Corporation Bonded wafer and method of fabrication thereof
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5863831A (en) 1995-08-14 1999-01-26 Advanced Materials Engineering Research, Inc. Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility
JP2701803B2 (ja) 1995-08-28 1998-01-21 日本電気株式会社 半導体装置の製造方法
FR2738671B1 (fr) 1995-09-13 1997-10-10 Commissariat Energie Atomique Procede de fabrication de films minces a materiau semiconducteur
KR0165467B1 (ko) 1995-10-31 1999-02-01 김광호 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법
US5869405A (en) 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation
US6004868A (en) 1996-01-17 1999-12-21 Micron Technology, Inc. Method for CMOS well drive in a non-inert ambient
JPH09263500A (ja) 1996-01-22 1997-10-07 Komatsu Electron Metals Co Ltd 貼り合わせsoiウェーハの剥がし治具
FR2744285B1 (fr) 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
FR2748850B1 (fr) 1996-05-15 1998-07-24 Commissariat Energie Atomique Procede de realisation d'un film mince de materiau solide et applications de ce procede
US5793913A (en) 1996-07-10 1998-08-11 Northern Telecom Limited Method for the hybrid integration of discrete elements on a semiconductor substrate
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
FR2752332B1 (fr) 1996-08-12 1998-09-11 Commissariat Energie Atomique Dispositif de decollement de plaquettes et procede de mise en oeuvre de ce dispositif
KR100500520B1 (ko) 1996-08-27 2005-07-12 세이코 엡슨 가부시키가이샤 전사 방법 및 액티브 매트릭스 기판 제조 방법
US5753560A (en) 1996-10-31 1998-05-19 Motorola, Inc. Method for fabricating a semiconductor device using lateral gettering
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
KR100232886B1 (ko) 1996-11-23 1999-12-01 김영환 Soi 웨이퍼 제조방법
US5841931A (en) 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
US6143628A (en) 1997-03-27 2000-11-07 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
FR2761523B1 (fr) 1997-03-28 1999-06-04 Pixtech Sa Pose d'espaceurs dans un ecran plat de visualisation
JPH10275905A (ja) 1997-03-31 1998-10-13 Mitsubishi Electric Corp シリコンウェーハの製造方法およびシリコンウェーハ
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US5994207A (en) 1997-05-12 1999-11-30 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6027988A (en) 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6150239A (en) 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
JP3324469B2 (ja) 1997-09-26 2002-09-17 信越半導体株式会社 Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US6171982B1 (en) 1997-12-26 2001-01-09 Canon Kabushiki Kaisha Method and apparatus for heat-treating an SOI substrate and method of preparing an SOI substrate by using the same
JPH11195775A (ja) 1997-12-26 1999-07-21 Sony Corp 半導体基板および薄膜半導体素子およびそれらの製造方法ならびに陽極化成装置
JPH11204771A (ja) 1998-01-07 1999-07-30 Sony Corp 半導体基板の製造方法及び固体撮像装置の製造方法
US6274464B2 (en) 1998-02-06 2001-08-14 Texas Instruments Incorporated Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects
US6120597A (en) 1998-02-17 2000-09-19 The Trustees Of Columbia University In The City Of New York Crystal ion-slicing of single-crystal films
US6083324A (en) 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3358550B2 (ja) 1998-07-07 2002-12-24 信越半導体株式会社 Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ
TW459390B (en) 1998-09-04 2001-10-11 Canon Kk Semiconductor substrate and method for producing the same
US6534381B2 (en) 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
EP1039513A3 (en) 1999-03-26 2008-11-26 Canon Kabushiki Kaisha Method of producing a SOI wafer
US6204151B1 (en) 1999-04-21 2001-03-20 Silicon Genesis Corporation Smoothing method for cleaved films made using thermal treatment
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6287941B1 (en) 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6452091B1 (en) 1999-07-14 2002-09-17 Canon Kabushiki Kaisha Method of producing thin-film single-crystal device, solar cell module and method of producing the same
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6455397B1 (en) 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
US6503773B2 (en) 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
FR2804247B1 (fr) 2000-01-21 2002-04-12 St Microelectronics Sa Procede de realisation d'un transistor bipolaire a emetteur et base extrinseque auto-alignes
FR2807074B1 (fr) 2000-04-03 2002-12-06 Soitec Silicon On Insulator Procede et dispositif de fabrication de substrats
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
FR2809867B1 (fr) 2000-05-30 2003-10-24 Commissariat Energie Atomique Substrat fragilise et procede de fabrication d'un tel substrat
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6743495B2 (en) 2001-03-30 2004-06-01 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
CA2482258A1 (en) 2001-04-17 2002-10-24 California Institute Of Technology A method of using a germanium layer transfer to si for photovoltaic applications and heterostructure made thereby
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US6514836B2 (en) 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6723421B2 (en) 2001-10-05 2004-04-20 Energy Conversion Devices, Inc. Semiconductor with coordinatively irregular structures
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
DE10208280A1 (de) 2002-02-26 2003-09-04 Creavis Tech & Innovation Gmbh Keramische Membran auf Basis eines Polymer-oder Naturfasern ausweisenden Substrates, Verfahren zu deren Herstellung und Verwendung
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
FR2842650B1 (fr) 2002-07-17 2005-09-02 Soitec Silicon On Insulator Procede de fabrication de substrats notamment pour l'optique, l'electronique ou l'opto-electronique
US6911376B2 (en) 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US7354815B2 (en) 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050189013A1 (en) 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
JP2006294737A (ja) 2005-04-07 2006-10-26 Sumco Corp Soi基板の製造方法及びその製造における剥離ウェーハの再生処理方法。
JP2009532918A (ja) * 2006-04-05 2009-09-10 シリコン ジェネシス コーポレーション レイヤトランスファプロセスを使用する太陽電池の製造方法および構造
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
JP5289805B2 (ja) * 2007-05-10 2013-09-11 株式会社半導体エネルギー研究所 半導体装置製造用基板の作製方法
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103646990A (zh) * 2013-11-28 2014-03-19 青岛蓝图文化传播有限公司市南分公司 一种解理的方法
CN108461555A (zh) * 2018-02-05 2018-08-28 宇泰(江西)新能源有限公司 一种具有表面织构结构的单晶硅光伏电池

Also Published As

Publication number Publication date
EP2159025A3 (en) 2011-09-07
EP2159025A2 (en) 2010-03-03
US20100044595A1 (en) 2010-02-25
US8330126B2 (en) 2012-12-11
KR20100024376A (ko) 2010-03-05
KR101163282B1 (ko) 2012-07-05

Similar Documents

Publication Publication Date Title
CN101661973A (zh) 对硅太阳能衬底进行切片的轨道配置和方法
CN101490824B (zh) 连续大面积扫描注入工艺的方法与系统
US6184111B1 (en) Pre-semiconductor process implant and post-process film separation
CN101609794B (zh) 厚膜材料解理处理期间的温度控制设备和方法
US6486041B2 (en) Method and device for controlled cleaving process
CN101536169A (zh) 利用直线加速器的厚层转移的方法和结构
KR20080042095A (ko) 제어된 클리빙 처리를 이용하여 플레이트 상에 다수의 타일영역을 제작하는 방법 및 구조
WO2010132706A1 (en) Techniques for forming thin films by implantation with reduced channeling
US8698104B2 (en) System and method for handling multiple workpieces for matrix configuration processing
KR20140140053A (ko) 레이저 분할 및 디바이스 층 전사를 위한 시스템 및 방법
CN104272436A (zh) 用于形成光电子装置的技术
CN103988290A (zh) 工件处理系统以及工件处理方法
US7470600B2 (en) Method and device for controlled cleaving process
CN106981540B (zh) 离子注入跑片方法和离子注入跑片系统
EP2641257B1 (en) Semiconductor structure made using improved pseudo-simultaneous multiple ion implantation process
CN101536616A (zh) 采用射频四极线性加速器引入用于半导体材料的粒子的设备和方法
CN110828353A (zh) 花篮装置
US20100109115A1 (en) Virtual IC wafers and bonding of constitutent IC films
KR101320330B1 (ko) 인라인 기판처리시스템 및 로드락챔버
US20010007790A1 (en) Pre-semiconductor process implant and post-process film separation
EP3701564A1 (en) Semi-conductor wafers longer than industry standard square
CN102486988A (zh) 真空传输制程设备
CN102800610A (zh) 具有障碍物检测的衬底分裂设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20100303