CN101681812A - 使用自组装材料的间距倍增 - Google Patents

使用自组装材料的间距倍增 Download PDF

Info

Publication number
CN101681812A
CN101681812A CN200880018731A CN200880018731A CN101681812A CN 101681812 A CN101681812 A CN 101681812A CN 200880018731 A CN200880018731 A CN 200880018731A CN 200880018731 A CN200880018731 A CN 200880018731A CN 101681812 A CN101681812 A CN 101681812A
Authority
CN
China
Prior art keywords
block copolymer
self
block
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880018731A
Other languages
English (en)
Other versions
CN101681812B (zh
Inventor
古尔特杰·桑胡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101681812A publication Critical patent/CN101681812A/zh
Application granted granted Critical
Publication of CN101681812B publication Critical patent/CN101681812B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/95Multilayer mask including nonradiation sensitive layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24058Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation

Abstract

使用自组装材料(例如,嵌段共聚物)作为用于实现间距倍增的心轴(162)。在衬底(110)上方沉积所述共聚物并引导其自组装成所需图案。选择性地移除形成所述嵌段共聚物的嵌段(164)中的一者。将剩余嵌段用作用于实现间距倍增的心轴(162)。在嵌段(162)上方覆盖沉积间隔件材料。所述间隔件材料经受间隔件蚀刻以在所述心轴(162)的侧壁上形成间隔件。选择性地移除所述心轴(162)以留下独立的间隔件。所述间隔件可用作间距倍增的掩模特征以在下伏衬底(110)中界定图案。

Description

使用自组装材料的间距倍增
相关申请案交叉参考
本申请案与以下申请案有关:2006年3月23日提出申请、名称为形貌引导的图案化的格泰吉桑达胡(Gurtej Sandhu)的第11/389,581号美国专利申请案(代理人档案号MICRON.342A)及2006年6月2日提出申请、名称为基于形貌的图案化的格泰吉桑达胡(Gurtej Sandhu)的第11/445,907号美国专利申请案(代理人档案号MICRON.349A)。这些参考资料的每一者的全部揭示内容均以引用的方式并入本文中。
技术领域
本发明涉及掩模形成,包含用于制造集成电路的印刷技术。
背景技术
由于许多因素(其中包含对增加的便携性、计算能力、存储器容量及能量效率的需求),集成电路的大小不断减小。形成集成电路的组成特征(例如,电装置及互连线)的大小也持续降低以促进此大小减小。
例如,在存储器电路或装置(例如:动态随机存取存储器(DRAM)、快闪存储器、静态随机存取存储器(SRAM)、铁电(FE)存储器等)中,降低特征大小的趋势是明显的。举一个实例来说,DRAM通常包含数百万个相同的电路元件,称作存储器单元。存储器单元通常由两个电装置组成:存储电容器及存取场效应晶体管。每一存储器单元都是可存储一个数据位(二进制数字)的可寻址位置。可通过晶体管将位写入到单元且可通过感测电容器中的电荷读取所述位。某些存储器技术采用可充当存储装置及开关两者的元件(例如,采用掺杂银的硫系玻璃的枝状存储器),且某些非易失性存储器不需要为每一单元均使用开关(例如,磁阻RAM),也不需要将开关并入到存储器元件中(例如,快闪存储器的EEPROM)。
在另一实例中,快闪存储器通常包含数百万个快闪存储器单元,其含有可保持电荷的浮动栅极场效应晶体管。浮动栅极中存在电荷还是不存在电荷确定存储器单元的逻辑状态。可通过将电荷注入到单元或从单元中移除电荷来将位写入到所述单元。快闪存储器单元可以不同架构配置连接,每一架构配置具有用于读取位的不同方案。在“NOR”架构配置中,每一存储器单元均耦合到位线且可个别地进行读取。在“NAND”架构配置中,存储器单元对准成单元“串”,且激活整个位线来存取单元串的一者中的数据。
一般而言,通过降低构成存储器单元的电装置的大小及存取存储器单元的导线的大小,可使存储器装置更小。另外,可通过将更多的存储器单元装配于存储器装置的给定区域上来增加存储容量。然而,减小特征大小的需要可更普遍地适用于集成电路,其中包含通用及专用处理器。
不断减小特征大小对用于形成所述特征的技术提出越来越高的要求。例如,一般使用光刻来图案化这些特征。通常,光刻涉及使光透过光罩并将所述光会聚到光化学活性光致抗蚀剂材料上。正如幻灯片具有可被投射到屏幕上的图像,所述光罩通常具有可被转移到衬底的图案。通过引导光或辐射透过光罩,可将光罩中的图案会聚在光致抗蚀剂上。所述光或辐射导致光致抗蚀剂的被照明部分中发生化学变化,从而允许这些部分相对于处于阴影中的部分选择性地被保留或被移除(此取决于使用了正性光致抗蚀剂还是负性光致抗蚀剂)。因此,经暴露部分与未暴露部分在光致抗蚀剂中形成图案。
因为平版印刷通常通过将光或辐射投射到表面上来实现,所以特定平版印刷技术的最终分辨率取决于诸如光学器件及光或辐射波长的因素。例如,将良好界定的图案会聚到抗蚀剂上的能力取决于特征的大小及投射穿过光罩的辐射的波长。应了解,由于衍射以及其它原因,分辨率随着波长的增加而减小。因此,随着特征大小减小,形成具有良好分辨率的特征通常需要较短波长辐射。
连同具有特定波长的辐射,光刻利用与所述辐射相适宜的光致抗蚀剂。在经过显影之后,光致抗蚀剂充当掩模以将图案转移到下伏材料。所述光致抗蚀剂足够坚固以在不发生变形的情况下经受住显影步骤且还足够坚固以经受住用于将掩模图案转移到下伏材料的蚀刻。然而,随着特征大小减小,光致抗蚀剂掩模特征的宽度也减小,但通常这些掩模特征的高度不发生对应减小。由于这些掩模特征的高纵横比,因此可难以在显影及图案转移步骤期间维持这些细掩模特征的结构整体性。因此,随着这些特征的大小继续减小,使用足够坚固的光致抗蚀剂材料可限制光刻印刷特征的能力。
因此,继续需要用于图案化特征的高分辨率方法。
附图说明
图1是根据本发明的一些实施例,具有上覆掩蔽层的衬底的示意性横截面侧视图。
图2是根据本发明的一些实施例图1的结构在进行图案化可选择性地界定的层之后的示意性横截面侧视图。
图3是根据本发明的实施例图2的结构在进行蚀刻穿透硬掩模层之后的示意性横截面侧视图。
图4是根据本发明的一些实施例图3的结构在进行移除可选择性地界定的层之后的示意性横截面侧视图。
图5是根据本发明的一些实施例图4的结构在加宽硬掩模层中的特征之间的间隔之后的示意性横截面侧视图。
图6是根据本发明的一些实施例图5的结构在沉积一层自组织材料的溶液之后的示意性横截面侧视图。
图7是根据本发明的一些实施例图6的结构在自组织材料的自组装之后的示意性横截面侧视图。
图8是根据本发明的一些实施例显示由自组织材料的自组装产生的材料区域布置的图7的结构的示意性俯视图。
图9是根据本发明的一些实施例图7的结构在进行选择性的移除自组织材料的一些区域以形成心轴之后的示意性横截面侧视图。
图10是根据本发明的一些实施例图9的结构在进行沉积一层间隔件材料之后的示意性横截面侧视图。
图11是根据本发明的一些实施例图10的结构在进行间隔件蚀刻之后的示意性横截面侧视图。
图12是根据本发明的一些实施例图11的结构在进行移除心轴以留下自立间隔件图案的示意性横截面侧视图。
图13是根据本发明的一些实施例图12的结构在进行转移由独立的间隔件形成的图案之后的示意性横截面侧视图。
图14是根据本发明的一些实施例图12的结构在于间隔件上方沉积平坦化层之后的示意性横截面侧视图。
图15是根据本发明的一些实施例图14的结构在进行图案化平坦化层之后的示意性横截面侧视图。
具体实施方式
可使用嵌段共聚物的自组织能力来形成掩模图案。嵌段共聚物由两种或两种以上化学上不同的嵌段形成。例如,每一嵌段均可由不同的单体形成。所述嵌段是不混溶或热力学不相容的,例如,一个嵌段可以是极性的,而另一嵌段可以是非极性的。由于热力学效应,共聚物将在溶液中自组织以使系统的能量整体地最小化,此通常导致共聚物相对于彼此而移动,因而(例如)相同的嵌段聚集在一起,借此形成含有每一嵌段类型或物质的交替区域。例如,如果共聚物是由极性及非极性嵌段形成,那么所述嵌段将分离,从而非极性嵌段与其它非极性嵌段聚集且极性嵌段与其它极性嵌段聚集。应了解,可将嵌段共聚物描述为自组织材料,因为嵌段可在不主动施加引导特定个别分子运动的外力的情况下移动以形成图案,但也可施加热以增大分子群组整体的移动速率。
除嵌段物质之间的相互作用之外,嵌段共聚物的自组织可受形貌特征影响,例如嵌段共聚物所沉积到的表面上的阶梯。例如,由两个不同嵌段物质形成的共聚物-二嵌段共聚物可形成交替的域或区域,其中的每一者均由大致不同的嵌段物质形成。当在阶梯的壁之间的区域中发生嵌段物质自组织时,阶梯可与嵌段相互作用,从而(例如)使由嵌段形成的交替区域中的每一者形成具有平行于壁定向的特征的有规律图案。另外,可通过用光刻技术修改表面而不是在表面上形成阶梯来导引嵌段共聚物的自组织,如以下书刊中所揭示:斯托卡可斯(Stoykovich)等人的《科学》308,1442(2005);科姆(Kim)等人的《自然》424,411(2003);及爱德华兹(Edwards)等人的《先进材料》16,1315(2004)。这些参考资料的每一者的全部揭示内容均以引用的方式并入本文中。
此自组织可用于形成用于在半导体制造过程期间图案化特征的掩模。例如,可移除交替域中的一者,借此留下形成另一区域的材料用作掩模。所述掩模可用于图案化例如下伏半导体衬底中的电装置的特征。形成共聚物掩模的方法揭示于以下申请案中:2006年3月23日提出申请、名称为形貌引导的图案化的,格泰吉桑达胡(GurtejSandhu)的第11/389,581号美国专利申请案(代理人档案号MICRON.342A(Micron Ref.No.:2005-0865))及2006年6月2日提出申请、名称为基于形貌的图案化的格泰吉桑达胡(Gurtej Sandhu)的第11/445,907号美国专利申请案(代理人档案号MICRON.349A(Micron Ref.No.:05-0865)),这两个申请案的每一者的全部揭示内容以引用的方式并入本文中。尽管自组织材料可用于形成相对小的掩模特征,但由于集成电路的持续小型化,需要掩模特征大小的进一步减小。
此外,嵌段共聚物的长度可以对由那些嵌段共聚物的嵌段形成的域的大小有固有限制。举例来说,共聚物可经选取而具有促进自组装成所需域图案的长度,而较短共聚物并不可根据需要来进行自组装。
本发明的实施例允许形成比那些可单独由嵌段聚合物形成的特征小的特征。在本发明的实施例中,允许组织由不同化学物质形成的自组织材料以形成由相同化学物质组成的域。选择性地移除那些域中的一些域以形成心轴或临时占位件。然后,使用由自组织材料形成的心轴执行间距倍增过程。从心轴获得具有小于所述心轴的间距的间距的特征。在一些实施例中,在心轴的侧壁上形成间隔件且选择性地移除心轴。间隔件或从间隔件获得的其它掩模特征用作掩模的一部分以在(例如制造集成电路期间)图案化下伏材料。
本发明的实施例可不借助使用较新的相对复杂且昂贵的平版印刷技术形成掩模特征且可减少光致抗蚀剂的坚固性的负担。举例来说,代替在掩模中使用相对软且专门结构的光致抗蚀剂,可使用间隔件或从间隔件获得的掩模特征作为掩模。使用间隔件允许选择各种材料用于间隔件,且可针对坚固性及与流程中所使用的下伏材料的相容性来选择材料。此外,由于不使用共聚物材料用作用于图案化下伏层的掩模,因此可不考虑(例如)其坚固性及供形成掩蔽层的适合性来选择共聚物材料。而是,可基于共聚物材料的自组织行为及供在心轴中使用的适合性来选择所述共聚物材料,借此增加过程的宽泛性。此外,材料(嵌段共聚物)的自组织行为允许可靠地形成极小的特征,借此促进形成具有极小特征大小的掩模。举例来说,可形成具有在以下范围中的临界尺寸的特征:约1nm到约100nm、约3nm到约50nm或约5nm到约30nm。
现在将参照图,其中各图中相同的编号指代相同的零件。应了解,所述图未必按比例绘制。
在根据本发明的一些实施例的方法的第一阶段中,由自组织材料(例如,嵌段共聚物)形成多个心轴。图1到8图解说明用于使用导引件或阶梯特征导引自组装来将自组织材料自组装成所需图案的方法。在其它实施例中,将了解,可通过此项技术中已知的任何方法来引导自组织材料的自组装。
参照图1,图中图解说明经部分形成的集成电路100的横截面侧视图。可在衬底110上提供掩蔽层120、130以形成用于共聚物自组装的导引件。基于对所述层与将要使用的嵌段共聚物材料的相互作用以及对本文中论述的各种图案形成及图案转移步骤的化学工艺及过程条件的考虑选取用于上覆衬底110的层120、130的材料。举例来说,由于将上部层中的图案转移到下部层,因此下部掩蔽层130经选取以使得其相对于其它经暴露材料中的至少一些材料可选择性地被蚀刻。将了解,当一种材料的蚀刻速率比周围材料的蚀刻速率至少大约2到3倍或至少大约10倍或至少大约20倍或至少大约50倍时,那么认为选择性地或优先地蚀刻所述材料。
应了解,图案所转移到的“衬底”可包含单个材料层、多个不同材料层、其中具有不同材料或不同结构的区域的层等。这些材料可包含半导体、绝缘体、导体或其组合。例如,所述衬底可包括经掺杂多晶硅、单晶电装置有源区域、硅化物或金属层,例如钨、铝或铜层或其组合。在一些实施例中,下文论述的掩模特征可直接对应于传导性特征(例如,互连件)在衬底中的期望布置。在其它实施例中,所述衬底可以是绝缘体,且掩模特征的位置可对应于传导性特征之间的绝缘体的期望位置,例如,在大马士革金属化(damascene metallization)中。掩模特征可用作硬掩模以直接蚀刻衬底,或可用于将图案转移到另一下伏层(例如,碳层(例如,透明碳层)),然后所述下伏层用于将所述图案转移到一个或一个以上下伏层(例如,衬底)。
继续参照图1,可选择性地界定的层120上覆硬掩模或蚀刻停止层130,所述掩模或蚀刻停止层又上覆衬底110。所述可选择性地界定的层120可以是光可界定的(例如,由光致抗蚀剂形成),包含此项技术中已知的任何光致抗蚀剂。举例来说,光致抗蚀剂可以是与极远端紫外系统(例如,13.4nm波长系统)、157nm、193nm、248nm或365nm波长系统或193nm波长浸没系统相容的任何光致抗蚀剂。光致抗蚀剂材料的实例包含对氟化氩(ArF)敏感的光致抗蚀剂(即适合与ArF光源一起使用的光致抗蚀剂),和对氟化氪(KrF)敏感的光致抗蚀剂(即适合与KrF光源一起使用的光致抗蚀剂)。ArF光致抗蚀剂与利用相对短波长光(例如,193nm)之光刻系统一起使用。KrF光致抗蚀剂与较长波长光刻系统(例如,248nm系统)一起使用。另外,虽然在本发明的实施例中使用自组织材料及间距倍增可规避用昂贵、相对新颖的直接形成技术(例如,极远端紫外系统(其中包含13.4nm波长系统),或电子束平版印刷系统)界定极小特征的需要,但如果需要也可使用此类系统。另外,无掩模平版印刷或无掩模光刻可用以界定可选择性地界定的层120。在其它实施例中,层120及任何后续抗蚀剂层可由可通过纳米压印平版印刷图案化的抗蚀剂形成,例如通过使用模型或机械力来在所述抗蚀剂中形成图案。
用于硬掩模层130的材料可包括不是聚合物的无机材料。实例性材料包含氧化硅(SiO2)、氮化硅、硅或介电抗反射涂层(DARC),例如富含硅的氧氮化硅。在所图解说明的实施例中,硬掩模层130包括氮化硅。硬掩模层130的材料经选择以与随后沉积的自组织材料相互作用以引导自组织材料自组装成所需图案。
参照图2,光可界定的层120暴露于透过光罩的辐射,且然后经显影以留下包括由光可界定材料形成的特征122的图案。将了解,所得特征122(例如,线)的间距等于线122的宽度与相邻间隔124的宽度的和。在一些实施例中,特征122的间距可以是(例如)约400nm或更小、约300nm或更小、约200nm或更小或约100或更小。在实例性实施例中,特征122可具有约140nm的临界尺寸及约280nm的间距。
参照图3,将光可界定层120中的图案转移到硬掩模层130,借此在硬掩模层130中形成硬掩模特征132。所述图案转移可使用各向异性蚀刻来实现,例如使用碳氟化合物等离子体的蚀刻,但在硬掩模层130足够薄的情况下,湿法(各向同性)蚀刻也可以是适合的。实例性碳氟化合物等离子体化学蚀刻工艺包含CFH3、CF2H2、CF3H及CF4/HBr。参照图4,也可移除形成光可界定层120的抗蚀剂,例如,通过等离子体灰化。
参照图5,修整硬掩模特征132(图4)以形成用于共聚物自组装的导引件134。可使用湿法蚀刻或干法蚀刻来修整硬掩模特征132,所述蚀刻相对于其它暴露材料选择性地蚀刻硬掩模材料。可使用(例如)SO2/O2/Ar等离子体来执行修整蚀刻。所述修整允许形成具有比可使用常规光刻容易地形成的特征小的临界尺寸的特征。举例来说,可修整具有约140nm的临界尺寸及约280nm的间距的硬掩模特征132以形成具有约35nm的临界尺寸及约280nm的相同间距的共聚物自组装导引件134。在其它实施例中,除了或代替硬掩模特征132,可修整光致抗蚀剂特征122(图2),借此允许在不需要修整硬掩模特征132的情形下形成具有所需大小的导引件134。
接下来,在衬底110上施加自组织材料(例如,嵌段共聚物)且使其自组装以在其上形成掩模图案。形成自组织的嵌段共聚物图案的方法揭示于以下文献中:2004年9月第三期的嵌段,IEE纳米技术学报,第3卷(Block,IEE Transactions inNanotechnology,Vol.3,No.3,September 2004)及第11/389,581及11/445,907号美国专利申请案,其中的每一者的全部揭示内容以引用的方式并入本文中。
参照图6,在导引件134之间及其上方沉积嵌段共聚物材料膜160。共聚物包括聚合物材料嵌段,其可以是相对于彼此选择性地被蚀刻且其可以所需及可预测的方式自组织,例如嵌段不混溶且将在适当条件下分离以形成主要含有单一嵌段物质的域。在所图解说明的实例性实施例中,共聚物是二嵌段共聚物,其包括(例如)聚苯乙烯(PS)及聚甲基丙烯酸甲酯(PMMA)。所述二嵌段共聚物可被溶解在溶剂(例如,甲苯)中来提供。应了解,每一二嵌段共聚物的总大小及组成嵌段与单体的比可经选取以促进自组织且形成具有所需尺寸的经组织嵌段域。举例来说,应了解,嵌段共聚物具有固有的聚合物长度标度,即膜中共聚物的平均端到端长度,其中包含任何卷绕或纽结,所述长度控制嵌段域的大小。可使用具有较长共聚物的共聚物溶液形成较大的域且可使用具有较短的共聚物的共聚物溶液形成较小的域。在一些实施例中,共聚物经选择以提供在间隔件175之间提供一致间隔的心轴(图12)。在其它实施例中,嵌段共聚物经选择以促进自组装成所需图案,(例如)通过湿法蚀刻或干法蚀刻修整心轴以在心轴之间提供所需间隔。可通过各种方法来沉积嵌段共聚物,包含(例如)旋转涂布、旋转浇铸、刷涂或气相沉积。
可基于将由共聚物形成的所需图案来选取共聚物膜160的厚度。应了解,当达到与聚合物长度标度及其中设置聚合物的环境相关的特定厚度(例如,导引件134之间的距离及导引件134的高度)时,共聚物将通常定向以形成交替的大致薄片状的域,所述域形成平行线,在俯视图(图8)中可观察到。此类薄片可用于图案化(例如)互连件,或者可限制所述薄片的衡向延伸以形成隔离的特征,例如晶体管栅极。在一些条件下,当达到与聚合物长度标度及其中设置聚合物的环境相关的膜160厚度时,共聚物可定向以形成垂直延伸的柱(例如,圆柱体)或球体。
为形成薄片,共聚物膜厚度可约小于形成所述膜的共聚物的长度标度。举例来说,在共聚物长度标度是约35nm的情形下,膜厚度约为35nm或更小、约30nm或更小或约25nm或更小。
应了解,膜160厚度可以大于、等于或小于导引件134的高度。如下文进一步所图解说明及论述,可使用大于导引件134的高度的厚度来提供共聚物蓄存器。在其它实施例中,可使用等于或小于导引件134的高度的厚度来在导引件134之间形成隔离的共聚物岛,借此防止共聚物在所述岛之间交叉扩散。
虽然本发明不受理论限制,但应了解,出于在类似于材料的相分离的过程中的热力学考虑,应理解不同的嵌段物质可自聚集。自组织是由导引件134来导引,此因界面相互作用而促使嵌段共聚物的构成嵌段沿导引件134的长度自我定向。应了解,自组织可导致共聚物物质的更有效堆积。因此,在一些情况下,如果共聚物膜160延伸过大的范围时,可用于自组织的共聚物可被耗尽,从而导致所述范围中间的区域经形成而没有经组织的共聚物或具有较差组织的共聚物。因此,在一些实施例中,共聚物膜160应足够厚以延伸到高于导引件134从而提供用于发生于导引件134之间的自组织的共聚物蓄存器。另外,导引件134之间的距离可经选取以足够小从而最小化可发生在大范围中的耗尽效应。
参照图7,允许共聚物膜160中的嵌段共聚物自组织。可通过对已部分制成的集成电路100进行退火来促进及加速自组织。所述退火的温度可经选取以足够低从而防止对嵌段共聚物或已部分制成的集成电路100产生负面影响。在一些实施例中,可以小于约250℃、小于约200℃或约180℃的温度执行退火。退火还可用于导致共聚物的交联,借此稳定共聚物以用于随后蚀刻及图案转移步骤。
图7显示退火之后所得的薄片的图案。一种嵌段物质(例如,PS)的域162及另一嵌段物质(例如,PMMA)的域164在导引件134之间交替。应了解,嵌段域的大小是由形成其的嵌段物质的大小所决定。
参照图8,图中显示图7的已部分制成的集成电路的俯视图。可看到PS域162与PMMA域164交替。域162及164两者沿导引件134的长度延伸。
参照图9,选择性地移除域164,从而留下域162及导引件134(未显示),所述域162可用作间距倍增的心轴。应了解,可在单个步骤中使用单个化学蚀刻工艺或可使用具有不同化学蚀刻工艺的多次蚀刻移除域164。举例来说,在域164是由PMMA形成且域162是由PS形成的情形下,域164可通过(例如)使用醋酸作为蚀刻剂执行选择性湿法蚀刻来移除。在其它实施例中,当所述域中的一者可以比另一者快的速率被蚀刻时,干法蚀刻或各向异性蚀刻可是适当的。应了解,所得特征的尺寸可不同,这取决于所使用的共聚物的大小及过程条件。在一些实施例中,所得图案可包括具有约50nm到约2nm、约35nm或更小到约3nm的临界尺寸的PS域,其中间距为约100nm到约4nm或约70nm到约6nm。应了解,在其它实施例中,可替代地移除域162及/或导引件134,借此留下域164,可具有或不具有导引件134。
接下来,参照图10,将间隔件材料层170保形地覆盖沉积在所暴露的表面上方,包含衬底110。所述间隔件材料可以是可充当用于将图案转移到下伏材料的掩模的任何材料。所述间隔件材料:1)可经沉积具有良好阶梯覆盖;2)可以与心轴162相容的温度沉积;及3)可相对于心轴162及导引件134(如果存在)以及下伏衬底110选择性地被蚀刻。无限制地,所述间隔件材料可以是无机材料(例如,含硅材料)或有机材料(例如,聚合物)。无限制地,所述含硅间隔件材料可以是硅、氧化硅及氮化硅。在所图解说明的实施例中,所述间隔件材料是氧化硅。
用于间隔件材料沉积的方法包含原子层沉积,例如使用具有硅前驱物的自限制沉积且随后暴露于氧或氮前驱物以分别形成氧化物及氮化物。可在相对低的温度下(例如,在约200℃下或在约100℃下)执行ALD,从而可放置对下伏热敏感材料造成热损害。举例来说,可使用ALD来预防对形成嵌段域162的聚合物材料的损害。在其它实施例中,使用化学气相沉积来沉积间隔件材料。
层170的厚度是基于间隔件175的所需宽度(图12)来确定。举例来说,在一些实施例中,将层170沉积到约10到80nm或约20到50nm的厚度以形成大致类似宽度的间隔件。阶梯覆盖约为80%或更大及/或约为90%或更大。
参照图11,氧化硅间隔件层170然后经受各向异性蚀刻以从经部分形成的集成电路100的水平表面180移除间隔件材料。此类蚀刻(也称作间隔件蚀刻)可使用碳氟化合物等离子体来执行,例如含等离子体的CF4、CHF3及/或NF3
参照图12,移除嵌段域162及任何剩余导引件134以留下独立的间隔件175。可使用相对于间隔件175选择性地移除嵌段域162及导引件134的湿法蚀刻或干法蚀刻来移除那些特征。所述蚀刻还可相对于下伏衬底110选择性地移除嵌段域162及导引件134。取决于形成嵌段域162及导引件134的材料,可应用相同或不同的化学蚀刻工艺。无限制地,化学蚀刻工艺包含用以移除PS嵌段域162的基于O2的碳剥离蚀刻及用以移除氮化硅导引件134的基域磷酸的湿法蚀刻。
因此,形成间距倍增掩模特征。在所图解说明的实施例中,间隔件175的间距大致为由嵌段共聚物形成的嵌段域162(图9)的间距的一半。举例来说,在嵌段域162具有约200nm的间距的情形下,可形成具有约100nm或更小的间距的间隔件175且在嵌段域162具有约60nm或更小的间距的情形下,可形成具有约30nm或更小的间距的间隔件175。应了解,因为间隔件175形成在嵌段域162的侧壁上,所以间隔件175通常跟随嵌段域162的轮廓且因此,通常形成闭环。间隔件175形成第一图案177。应了解,在一些实施例中,可通过使用间隔件175作为用以形成其它间隔件或掩模特征的心轴来实现较高数量级的间距倍增。
参照图13,将图案177转移到衬底110。可使用适于相对于间隔件175选择性地蚀刻衬底110的一种或多种材料的化学蚀刻工艺来实现图案转移。所属领域的技术人员可易于确定用于衬底材料的适合化学蚀刻工艺。应了解,在衬底110包括不同材料的层的情形下,如果单个化学工艺不足以蚀刻所有所述不同材料,那么可使用一系列不同的化学工艺(例如,干法蚀刻化学工艺)来连续地蚀刻穿透这些不同层。还应了解,取决于所使用的一个或多个化学工艺,可蚀刻间隔件175。在所图解说明的实施例中,间隔件175足够高以在不完全磨损衬底110的前提下完成对其的蚀刻。
参照图14,剥离上覆衬底110的掩模(包含域162及导引件134),从而留下经图案化的衬底110。在图案转移之后,已部分制成的集成电路100经受后续处理步骤(包含形成辅助电装置及电互连件)以形成完成的集成电路,例如,存储器芯片。
将了解,可对所图解说明的实施例做出各种修改。例如,虽然为便于图解说明及论述已在二嵌段共聚物的背景下作出论述,但共聚物可由两种或两种以上嵌段物质形成。此外,虽然所图解说明的实施例的嵌段物质各自由不同单体形成,但嵌段物质可共享单体。例如,嵌段物质可由不同组单体形成,其中的某一些是相同的,或者可由相同单体形成,但在每一嵌段中呈不同的分布。所述不同组单体形成具有不同特性的嵌段,从而可促进共聚物的自组装。
在一些实施例中,可在嵌段域162及164和导引件134的上方沉积自组织材料的补充层180以垂直延伸由那些嵌段域及导引件134界定的图案。形成补充层180的自组织材料可以是共聚物,例如嵌段共聚物。形成补充层180的材料经选取以与嵌段域162及164和导引件134相互作用以使得域162、164和导引件134能够引导自组织材料的组织。举例来说,在嵌段域162及164和导引件134包含极性及非极性嵌段物质时,层180也可具有极性及非极性嵌段物质。在一些实施例中,形成层180的补充嵌段共聚物与膜160的嵌段共聚物相同。用于垂直延伸由自组织材料形成的图案的方法揭示于2006年6月2日提出申请的、名称为基于形貌的图案化的格泰吉桑达胡(Gurtej Sandhu)的第11/445,907号美国专利申请案(代理人档案号MICRON.349A)中,所述申请案的全部揭示内容以引用的方式并入本文中。
应了解,在将掩模图案177转移到衬底110之前或之后,可用额外的掩模图案上覆掩模图案177(图11)。举例来说,可在间隔件175之间及其上方沉积平坦化材料且可图案化所述平坦化材料以形成额外的图案。可将与掩模图案177形成组合图案的额外图案转移到下伏衬底110。参照图15,平坦化材料可以是光致抗蚀剂,例如负性或正性光致抗蚀剂。参照图16,可通过曝光到辐射来图案化所述光致抗蚀剂以形成额外的图案。在掩模图案177的特征间隔极接近(例如,具有约40nm或更小的间距)的实施例中,可使用负性光致抗蚀剂。已发现,在具有这样小的间距的间隔件之间可难于移除正性光致抗蚀剂,是因为难于使光致抗蚀剂完全暴露于光造成。另一方面,增加光强度可不期望地致使光致抗蚀剂聚合,是由于一些透明间隔件材料(例如,氧化硅)引起的光放大造成。负性光致抗蚀剂不需要暴露于光而进行移除,借此促进移除间隔件之间的光致抗蚀剂及与掩模图案177形成对齐的图案。
在一些实施例中,可省略上覆衬底的硬掩模层130。举例来说,光可界定材料可以由与用于共聚物自组织的温度及其它条件相容的材料形成或替代,且/或可将间隔件175用作蚀刻衬底110的掩模(其中,可使用对衬底110具有足够选择性的蚀刻剂)。
在一些其它实施例中,可在衬底110上方提供额外的掩蔽层级。举例来说,可在间隔件175与衬底110之间提供额外的硬掩模层。可在将图案177转移到衬底110之前将其转移到一个或一个以上额外的硬掩模层。所述额外的硬掩模层可经选择以提供对用于蚀刻衬底的蚀刻剂较高的抵抗性。举例来说,可在将图案177转移到衬底110之前将其转移到无定形碳层。已发现无定形碳适合作为用于蚀刻各种含硅材料的硬掩模。
另外,虽然通过一个掩模层的“处理”可囊括蚀刻下伏层,但通过多个掩模层的处理可涉及使下伏在掩模层下的层经受任何半导体制造工艺。举例来说,处理可涉及经由掩模层而进入到下伏层上的离子植入、扩散掺杂、沉积、氧化(特别是在聚合物掩模下使用硬掩模的情况下)、氮化等。另外,掩模层可用作化学机械抛光(CMP)的停止层或阻挡层,或者可对所述层中的任一者执行CMP以允许对下伏层进行平坦化及蚀刻两者,如在2005年8月31日提出申请的美国专利申请案第11/216,477号中所论述,所述专利申请案的全部揭示内容以引用的方式并入本文中。
另外,尽管所图解说明的实施例可应用于制造集成电路,但本发明的实施例可应用于其中需要形成具有极小特征的图案的各种其它应用中。举例来说,本发明实施例可用于形成光栅、磁盘驱动器、存储媒体或用于其它平版印刷技术的模板或掩模,包含X射线或压印平版印刷。举例来说,可通过图案化以下衬底来形成相移光罩,所述衬底具有一膜堆叠,所述膜堆叠具有相移材料涂层。
因此,从本文中的说明应了解,本发明包含各种实施例。举例来说,根据本发明的一些实施例,提供图案化半导体衬底的方法。所述方法包括提供包括嵌段共聚物的层。选择性地移除嵌段共聚物中的一个嵌段以留下横向分开的心轴所述心轴包括所述嵌段共聚物中的另一个嵌段。将间隔件材料覆盖沉积在心轴上。蚀刻间隔件材料以在心轴的侧壁上形成间隔件。将由间隔件界定的图案转移到衬底。
根据本发明的其它实施例,提供形成用于图案化衬底的掩模的方法。所述方法包括提供自组织材料层。自组织材料的相同化学物质聚集以形成具有由化学物质界定的域的重复图案。优先地移除化学物质中的一者以形成间隔开的心轴。在心轴的侧壁上形成间隔件。
根据本发明的又一实施例,提供制造集成电路的方法。所述方法包括将嵌段共聚物层暴露于湿法蚀刻剂以在嵌段共聚物层中界定独立的有规律间隔的间隔开占位件。在占位件的侧壁上形成间隔件。将从间隔件获得的图案转移到下伏衬底。
除以上揭示内容外,所属领域的技术人员还将了解,可在不背离本发明的范围的前提下对上文所描述的方法及结构作出各种省略、添加及修改。打算将所有此类修改及改变均归属在以上权利要求书所界定的本发明的范围内。

Claims (35)

1、一种图案化半导体衬底的方法,其包括:
提供包括嵌段共聚物的层;
选择性地移除所述嵌段共聚物中的一个嵌段以留下包括所述嵌段共聚物的另一嵌段的横向分开的心轴;
在所述心轴上覆盖沉积间隔件材料;
蚀刻所述间隔件材料以在所述心轴的侧壁上形成间隔件;及
将由所述间隔件界定的图案转移到所述衬底。
2、如权利要求1所述的方法,其中提供由嵌段共聚物形成的所述层包括:
在所述衬底上方沉积嵌段共聚物溶液;及
分离构成所述嵌段共聚物的嵌段以在所述衬底上方形成由所述共聚物的嵌段界定的重复图案。
3、如权利要求2所述的方法,其中提供由嵌段共聚物形成的所述层进一步包括在所述衬底上方提供横向间隔开的共聚物自组装导引件,其中沉积所述嵌段共聚物溶液包括在所述共聚物分离导引件之间沉积所述嵌段共聚物溶液。
4、如权利要求3所述的方法,其中所述导引件是由吸引或排斥形成所述嵌段共聚物的嵌段的材料形成。
5、如权利要求3所述的方法,其中所述导引件是由选自由以下构成的群组的材料形成:氧化硅、硅及介电抗反射涂层。
6、如权利要求1所述的方法,其进一步包括在将由所述间隔件界定的所述图案转移到所述衬底之前移除所述心轴。
7、如权利要求6所述的方法,其进一步包括在将由所述间隔件界定的所述图案转移到所述衬底之前将由所述间隔件界定的所述图案转移到下伏硬掩模层。
8、如权利要求7所述的方法,其中所述硬掩模层包括无定形碳。
9、如权利要求1所述的方法,其进一步包括:
在所述间隔件上方沉积可选择性地界定的材料;及
在所述可选择性地界定的材料中界定另一图案,
其中将由所述间隔件界定的所述图案转移到所述衬底包括将由所述图案及所述另一图案形成的组合图案转移到所述衬底。
10、如权利要求9所述的方法,其中所述可选择性地界定的层是沉积在所述间隔件上及其之间。
11、如权利要求10所述的方法,其中所述可选择性地界定的层是光致抗蚀剂。
12、如权利要求11所述的方法,其中所述光致抗蚀剂是负性光致抗蚀剂。
13、如权利要求9所述的方法,其进一步包括在将由所述图案及所述另一图案形成的所述组合图案转移到所述衬底之前将所述组合图案转移到下伏硬掩模层。
14、如权利要求9所述的方法,其中将所述组合图案转移到所述衬底是将由所述间隔件界定的所述图案转移到已部分制成的集成电路的阵列区域及将所述另一图案转移到所述已部分制成的集成电路的外围区域。
15、如权利要求1所述的方法,其中将由所述间隔件界定的所述图案转移到所述衬底在所述衬底中界定互连件。
16、一种形成用于图案化衬底的掩模的方法,其包括:
提供自组织材料层;
聚集所述自组织材料的相同化学物质以形成具有由所述化学物质界定的域的重复图案;
优先地移除所述化学物质中的一者以形成间隔开的心轴;及
在所述心轴的侧壁上形成间隔件。
17、如权利要求16所述的方法,其中所述自组织材料包括嵌段共聚物。
18、如权利要求17所述的方法,其中所述嵌段共聚物是二嵌段共聚物。
19、如权利要求18所述的方法,其中所述二嵌段共聚物包括聚苯乙烯。
20、如权利要求19所述的方法,其中所述二嵌段共聚物进一步包括聚甲基丙烯酸甲酯。
21、如权利要求16所述的方法,其进一步包括延伸由所述化学物质界定的所述重复图案的高度。
22、如权利要求21所述的方法,其中延伸由所述化学物质界定的所述重复图案的所述高度包括:
在由所述化学物质界定的所述重复图案上方沉积额外的自组织材料;及
使所述额外自组织材料的相同化学部分与由所述化学物质界定的所述域对准。
23、如权利要求22所述的方法,其中所述自组织材料及所述额外自组织材料为嵌段共聚物。
24、如权利要求23所述的方法,其中所述自组织材料及所述额外自组织材料为相同的嵌段共聚物。
25、如权利要求22所述的方法,其中优先地移除所述化学物质中的一者包括蚀刻所述额外自组织材料的相同化学部分及蚀刻所述化学物质中的一者。
26、一种制造集成电路的方法,所述方法包括:
使嵌段共聚物层暴露于湿法蚀刻剂以在所述嵌段共聚物层中界定独立的有规律间隔的间隔开的占位件;
在所述占位件的侧壁上形成间隔件;及
将从所述间隔件获得的图案转移到下伏衬底。
27、如权利要求26所述的方法,其中所述湿法蚀刻剂包括醋酸。
28、如权利要求27所述的方法,其中所述嵌段共聚物包括聚苯乙烯嵌段及聚甲基丙烯酸甲酯嵌段。
29、如权利要求26所述的方法,其中所述占位件具有约100nm或更小的间距。
30、如权利要求29所述的方法,其中所述占位件具有约50nm或更小的间距。
31、如权利要求26所述的方法,其中所述独立的间隔开的占位件包括垂直薄片。
32、如权利要求26所述的方法,其中所述独立的间隔开的占位件包括垂直延伸的隔离柱。
33、如权利要求26所述的方法,其中所述转移所述图案包括在已部分制成的集成电路的阵列区域中界定有规律间隔的特征。
34、如权利要求26所述的方法,其中形成间隔件包括:
在所述占位件上方覆盖沉积间隔件材料层;及
各向异性地蚀刻所述间隔件材料层。
35、如权利要求26所述的方法,其进一步包括在使所述嵌段共聚物层暴露于所述湿法蚀刻剂之前:
在所述衬底上方沉积嵌段共聚物溶液;及
对所述嵌段共聚物溶液进行退火以致使所述嵌段共聚物自组装成有规律间隔的嵌段域。
CN2008800187311A 2007-06-04 2008-05-16 使用自组装材料的间距倍增 Active CN101681812B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/757,846 US7923373B2 (en) 2007-06-04 2007-06-04 Pitch multiplication using self-assembling materials
US11/757,846 2007-06-04
PCT/US2008/063943 WO2008150673A1 (en) 2007-06-04 2008-05-16 Pitch multiplication using self-assembling materials

Publications (2)

Publication Number Publication Date
CN101681812A true CN101681812A (zh) 2010-03-24
CN101681812B CN101681812B (zh) 2013-03-13

Family

ID=39689121

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800187311A Active CN101681812B (zh) 2007-06-04 2008-05-16 使用自组装材料的间距倍增

Country Status (7)

Country Link
US (2) US7923373B2 (zh)
EP (1) EP2165355B1 (zh)
JP (1) JP5110409B2 (zh)
KR (1) KR101547706B1 (zh)
CN (1) CN101681812B (zh)
TW (1) TWI360161B (zh)
WO (1) WO2008150673A1 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103425813A (zh) * 2012-05-16 2013-12-04 国际商业机器公司 用于设计在衬底上的层中的导向图形开口的方法
CN103560109A (zh) * 2013-11-13 2014-02-05 宁波市鄞州科启动漫工业技术有限公司 多接触孔的形成方法
CN104733322A (zh) * 2013-12-23 2015-06-24 国际商业机器公司 用于制造多栅器件的鳍的方法和用于制造鳍的芯结构
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
CN105705997A (zh) * 2013-11-08 2016-06-22 Asml荷兰有限公司 生成用于定向自组装的引导模板的方法
US9613801B2 (en) 2013-03-14 2017-04-04 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
CN107251220A (zh) * 2015-02-10 2017-10-13 高通股份有限公司 包括多个过孔连接器和具有梯形状的金属结构的集成电路器件
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10490402B2 (en) 2013-09-04 2019-11-26 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US8575021B2 (en) * 2004-11-22 2013-11-05 Intermolecular, Inc. Substrate processing including a masking layer
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
WO2008157068A2 (en) * 2007-06-15 2008-12-24 Applied Materials, Inc. Oxygen sacvd to form sacrificial oxide liners in substrate gaps
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP2010118501A (ja) * 2008-11-13 2010-05-27 Toshiba Corp 半導体装置の製造方法
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
JP5238556B2 (ja) * 2009-03-10 2013-07-17 東京エレクトロン株式会社 基板処理方法
JP2011243655A (ja) * 2010-05-14 2011-12-01 Hitachi Ltd 高分子薄膜、パターン媒体、及びこれらの製造方法、並びに表面改質材料
US8815747B2 (en) * 2010-06-03 2014-08-26 Micron Technology, Inc. Methods of forming patterns on substrates
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
FR2975823B1 (fr) * 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US9177910B2 (en) 2012-04-18 2015-11-03 Micron Technology, Inc. Interconnect structures for integrated circuits and their formation
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5816133B2 (ja) 2012-06-04 2015-11-18 株式会社東芝 パターン形成方法、原版及びデータ処理方法
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
JP6019966B2 (ja) * 2012-09-10 2016-11-02 大日本印刷株式会社 パターン形成方法
JP6088803B2 (ja) * 2012-11-16 2017-03-01 株式会社日立ハイテクノロジーズ 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム
US8518836B1 (en) * 2012-11-19 2013-08-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor patterning
US8828885B2 (en) * 2013-01-04 2014-09-09 Taiwan Semiconductor Manufacturing Company Limited Photo resist trimmed line end space
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
JP6127517B2 (ja) * 2013-01-08 2017-05-17 大日本印刷株式会社 インプリントモールドの製造方法
JP6136271B2 (ja) * 2013-01-08 2017-05-31 大日本印刷株式会社 インプリントモールドの製造方法
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
JP5802233B2 (ja) 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
JP6029522B2 (ja) * 2013-04-16 2016-11-24 東京エレクトロン株式会社 パターンを形成する方法
KR20140137734A (ko) * 2013-05-23 2014-12-03 삼성디스플레이 주식회사 반사형 편광판 제조방법 및 인셀 반사형 편광판 제조방법
KR102127784B1 (ko) 2013-06-12 2020-06-29 삼성전자주식회사 패턴 형성 방법
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
US9330914B2 (en) 2013-10-08 2016-05-03 Micron Technology, Inc. Methods of forming line patterns in substrates
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
KR101674972B1 (ko) * 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
KR20150101875A (ko) 2014-02-27 2015-09-04 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US10096696B2 (en) * 2014-06-03 2018-10-09 Micron Technology, Inc. Field effect transistors having a fin
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
JP6173989B2 (ja) 2014-08-29 2017-08-02 東芝メモリ株式会社 パターン形成方法
KR102302704B1 (ko) 2014-09-02 2021-09-15 삼성전자주식회사 마스크용 패턴 구조물, 이를 이용한 홀 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9443716B2 (en) * 2014-10-08 2016-09-13 Applied Materials, Inc. Precise critical dimension control using bilayer ALD
KR101932799B1 (ko) 2015-02-17 2018-12-26 주식회사 엘지화학 블록 공중합체 자기 조립 패턴의 습식 식각 방법
US9738765B2 (en) 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
JP6346115B2 (ja) * 2015-03-24 2018-06-20 東芝メモリ株式会社 パターン形成方法
KR102306669B1 (ko) 2015-05-12 2021-09-29 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
KR102346515B1 (ko) * 2015-05-19 2022-01-04 삼성전자주식회사 패턴 구조물의 형성 방법
TWI627704B (zh) * 2015-09-03 2018-06-21 東京威力科創股份有限公司 間隔件輪廓之修整方法
JP6346132B2 (ja) * 2015-09-11 2018-06-20 株式会社東芝 パターン形成方法
CN108352304B (zh) * 2015-09-24 2022-03-08 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
KR102603019B1 (ko) 2015-11-20 2023-11-15 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US9684236B1 (en) * 2016-03-17 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a film layer
US9947548B2 (en) * 2016-08-09 2018-04-17 International Business Machines Corporation Self-aligned single dummy fin cut with tight pitch
JP6183519B2 (ja) * 2016-08-26 2017-08-23 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
KR102230086B1 (ko) * 2016-11-16 2021-03-18 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝 방법
WO2020014352A1 (en) * 2018-07-11 2020-01-16 Tokyo Electron Limited Methods to reduce gouging for core removal processes using thermal decomposition materials
US11581264B2 (en) * 2019-08-21 2023-02-14 Micron Technology, Inc. Electronic devices comprising overlay marks, memory devices comprising overlay marks, and related methods

Family Cites Families (1391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2006A (en) * 1841-03-16 Clamp for crimping leather
BE755502A (fr) 1969-09-09 1971-03-01 Basf Ag Bismonocarboxylates du formyl-3-butanediol-1,2 et procede pour leur preparation
US3731287A (en) 1971-07-02 1973-05-01 Gen Instrument Corp Single device memory system having shift register output characteristics
US3941629A (en) 1974-04-11 1976-03-02 General Motors Corporation Diaphragm formation on silicon substrate
JPS51147280A (en) 1975-06-13 1976-12-17 Hitachi Ltd Semiconductor device
GB1527894A (en) 1975-10-15 1978-10-11 Mullard Ltd Methods of manufacturing electronic devices
JPS53148389A (en) 1977-05-31 1978-12-23 Fujitsu Ltd Manufacture for semiconductor device
US4139442A (en) 1977-09-13 1979-02-13 International Business Machines Corporation Reactive ion etching method for producing deep dielectric isolation in silicon
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
JPS55148464A (en) 1979-05-08 1980-11-19 Chiyou Lsi Gijutsu Kenkyu Kumiai Mos semiconductor device and its manufacture
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
JPS5748237A (en) 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
US4333964A (en) 1980-09-15 1982-06-08 General Electric Company Method of making integrated circuits
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4517729A (en) 1981-07-27 1985-05-21 American Microsystems, Incorporated Method for fabricating MOS device with self-aligned contacts
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4422885A (en) 1981-12-18 1983-12-27 Ncr Corporation Polysilicon-doped-first CMOS process
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
JPS58220464A (ja) 1982-06-17 1983-12-22 Fujitsu Ltd 半導体記憶装置
US4554570A (en) 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4508757A (en) 1982-12-20 1985-04-02 International Business Machines Corporation Method of manufacturing a minimum bird's beak recessed oxide isolation structure
JPS59208783A (ja) 1983-05-12 1984-11-27 Seiko Instr & Electronics Ltd 薄膜トランジスタ
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
US4472459A (en) 1983-10-24 1984-09-18 Rca Corporation Local oxidation of silicon substrate using LPCVD silicon nitride
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4569032A (en) 1983-12-23 1986-02-04 At&T Bell Laboratories Dynamic CMOS logic circuits for implementing multiple AND-functions
JPS60167349A (ja) 1984-02-09 1985-08-30 Nec Corp 半導体集積回路装置
US4549927A (en) 1984-06-29 1985-10-29 International Business Machines Corporation Method of selectively exposing the sidewalls of a trench and its use to the forming of a metal silicide substrate contact for dielectric filled deep trench isolated devices
KR940005451B1 (ko) 1984-11-27 1994-06-18 아메리칸 텔리폰 앤드 텔레그라프 캄파니 Mos 트렌치 트랜지스터 장치 및 그 제조 방법
US4551910A (en) 1984-11-27 1985-11-12 Intel Corporation MOS Isolation processing
US4615762A (en) 1985-04-30 1986-10-07 Rca Corporation Method for thinning silicon
US4984039A (en) 1985-05-03 1991-01-08 Texas Instruments Incorporated Tapered trench structure and process
JPS6245058A (ja) 1985-08-22 1987-02-27 Nec Corp 半導体装置およびその製造方法
US4675984A (en) 1985-09-19 1987-06-30 Rca Corporation Method of exposing only the top surface of a mesa
US4630356A (en) 1985-09-19 1986-12-23 International Business Machines Corporation Method of forming recessed oxide isolation with reduced steepness of the birds' neck
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US4789560A (en) 1986-01-08 1988-12-06 Advanced Micro Devices, Inc. Diffusion stop method for forming silicon oxide during the fabrication of IC devices
EP0238690B1 (en) 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
GB2190789B (en) 1986-04-17 1990-05-09 Plessey Co Plc System for optically coupling components of integrated circuits
US4722910A (en) 1986-05-27 1988-02-02 Analog Devices, Inc. Partially self-aligned metal contact process
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US4829018A (en) 1986-06-27 1989-05-09 Wahlstrom Sven E Multilevel integrated circuits employing fused oxide layers
US4746630A (en) 1986-09-17 1988-05-24 Hewlett-Packard Company Method for producing recessed field oxide with improved sidewall characteristics
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4983544A (en) 1986-10-20 1991-01-08 International Business Machines Corporation Silicide bridge contact process
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
US4757026A (en) 1986-11-04 1988-07-12 Intel Corporation Source drain doping technique
US4849371A (en) 1986-12-22 1989-07-18 Motorola Inc. Monocrystalline semiconductor buried layers for electrical contacts to semiconductor devices
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
US5149669A (en) 1987-03-06 1992-09-22 Seiko Instruments Inc. Method of forming an isolation region in a semiconductor device
JPS63260166A (ja) 1987-04-17 1988-10-27 Oki Electric Ind Co Ltd 半導体メモリ装置及びその製造方法
JPS6413290A (en) 1987-07-07 1989-01-18 Oki Electric Ind Co Ltd Semiconductor memory
JPS6428876A (en) 1987-07-23 1989-01-31 Matsushita Electric Ind Co Ltd Manufacture of superconducting 3-terminal element
JPS6435916A (en) 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
JPS6442132A (en) * 1987-08-10 1989-02-14 Hitachi Ltd Formation of pattern
JPS6467945A (en) 1987-09-08 1989-03-14 Mitsubishi Electric Corp Wiring layer formed on buried dielectric and manufacture thereof
JPH01100948A (ja) 1987-10-14 1989-04-19 Fujitsu Ltd 半導体装置の製造方法
JPH0626202B2 (ja) 1987-10-30 1994-04-06 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン パターン付け方法
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
JPH01119028A (ja) 1987-10-30 1989-05-11 Nec Corp 半導体装置の製造方法
JPH01125858A (ja) 1987-11-10 1989-05-18 Fujitsu Ltd 半導体装置およびその製造方法
US4818714A (en) 1987-12-02 1989-04-04 Advanced Micro Devices, Inc. Method of making a high performance MOS device having LDD regions with graded junctions
FR2625044B1 (fr) 1987-12-18 1990-08-31 Commissariat Energie Atomique Transistor mos a extremite d'interface dielectrique de grille/substrat relevee et procede de fabrication de ce transistor
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
DE3902701A1 (de) 1988-01-30 1989-08-10 Toshiba Kawasaki Kk Verfahren zur herstellung einer halbleiteranordnung
US4992838A (en) 1988-02-29 1991-02-12 Texas Instruments Incorporated Vertical MOS transistor with threshold voltage adjustment
JPH01227468A (ja) 1988-03-08 1989-09-11 Oki Electric Ind Co Ltd 半導体記憶装置
US5252504A (en) 1988-05-02 1993-10-12 Micron Technology, Inc. Reverse polysilicon CMOS fabrication
US4871688A (en) 1988-05-02 1989-10-03 Micron Technology, Inc. Sequence of etching polysilicon in semiconductor memory devices
US5103276A (en) 1988-06-01 1992-04-07 Texas Instruments Incorporated High performance composed pillar dram cell
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
FR2633101B1 (fr) 1988-06-16 1992-02-07 Commissariat Energie Atomique Photodiode et matrice de photodiodes sur hgcdte et leurs procedes de fabrication
US5015595A (en) 1988-09-09 1991-05-14 Advanced Micro Devices, Inc. Method of making a high performance MOS device having both P- and N-LDD regions using single photoresist mask
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
JP2734027B2 (ja) 1988-11-25 1998-03-30 ソニー株式会社 配線形成方法
JPH02219253A (ja) 1989-02-20 1990-08-31 Sumitomo Metal Ind Ltd 半導体集積回路装置の製造方法
US4959325A (en) 1989-02-24 1990-09-25 Micron Technology, Inc. Reduction of electric field effect in the bird's beak region of a DRAM cell following expansion of active region through local encroachment reduction
US4965221A (en) 1989-03-15 1990-10-23 Micron Technology, Inc. Spacer isolation method for minimizing parasitic sidewall capacitance and creating fully recessed field oxide regions
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
JPH0823672A (ja) 1989-05-18 1996-01-23 Hirotami Nakano スイッチング電源装置およびその絶縁方法
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
JPH033375A (ja) 1989-05-31 1991-01-09 Fujitsu Ltd 酸化物超伝導装置の製造方法
JP2512216B2 (ja) 1989-08-01 1996-07-03 松下電器産業株式会社 半導体装置の製造方法
US5181089A (en) 1989-08-15 1993-01-19 Matsushita Electric Industrial Co., Ltd. Semiconductor memory device and a method for producing the same
US5316962A (en) 1989-08-15 1994-05-31 Matsushita Electric Industrial Co., Ltd. Method of producing a semiconductor device having trench capacitors and vertical switching transistors
JP2508288B2 (ja) 1989-08-30 1996-06-19 三菱電機株式会社 半導体記憶装置
US5012306A (en) 1989-09-22 1991-04-30 Board Of Regents, The University Of Texas System Hot-carrier suppressed sub-micron MISFET device
US5024959A (en) 1989-09-25 1991-06-18 Motorola, Inc. CMOS process using doped glass layer
US5497497A (en) 1989-11-03 1996-03-05 Compaq Computer Corp. Method and apparatus for resetting multiple processors using a common ROM
JPH03155165A (ja) 1989-11-14 1991-07-03 Toshiba Corp 半導体装置およびその製造方法
IT1236601B (it) 1989-12-22 1993-03-18 Sgs Thomson Microelectronics Dispositivo a semiconduttore integrato di tipo eprom con connessioni metalliche di source e procedimento per la sua fabbricazione.
US5296401A (en) 1990-01-11 1994-03-22 Mitsubishi Denki Kabushiki Kaisha MIS device having p channel MOS device and n channel MOS device with LDD structure and manufacturing method thereof
JPH03219677A (ja) 1990-01-24 1991-09-27 Fujitsu Ltd 半導体装置
US5218218A (en) 1990-02-01 1993-06-08 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
JPH03239332A (ja) 1990-02-16 1991-10-24 Fujitsu Ltd 半導体装置の製造方法
US5057449A (en) 1990-03-26 1991-10-15 Micron Technology, Inc. Process for creating two thicknesses of gate oxide within a dynamic random access memory
US5252845A (en) 1990-04-02 1993-10-12 Electronics And Telecommunications Research Institute Trench DRAM cell with vertical transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
JPH0414253A (ja) 1990-05-02 1992-01-20 Sony Corp ダイナミックram及びその製造方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH0414255A (ja) 1990-05-07 1992-01-20 Toshiba Corp Mos型半導体装置
EP0456318B1 (en) 1990-05-11 2001-08-22 Koninklijke Philips Electronics N.V. CMOS process utilizing disposable silicon nitride spacers for making lightly doped drain transistors
US5071782A (en) 1990-06-28 1991-12-10 Texas Instruments Incorporated Vertical memory cell array and method of fabrication
JP2792211B2 (ja) 1990-07-06 1998-09-03 日本電気株式会社 半導体記憶装置
US5304835A (en) 1990-07-18 1994-04-19 Seiko Epson Corporation Semiconductor device
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
JPH0485872A (ja) 1990-07-26 1992-03-18 Seiko Epson Corp 半導体装置
US5177027A (en) 1990-08-17 1993-01-05 Micron Technology, Inc. Process for fabricating, on the edge of a silicon mesa, a MOSFET which has a spacer-shaped gate and a right-angled channel path
US5244824A (en) 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
JPH04130630A (ja) 1990-09-20 1992-05-01 Fuji Electric Co Ltd 集積回路装置用酸化膜およびその形成方法
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
FR2667440A1 (fr) 1990-09-28 1992-04-03 Philips Nv Procede pour realiser des motifs d'alignement de masques.
JPH04155810A (ja) 1990-10-18 1992-05-28 Matsushita Electric Ind Co Ltd コンデンサの製造方法
JPH04162528A (ja) 1990-10-24 1992-06-08 Hitachi Ltd 半導体装置の製造方法
US5064683A (en) 1990-10-29 1991-11-12 Motorola, Inc. Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
KR920010963A (ko) 1990-11-23 1992-06-27 오가 노리오 Soi형 종채널 fet 및 그 제조방법
US5236860A (en) 1991-01-04 1993-08-17 Micron Technology, Inc. Lateral extension stacked capacitor
JPH0691249B2 (ja) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
US5057888A (en) 1991-01-28 1991-10-15 Micron Technology, Inc. Double DRAM cell
KR960001611B1 (ko) 1991-03-06 1996-02-02 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 절연 게이트형 전계 효과 반도체 장치 및 그 제작방법
JP3098786B2 (ja) 1991-04-05 2000-10-16 株式会社日立製作所 半導体集積回路装置
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
US5250450A (en) 1991-04-08 1993-10-05 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
EP0510604A3 (en) 1991-04-23 2001-05-09 Canon Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US5495179A (en) 1991-06-04 1996-02-27 Micron Technology, Inc. Carrier having interchangeable substrate used for testing of semiconductor dies
US5087586A (en) 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
US5260229A (en) 1991-08-30 1993-11-09 Sgs-Thomson Microelectronics, Inc. Method of forming isolated regions of oxide
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5278438A (en) 1991-12-19 1994-01-11 North American Philips Corporation Electrically erasable and programmable read-only memory with source and drain regions along sidewalls of a trench structure
JP2851962B2 (ja) 1992-01-21 1999-01-27 シャープ株式会社 半導体読み出し専用メモリ
US5266813A (en) 1992-01-24 1993-11-30 International Business Machines Corporation Isolation technique for silicon germanium devices
US5358894A (en) 1992-02-06 1994-10-25 Micron Technology, Inc. Oxidation enhancement in narrow masked field regions of a semiconductor wafer
US5208172A (en) 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5308782A (en) 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5315142A (en) 1992-03-23 1994-05-24 International Business Machines Corporation High performance trench EEPROM cell
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5640034A (en) 1992-05-18 1997-06-17 Texas Instruments Incorporated Top-drain trench based resurf DMOS transistor structure
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
JP3407204B2 (ja) 1992-07-23 2003-05-19 オリンパス光学工業株式会社 強誘電体集積回路及びその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JPH0677480A (ja) 1992-08-24 1994-03-18 Hitachi Ltd 半導体装置
US5340754A (en) 1992-09-02 1994-08-23 Motorla, Inc. Method for forming a transistor having a dynamic connection between a substrate and a channel region
US5420819A (en) 1992-09-24 1995-05-30 Nonvolatile Electronics, Incorporated Method for sensing data in a magnetoresistive memory using large fractions of memory cell films for data storage
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
KR950001775B1 (ko) 1992-09-26 1995-03-02 이장무 Nc 절삭시스템 이상진단을 위한 공구파괴 모니터링 방법
JPH06112481A (ja) 1992-09-28 1994-04-22 Yokogawa Electric Corp Mosトランジスタの製造方法
US5319753A (en) 1992-09-29 1994-06-07 Zilog, Inc. Queued interrupt mechanism with supplementary command/status/message information
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
JP2884962B2 (ja) 1992-10-30 1999-04-19 日本電気株式会社 半導体メモリ
KR970011744B1 (ko) 1992-11-04 1997-07-15 마쯔시다덴기산교 가부시기가이샤 상보형 반도체장치 및 그 제조방법
US5385853A (en) 1992-12-02 1995-01-31 International Business Machines Corporation Method of fabricating a metal oxide semiconductor heterojunction field effect transistor (MOSHFET)
US5252517A (en) 1992-12-10 1993-10-12 Micron Semiconductor, Inc. Method of conductor isolation from a conductive contact plug
JPH06216084A (ja) * 1992-12-17 1994-08-05 Samsung Electron Co Ltd 半導体装置のパターン分離方法および微細パターン形成方法
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JP3395235B2 (ja) * 1993-02-09 2003-04-07 ソニー株式会社 情報記録ディスク
US5401681A (en) 1993-02-12 1995-03-28 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells
US5605857A (en) 1993-02-12 1997-02-25 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
DE4447804C2 (de) 1993-02-12 2002-01-24 Micron Technology Inc Verfahren zum Herstellen einer leitfähigen Mehrfachbehälter-Struktur auf der bestehenden Topographie eines Ausgangssubstrats
US5340763A (en) 1993-02-12 1994-08-23 Micron Semiconductor, Inc. Multi-pin stacked capacitor utilizing micro villus patterning in a container cell and method to fabricate same
US5563089A (en) 1994-07-20 1996-10-08 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
US5409563A (en) 1993-02-26 1995-04-25 Micron Technology, Inc. Method for etching high aspect ratio features
JPH06268173A (ja) 1993-03-15 1994-09-22 Toshiba Corp 半導体記憶装置
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US6791131B1 (en) 1993-04-02 2004-09-14 Micron Technology, Inc. Method for forming a storage cell capacitor compatible with high dielectric constant materials
US5498562A (en) 1993-04-07 1996-03-12 Micron Technology, Inc. Semiconductor processing methods of forming stacked capacitors
US5356513A (en) 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
JPH06318680A (ja) 1993-05-10 1994-11-15 Nec Corp 半導体記憶装置およびその製造方法
JP3403231B2 (ja) 1993-05-12 2003-05-06 三菱電機株式会社 半導体装置およびその製造方法
JP3390208B2 (ja) 1993-05-26 2003-03-24 ローム株式会社 半導体装置製造方法
US5469208A (en) 1993-05-26 1995-11-21 Intel Corporation Dequantization using decrements and multiply
US5458999A (en) 1993-06-24 1995-10-17 Szabo; Gabor Interferometric phase shifting method for high resolution microlithography
US5973363A (en) 1993-07-12 1999-10-26 Peregrine Semiconductor Corp. CMOS circuitry with shortened P-channel length on ultrathin silicon on insulator
US5629220A (en) 1993-07-27 1997-05-13 United Microelectronics Corporation Method of manufacture of pull down transistor with drain off-set for low leakage SRAM's
JPH0778977A (ja) 1993-09-09 1995-03-20 Toshiba Corp 半導体装置
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JPH07106435A (ja) 1993-10-08 1995-04-21 Hitachi Ltd 半導体記憶装置及びその製造方法
KR970003731B1 (ko) 1993-10-14 1997-03-21 엘지반도체 주식회사 반도체 장치의 소자 격리막 제조방법
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
KR0122315B1 (ko) 1993-12-27 1997-11-26 김주용 고집적 반도체 소자의 미세패턴 형성방법
KR970009054B1 (ko) 1993-12-29 1997-06-03 현대전자산업 주식회사 평면구조 모스 트랜지스터 및 그 제조방법
US5645887A (en) 1994-01-14 1997-07-08 Lg Semicon Co., Ltd. Method for forming platinum silicide plugs
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JP3720064B2 (ja) 1994-01-20 2005-11-24 株式会社ルネサステクノロジ 半導体集積回路
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5604159A (en) 1994-01-31 1997-02-18 Motorola, Inc. Method of making a contact structure
US5362665A (en) 1994-02-14 1994-11-08 Industrial Technology Research Institute Method of making vertical DRAM cross point memory cell
US5438016A (en) 1994-03-02 1995-08-01 Micron Semiconductor, Inc. Method of semiconductor device isolation employing polysilicon layer for field oxide formation
US5964750A (en) 1994-03-15 1999-10-12 Medolas Gesellschaft Fuer Medizintechnik Gmbh Laser catheter for bypass surgery
KR960016773B1 (en) 1994-03-28 1996-12-20 Samsung Electronics Co Ltd Buried bit line and cylindrical gate cell and forming method thereof
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5414287A (en) 1994-04-25 1995-05-09 United Microelectronics Corporation Process for high density split-gate memory cell for flash or EPROM
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
JP3326709B2 (ja) 1994-05-10 2002-09-24 ソニー株式会社 パターン形成方法
US5495441A (en) 1994-05-18 1996-02-27 United Microelectronics Corporation Split-gate flash memory cell
US5466632A (en) 1994-05-26 1995-11-14 United Microelectronics Corp. Field oxide with curvilinear boundaries and method of producing the same
JP3745392B2 (ja) 1994-05-26 2006-02-15 株式会社ルネサステクノロジ 半導体装置
KR950034748A (ko) 1994-05-30 1995-12-28 김주용 포토레지스트 패턴 형성방법
US6133620A (en) 1995-05-26 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and process for fabricating the same
JP3317582B2 (ja) 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US5405794A (en) 1994-06-14 1995-04-11 Philips Electronics North America Corporation Method of producing VDMOS device of increased power density
US5563012A (en) 1994-06-30 1996-10-08 International Business Machines Corporation Multi mask method for selective mask feature enhancement
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
CA2194373A1 (en) 1994-07-21 1996-02-08 Minnesota Mining And Manufacturing Company Concentrated cleaner compositions capable of viscosity increase upon dilution
JP2956482B2 (ja) 1994-07-29 1999-10-04 日本電気株式会社 半導体記憶装置及びその製造方法
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
US7118988B2 (en) 1994-08-15 2006-10-10 Buerger Jr Walter Richard Vertically wired integrated circuit and method of fabrication
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
JP3761918B2 (ja) 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
JP3400143B2 (ja) 1994-09-17 2003-04-28 株式会社東芝 半導体記憶装置
US5481126A (en) 1994-09-27 1996-01-02 Purdue Research Foundation Semiconductor-on-insulator electronic devices having trench isolated monocrystalline active regions
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5405791A (en) 1994-10-04 1995-04-11 Micron Semiconductor, Inc. Process for fabricating ULSI CMOS circuits using a single polysilicon gate layer and disposable spacers
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
US5583065A (en) 1994-11-23 1996-12-10 Sony Corporation Method of making a MOS semiconductor device
KR0151012B1 (ko) 1994-11-30 1998-10-01 김광호 매몰 비트라인 디램 셀 및 제조방법
US6175128B1 (en) 1998-03-31 2001-01-16 International Business Machines Corporation Process for building borderless bitline, wordline and DRAM structure and resulting structure
US6252267B1 (en) 1994-12-28 2001-06-26 International Business Machines Corporation Five square folded-bitline DRAM cell
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
US5581101A (en) 1995-01-03 1996-12-03 International Business Machines Corporation FET and/or bipolar devices formed in thin vertical silicon on insulator (SOI) structures
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5497017A (en) 1995-01-26 1996-03-05 Micron Technology, Inc. Dynamic random access memory array having a cross-point layout, tungsten digit lines buried in the substrate, and vertical access transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US6744091B1 (en) 1995-01-31 2004-06-01 Fujitsu Limited Semiconductor storage device with self-aligned opening and method for fabricating the same
JP3623834B2 (ja) 1995-01-31 2005-02-23 富士通株式会社 半導体記憶装置及びその製造方法
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
JP2692639B2 (ja) 1995-03-10 1997-12-17 日本電気株式会社 不揮発性半導体記憶装置の製造方法
JP3371196B2 (ja) 1995-03-20 2003-01-27 ソニー株式会社 パターン形成方法
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
US5460993A (en) 1995-04-03 1995-10-24 Taiwan Semiconductor Manufacturing Company Ltd. Method of making NMOS and PMOS LDD transistors utilizing thinned sidewall spacers
US5512517A (en) 1995-04-25 1996-04-30 International Business Machines Corporation Self-aligned gate sidewall spacer in a corrugated FET and method of making same
US5567634A (en) 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
US5654222A (en) 1995-05-17 1997-08-05 Micron Technology, Inc. Method for forming a capacitor with electrically interconnected construction
US5647760A (en) 1995-05-17 1997-07-15 Lucent Technologies Inc. Insulation displacement contact including retention means
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19519159C2 (de) 1995-05-24 1998-07-09 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US5936673A (en) 1995-05-26 1999-08-10 Intel Corporation Temporal tile staggering for block based video compression
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US5981992A (en) 1995-06-07 1999-11-09 International Business Machines Corporation Mechanical supports for very thin stacked capacitor plates
US5659189A (en) 1995-06-07 1997-08-19 Lsi Logic Corporation Layout configuration for an integrated circuit gate array
US5914504A (en) 1995-06-16 1999-06-22 Imec Vzw DRAM applications using vertical MISFET devices
US5700733A (en) 1995-06-27 1997-12-23 Micron Technology, Inc. Semiconductor processing methods of forming field oxide regions on a semiconductor substrate
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
US5736435A (en) 1995-07-03 1998-04-07 Motorola, Inc. Process for fabricating a fully self-aligned soi mosfet
US5627097A (en) 1995-07-03 1997-05-06 Motorola, Inc. Method for making CMOS device having reduced parasitic capacitance
DE19525072C2 (de) 1995-07-10 2002-06-27 Infineon Technologies Ag Integrierte Schaltungsanordnung, bei der ein erstes Bauelement an einer Hauptfläche eines Halbleitersubstrats und ein zweites Bauelement am Grabenboden angeordnet sind, und Verfahren zu deren Herstellung
US5604370A (en) 1995-07-11 1997-02-18 Advanced Micro Devices, Inc. Field implant for semiconductor device
US5756395A (en) 1995-08-18 1998-05-26 Lsi Logic Corporation Process for forming metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5680344A (en) 1995-09-11 1997-10-21 Micron Technology, Inc. Circuit and method of operating a ferrolectric memory in a DRAM mode
US5638318A (en) 1995-09-11 1997-06-10 Micron Technology, Inc. Ferroelectric memory using ferroelectric reference cells
US5677865A (en) 1995-09-11 1997-10-14 Micron Technology, Inc. Ferroelectric memory using reference charge circuit
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
US5814545A (en) 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
KR0179175B1 (ko) 1995-10-05 1999-03-20 문정환 반도체 메모리 장치 및 제조방법
US5885900A (en) 1995-11-07 1999-03-23 Lucent Technologies Inc. Method of global planarization in fabricating integrated circuit devices
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US5771150A (en) 1996-01-03 1998-06-23 Micron Technology, Inc. Capacitor constructions
US5712173A (en) 1996-01-24 1998-01-27 Advanced Micro Devices, Inc. Method of making semiconductor device with self-aligned insulator
US5607874A (en) 1996-02-02 1997-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a DRAM cell with a T shaped storage capacitor
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US5990021A (en) 1997-12-19 1999-11-23 Micron Technology, Inc. Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture
US6686288B1 (en) 1996-02-21 2004-02-03 Micron Technology, Inc. Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture
US5674760A (en) 1996-02-26 1997-10-07 United Microelectronics Corporation Method of forming isolation regions in a MOS transistor device
JP2751909B2 (ja) 1996-02-26 1998-05-18 日本電気株式会社 半導体装置の製造方法
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
US6455916B1 (en) 1996-04-08 2002-09-24 Micron Technology, Inc. Integrated circuit devices containing isolated dielectric material
US5789306A (en) 1996-04-18 1998-08-04 Micron Technology, Inc. Dual-masked field isolation
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JPH09293793A (ja) 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5899727A (en) 1996-05-02 1999-05-04 Advanced Micro Devices, Inc. Method of making a semiconductor isolation region bounded by a trench and covered with an oxide to improve planarization
US5672534A (en) 1996-05-10 1997-09-30 United Microelectronics Corporation Process for fabricating capacitor cells in dynamic random access memory (DRAM) chips
KR20000015822A (ko) 1996-05-21 2000-03-15 칼 하인쯔 호르닝어 박막 다층 콘덴서_
DE19620625C1 (de) 1996-05-22 1997-10-23 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US5918134A (en) 1996-06-19 1999-06-29 Advanced Micro Devices, Inc. Method of reducing transistor channel length with oxidation inhibiting spacers
JP2800787B2 (ja) 1996-06-27 1998-09-21 日本電気株式会社 半導体記憶装置の製造方法
JPH1022476A (ja) 1996-07-02 1998-01-23 Sony Corp 容量素子
GB2315073B (en) 1996-07-04 2000-04-19 Patent Gmbh Merck Linear UV polariser
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
KR100225060B1 (ko) 1996-07-25 1999-10-15 윤종용 반도체 장치의 제조 방법
US5677217A (en) 1996-08-01 1997-10-14 Vanguard International Semiconductor Corporation Method for fabricating a mosfet device, with local channel doping and a titanium silicide gate
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
US6040249A (en) 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
TW308727B (en) 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
KR19980014820A (ko) 1996-08-16 1998-05-25 김광호 트랜치 게이트형 모스 전계효과 트랜지스터 및 그 제조방법
US5882993A (en) 1996-08-19 1999-03-16 Advanced Micro Devices, Inc. Integrated circuit with differing gate oxide thickness and process for making same
JP3164026B2 (ja) 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
KR19980012565U (ko) 1996-08-27 1998-06-05 양재신 버스용 에어컨 덕트의 취부구조
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6191432B1 (en) 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5933742A (en) 1996-09-06 1999-08-03 Powerchip Semiconductor Corp. Multi-crown capacitor for high density DRAMS
US5747377A (en) 1996-09-06 1998-05-05 Powerchip Semiconductor Corp. Process for forming shallow trench isolation
US5677227A (en) 1996-09-09 1997-10-14 Vanguard International Semiconductor Corporation Method of fabricating single crown, extendible to triple crown, stacked capacitor structures, using a self-aligned capacitor node contact
US5817560A (en) 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5880018A (en) 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5861328A (en) 1996-10-07 1999-01-19 Motorola, Inc. Method of fabricating GMR devices
US6002867A (en) 1996-10-24 1999-12-14 Inprise Corporation Development system with methods providing visual form inheritance
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
JPH10150027A (ja) 1996-11-19 1998-06-02 Nittetsu Semiconductor Kk 半導体装置の微細レジストパターンの形成方法
US5721172A (en) 1996-12-02 1998-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned polish stop layer hard masking method for forming planarized aperture fill layers
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
US5869866A (en) 1996-12-06 1999-02-09 Advanced Micro Devices, Inc. Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions
US6150211A (en) 1996-12-11 2000-11-21 Micron Technology, Inc. Methods of forming storage capacitors in integrated circuitry memory cells and integrated circuitry
JP2956626B2 (ja) 1996-12-12 1999-10-04 日本電気株式会社 Mos型半導体装置の製造方法
US5748519A (en) 1996-12-13 1998-05-05 Motorola, Inc. Method of selecting a memory cell in a magnetic random access memory device
US5679591A (en) 1996-12-16 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of making raised-bitline contactless trenched flash memory cell
US5804458A (en) 1996-12-16 1998-09-08 Motorola, Inc. Method of fabricating spaced apart submicron magnetic memory cells
KR19980064176A (ko) 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
US5937296A (en) 1996-12-20 1999-08-10 Siemens Aktiengesellschaft Memory cell that includes a vertical transistor and a trench capacitor
US6022815A (en) 1996-12-31 2000-02-08 Intel Corporation Method of fabricating next-to-minimum-size transistor gate using mask-edge gate definition technique
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US5913116A (en) 1997-01-08 1999-06-15 Advanced Micro Devices Method of manufacturing an active region of a semiconductor by diffusing a dopant out of a sidewall spacer
US5929477A (en) 1997-01-22 1999-07-27 International Business Machines Corporation Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array
US5874760A (en) 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US5990509A (en) 1997-01-22 1999-11-23 International Business Machines Corporation 2F-square memory cell for gigabit memory applications
US6034389A (en) 1997-01-22 2000-03-07 International Business Machines Corporation Self-aligned diffused source vertical transistors with deep trench capacitors in a 4F-square memory cell array
US5882973A (en) 1997-01-27 1999-03-16 Advanced Micro Devices, Inc. Method for forming an integrated circuit having transistors of dissimilarly graded junction profiles
JP3614267B2 (ja) 1997-02-05 2005-01-26 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2982895B2 (ja) 1997-02-06 1999-11-29 日本電気株式会社 Cmos半導体装置およびその製造方法
US6214727B1 (en) 1997-02-11 2001-04-10 Micron Technology, Inc. Conductive electrical contacts, capacitors, DRAMs, and integrated circuitry, and methods of forming conductive electrical contacts, capacitors, DRAMs, and integrated circuitry
US5981333A (en) 1997-02-11 1999-11-09 Micron Technology, Inc. Methods of forming capacitors and DRAM arrays
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5866459A (en) 1997-02-20 1999-02-02 National Semiconductor Corporation Method of fabricating a contact structure for an MOS transistor entirely on isolation oxide
US5780349A (en) 1997-02-20 1998-07-14 National Semiconductor Corporation Self-aligned MOSFET gate/source/drain salicide formation
US5902690A (en) 1997-02-25 1999-05-11 Motorola, Inc. Stray magnetic shielding for a non-volatile MRAM
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5998257A (en) 1997-03-13 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming integrated circuitry memory devices, methods of forming capacitor containers, methods of making electrical connection to circuit nodes and related integrated circuitry
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6288431B1 (en) 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6424011B1 (en) 1997-04-14 2002-07-23 International Business Machines Corporation Mixed memory integration with NVRAM, dram and sram cell structures on same substrate
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6004835A (en) 1997-04-25 1999-12-21 Micron Technology, Inc. Method of forming integrated circuitry, conductive lines, a conductive grid, a conductive network, an electrical interconnection to anode location and an electrical interconnection with a transistor source/drain region
US5892707A (en) 1997-04-25 1999-04-06 Micron Technology, Inc. Memory array having a digit line buried in an isolation region and method for forming same
US5728621A (en) 1997-04-28 1998-03-17 Chartered Semiconductor Manufacturing Pte Ltd Method for shallow trench isolation
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6149974A (en) 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US5767561A (en) 1997-05-09 1998-06-16 Lucent Technologies Inc. Integrated circuit device with isolated circuit elements
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US5846862A (en) 1997-05-20 1998-12-08 Advanced Micro Devices Semiconductor device having a vertical active region and method of manufacture thereof
EP0882806B1 (en) 1997-05-21 2002-01-02 Kabushiki Kaisha Toyota Chuo Kenkyusho Hard molybdenum alloy, wear resistant alloy and method for manufacturing the same
US5917749A (en) 1997-05-23 1999-06-29 Motorola, Inc. MRAM cell requiring low switching field
US6037230A (en) 1997-06-03 2000-03-14 Texas Instruments Incorporated Method to reduce diode capacitance of short-channel MOSFETS
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
KR100400808B1 (ko) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 그레이드된 GeSi층 및 평탄화를 사용한 Si상의 Ge의 쓰레딩 전위 밀도 제어
US6249019B1 (en) 1997-06-27 2001-06-19 Micron Technology, Inc. Container capacitor with increased surface area and method for making same
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JPH1126719A (ja) 1997-06-30 1999-01-29 Hitachi Ltd 半導体集積回路装置の製造方法
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
TW327700B (en) 1997-07-15 1998-03-01 Mos Electronics Taiwan Inc The method for using rough oxide mask to form isolating field oxide
TW365065B (en) 1997-07-19 1999-07-21 United Microelectronics Corp Embedded memory structure and manufacturing method thereof
US6432472B1 (en) 1997-08-15 2002-08-13 Energenius, Inc. Method of making semiconductor supercapacitor system and articles produced therefrom
US6306727B1 (en) 1997-08-18 2001-10-23 Micron Technology, Inc. Advanced isolation process for large memory arrays
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
US6200874B1 (en) 1997-08-22 2001-03-13 Micron Technology, Inc. Methods for use in forming a capacitor
US6025221A (en) 1997-08-22 2000-02-15 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
EP0899790A3 (de) 1997-08-27 2006-02-08 Infineon Technologies AG DRAM-Zellanordnung und Verfahren zu deren Herstellung
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
US5834359A (en) 1997-08-29 1998-11-10 Vanguard International Semiconductor Corporation Method of forming an isolation region in a semiconductor substrate
JP3983858B2 (ja) 1997-09-18 2007-09-26 富士通株式会社 半導体記憶装置
JP3519583B2 (ja) 1997-09-19 2004-04-19 株式会社東芝 不揮発性半導体記憶装置およびその製造方法
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR19990027887A (ko) 1997-09-30 1999-04-15 윤종용 스페이서를 이용한 반도체장치의 미세 패턴 형성방법
US5914511A (en) 1997-10-06 1999-06-22 Micron Technology, Inc. Circuit and method for a folded bit line memory using trench plate capacitor cells with body bias contacts
US6528837B2 (en) 1997-10-06 2003-03-04 Micron Technology, Inc. Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5907170A (en) 1997-10-06 1999-05-25 Micron Technology, Inc. Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor
US6350704B1 (en) 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
TW341729B (en) 1997-10-18 1998-10-01 United Microelectronics Corp Process for producing DRAM capacitors
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US5972758A (en) 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
KR100252866B1 (ko) 1997-12-13 2000-04-15 김영환 반도체소자 및 이의 제조방법
US6376893B1 (en) 1997-12-13 2002-04-23 Hyundai Electronics Industries Co., Ltd. Trench isolation structure and fabrication method thereof
US5989966A (en) 1997-12-15 1999-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and a deep sub-micron field effect transistor structure for suppressing short channel effects
DE59814170D1 (de) 1997-12-17 2008-04-03 Qimonda Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
US5956267A (en) 1997-12-18 1999-09-21 Honeywell Inc Self-aligned wordline keeper and method of manufacture therefor
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6165833A (en) 1997-12-19 2000-12-26 Micron Technology, Inc. Semiconductor processing method of forming a capacitor
US6022788A (en) 1997-12-23 2000-02-08 Stmicroelectronics, Inc. Method of forming an integrated circuit having spacer after shallow trench fill and integrated circuit formed thereby
JP3189773B2 (ja) 1998-01-09 2001-07-16 三菱電機株式会社 レジストパターン形成方法及びこれを用いた半導体装置の製造方法並びに半導体装置
DE19801095B4 (de) 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6448150B1 (en) 1998-01-20 2002-09-10 Nanya Technology Corporation Method for forming shallow trench isolation in the integrated circuit
US6198168B1 (en) 1998-01-20 2001-03-06 Micron Technologies, Inc. Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same
US6025225A (en) 1998-01-22 2000-02-15 Micron Technology, Inc. Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same
US5963803A (en) 1998-02-02 1999-10-05 Advanced Micro Devices, Inc. Method of making N-channel and P-channel IGFETs with different gate thicknesses and spacer widths
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US6104633A (en) 1998-02-10 2000-08-15 International Business Machines Corporation Intentional asymmetry imposed during fabrication and/or access of magnetic tunnel junction devices
DE19805712A1 (de) 1998-02-12 1999-08-26 Siemens Ag Speicherzellenanordnung und entsprechendes Herstellungsverfahren
US5998835A (en) 1998-02-17 1999-12-07 International Business Machines Corporation High performance MOSFET device with raised source and drain
US6147405A (en) 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US6133106A (en) 1998-02-23 2000-10-17 Sharp Laboratories Of America, Inc. Fabrication of a planar MOSFET with raised source/drain by chemical mechanical polishing and nitride replacement
US6246083B1 (en) 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
US6304483B1 (en) 1998-02-24 2001-10-16 Micron Technology, Inc. Circuits and methods for a static random access memory using vertical transistors
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
KR100301038B1 (ko) 1998-03-02 2001-09-06 윤종용 씨오비(cob)를구비한반도체메모리장치및그제조방법
JPH11261056A (ja) 1998-03-12 1999-09-24 Toshiba Corp 半導体装置及びその製造方法
US6245684B1 (en) 1998-03-13 2001-06-12 Applied Materials, Inc. Method of obtaining a rounded top trench corner for semiconductor trench etch applications
US6177699B1 (en) 1998-03-19 2001-01-23 Lsi Logic Corporation DRAM cell having a verticle transistor and a capacitor formed on the sidewalls of a trench isolation
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6008104A (en) 1998-04-06 1999-12-28 Siemens Aktiengesellschaft Method of fabricating a trench capacitor with a deposited isolation collar
US5945707A (en) 1998-04-07 1999-08-31 International Business Machines Corporation DRAM cell with grooved transfer device
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US5998288A (en) 1998-04-17 1999-12-07 Advanced Micro Devices, Inc. Ultra thin spacers formed laterally adjacent a gate conductor recessed below the upper surface of a substrate
KR100268421B1 (ko) 1998-04-18 2000-10-16 윤종용 커패시터 및 그의 제조 방법
US6060749A (en) 1998-04-23 2000-05-09 Texas Instruments - Acer Incorporated Ultra-short channel elevated S/D MOSFETS formed on an ultra-thin SOI substrate
US5970352A (en) 1998-04-23 1999-10-19 Kabushiki Kaisha Toshiba Field effect transistor having elevated source and drain regions and methods for manufacturing the same
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
KR100261170B1 (ko) 1998-05-06 2000-07-01 김영환 반도체소자 및 그 제조방법
JP3180951B2 (ja) 1998-05-26 2001-07-03 日本電気株式会社 半導体記憶装置およびその製造方法
US5949700A (en) 1998-05-26 1999-09-07 International Business Machines Corporation Five square vertical dynamic random access memory cell
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US5981350A (en) 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
KR100265227B1 (ko) 1998-06-05 2000-09-15 김영환 씨모스 트랜지스터의 제조 방법
US6657300B2 (en) 1998-06-05 2003-12-02 Lumileds Lighting U.S., Llc Formation of ohmic contacts in III-nitride light emitting devices
US6222244B1 (en) 1998-06-08 2001-04-24 International Business Machines Corporation Electrically blowable fuse with reduced cross-sectional area
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6091094A (en) 1998-06-11 2000-07-18 Siemens Aktiengesellschaft Vertical device formed adjacent to a wordline sidewall and method for semiconductor chips
US6040622A (en) 1998-06-11 2000-03-21 Sandisk Corporation Semiconductor package using terminals formed on a conductive layer of a circuit board
US6194755B1 (en) 1998-06-22 2001-02-27 International Business Machines Corporation Low-resistance salicide fill for trench capacitors
TW376582B (en) 1998-06-26 1999-12-11 Vanguard Int Semiconduct Corp Method of forming COB DRAM with self-aligned pole and bitline contact plug
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6124610A (en) 1998-06-26 2000-09-26 Advanced Micro Devices, Inc. Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
JP2000019709A (ja) 1998-07-03 2000-01-21 Hitachi Ltd 半導体装置及びパターン形成方法
US6146975A (en) 1998-07-10 2000-11-14 Lucent Technologies Inc. Shallow trench isolation
US6054365A (en) 1998-07-13 2000-04-25 International Rectifier Corp. Process for filling deep trenches with polysilicon and oxide
US6020255A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
US6060383A (en) 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6188100B1 (en) 1998-08-19 2001-02-13 Micron Technology, Inc. Concentric container fin capacitor
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
KR100275600B1 (ko) 1998-08-27 2000-12-15 한신혁 반도체 소자의 트렌치 형성 방법
US6027975A (en) 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
JP3239109B2 (ja) 1998-08-28 2001-12-17 株式会社半導体理工学研究センター 強誘電体不揮発性メモリとその読み出し方法
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6104068A (en) 1998-09-01 2000-08-15 Micron Technology, Inc. Structure and method for improved signal processing
US6156589A (en) 1998-09-03 2000-12-05 Micron Technology, Inc. Compact SOI body contact link
US6191444B1 (en) 1998-09-03 2001-02-20 Micron Technology, Inc. Mini flash process and circuit
JP4322330B2 (ja) 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6598231B1 (en) 1998-09-08 2003-07-22 Asvan Technology, Llc Enhanced security communications system
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
WO2000017939A1 (fr) 1998-09-22 2000-03-30 Hitachi, Ltd. Dispositif a semi-conducteur et son procede de production
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6274913B1 (en) 1998-10-05 2001-08-14 Intel Corporation Shielded channel transistor structure with embedded source/drain junctions
JP3359309B2 (ja) 1998-10-29 2002-12-24 キヤノン株式会社 バイナリ型の回折光学素子の作製方法
US6096598A (en) 1998-10-29 2000-08-01 International Business Machines Corporation Method for forming pillar memory cells and device formed thereby
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
US5956594A (en) 1998-11-02 1999-09-21 Vanguard International Semiconductor Corporation Method for simultaneously forming capacitor plate and metal contact structures for a high density DRAM device
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6114220A (en) 1998-11-18 2000-09-05 United Microelectronics Corp. Method of fabricating a shallow trench isolation
DE19853268C2 (de) 1998-11-18 2002-04-11 Infineon Technologies Ag Feldeffektgesteuerter Transistor und Verfahren zu dessen Herstellung
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US6005800A (en) 1998-11-23 1999-12-21 International Business Machines Corporation Magnetic memory array with paired asymmetric memory cells for improved write margin
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6438497B1 (en) 1998-12-11 2002-08-20 Symyx Technologies Method for conducting sensor array-based rapid materials characterization
US6461932B1 (en) 1998-12-14 2002-10-08 National Semiconductor Corporation Semiconductor trench isolation process that utilizes smoothening layer
TW402791B (en) 1998-12-14 2000-08-21 United Microelectronics Corp Manufacture method of the metal-oxide semiconductor transistor
KR100372996B1 (ko) 1998-12-28 2003-02-25 아사히 가세이 마이크로시스템 가부시끼가이샤 컨택트 홀의 형성 방법
JP4180716B2 (ja) 1998-12-28 2008-11-12 富士通株式会社 半導体装置の製造方法
US6204178B1 (en) 1998-12-29 2001-03-20 Micron Technology, Inc. Nucleation and deposition of PT films using ultraviolet irradiation
US6261923B1 (en) 1999-01-04 2001-07-17 Vanguard International Semiconductor Corporation Method to solve the dishing issue in CMP planarization by using a nitride hard mask for local inverse etchback and CMP
US6750500B1 (en) 1999-01-05 2004-06-15 Micron Technology, Inc. Capacitor electrode for integrating high K materials
US6104663A (en) 1999-01-06 2000-08-15 Virage Logic Corp. Memory array with a simultaneous read or simultaneous write ports
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6140240A (en) 1999-01-07 2000-10-31 Vanguard International Semiconductor Corporation Method for eliminating CMP induced microscratches
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP2000208762A (ja) 1999-01-13 2000-07-28 Sony Corp 絶縁ゲ―ト電界効果トランジスタおよびその製造方法
US6049106A (en) 1999-01-14 2000-04-11 Micron Technology, Inc. Large grain single crystal vertical thin film polysilicon MOSFETs
US6140171A (en) 1999-01-20 2000-10-31 International Business Machines Corporation FET device containing a conducting sidewall spacer for local interconnect and method for its fabrication
JP3743189B2 (ja) 1999-01-27 2006-02-08 富士通株式会社 不揮発性半導体記憶装置及びその製造方法
US6218236B1 (en) 1999-01-28 2001-04-17 International Business Machines Corporation Method of forming a buried bitline in a vertical DRAM device
US6184091B1 (en) 1999-02-01 2001-02-06 Infineon Technologies North America Corp. Formation of controlled trench top isolation layers for vertical transistors
US6762447B1 (en) 1999-02-05 2004-07-13 Infineon Technologies North America Corp. Field-shield-trench isolation for gigabit DRAMs
US6180486B1 (en) 1999-02-16 2001-01-30 International Business Machines Corporation Process of fabricating planar and densely patterned silicon-on-insulator structure
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP2000243967A (ja) 1999-02-22 2000-09-08 Sony Corp 半導体装置の製造方法
US6303956B1 (en) 1999-02-26 2001-10-16 Micron Technology, Inc. Conductive container structures having a dielectric cap
US6204138B1 (en) 1999-03-02 2001-03-20 Advanced Micro Devices, Inc. Method for fabricating a MOSFET device structure which facilitates mitigation of junction capacitance and floating body effects
US6316299B1 (en) 1999-03-04 2001-11-13 United Microelectronics Corp. Formation of laterally diffused metal-oxide semiconductor device
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
TW409407B (en) 1999-03-09 2000-10-21 United Microelectronics Corp DRAM structure and its manufacture method
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
KR100319610B1 (ko) 1999-03-18 2002-01-09 김영환 반도체 소자의 트랜지스터 및 그 제조방법
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
US6316806B1 (en) 1999-03-31 2001-11-13 Fairfield Semiconductor Corporation Trench transistor with a self-aligned source
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6429124B1 (en) 1999-04-14 2002-08-06 Micron Technology, Inc. Local interconnect structures for integrated circuits and methods for making the same
US6204143B1 (en) 1999-04-15 2001-03-20 Micron Technology Inc. Method of forming high aspect ratio structures for semiconductor devices
JP2000307084A (ja) 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6159801A (en) 1999-04-26 2000-12-12 Taiwan Semiconductor Manufacturing Company Method to increase coupling ratio of source to floating gate in split-gate flash
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
JP2001024161A (ja) 1999-04-30 2001-01-26 Sony Corp 半導体メモリセル
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
WO2000070622A1 (fr) 1999-05-14 2000-11-23 Hitachi, Ltd. Circuit de memorisation
US6306755B1 (en) 1999-05-14 2001-10-23 Koninklijke Philips Electronics N.V. (Kpenv) Method for endpoint detection during dry etch of submicron features in a semiconductor device
JP2000332210A (ja) 1999-05-24 2000-11-30 Mitsubishi Electric Corp 半導体装置の製造方法
US6587476B1 (en) 1999-05-26 2003-07-01 3 Com Corporation Ethernet frame encapsulation over VDSL using HDLC
US6201730B1 (en) 1999-06-01 2001-03-13 Infineon Technologies North America Corp. Sensing of memory cell via a plateline
US6281142B1 (en) 1999-06-04 2001-08-28 Micron Technology, Inc. Dielectric cure for reducing oxygen vacancies
US6908800B1 (en) 1999-06-04 2005-06-21 Texas Instruments Incorporated Tunable sidewall spacer process for CMOS integrated circuits
WO2000077848A1 (en) 1999-06-10 2000-12-21 Infineon Technologies North America Corp. Self-aligned buried strap for vertical transistors in semiconductor memories
JP4063450B2 (ja) 1999-06-14 2008-03-19 エルピーダメモリ株式会社 半導体集積回路装置
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
US6576510B2 (en) 1999-06-17 2003-06-10 Hitachi Ltd Method of producing a semiconductor memory device using a self-alignment process
US6436526B1 (en) 1999-06-17 2002-08-20 Matsushita Electric Industrial Co., Ltd. Magneto-resistance effect element, magneto-resistance effect memory cell, MRAM and method for performing information write to or read from the magneto-resistance effect memory cell
US6261878B1 (en) 1999-06-21 2001-07-17 Intel Corporation Integrated circuit with dynamic threshold voltage
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
KR100333382B1 (ko) 1999-06-24 2002-04-18 박종섭 반도체 장치의 다층금속배선 형성방법
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6245600B1 (en) 1999-07-01 2001-06-12 International Business Machines Corporation Method and structure for SOI wafers to avoid electrostatic discharge
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6194301B1 (en) 1999-07-12 2001-02-27 International Business Machines Corporation Method of fabricating an integrated circuit of logic and memory using damascene gate structure
US6627525B2 (en) 2001-01-31 2003-09-30 United Microelectronics Corp. Method for preventing polycide gate spiking
US6214670B1 (en) 1999-07-22 2001-04-10 Taiwan Semiconductor Manufacturing Company Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
JP2001036081A (ja) 1999-07-26 2001-02-09 Fuji Electric Co Ltd 半導体装置
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6134139A (en) 1999-07-28 2000-10-17 Hewlett-Packard Magnetic memory structure with improved half-select margin
US6200836B1 (en) 1999-08-06 2001-03-13 Taiwan Semiconductor Manufacturing Company Using oxide junction to cut off sub-threshold leakage in CMOS devices
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6355520B1 (en) 1999-08-16 2002-03-12 Infineon Technologies Ag Method for fabricating 4F2 memory cells with improved gate conductor structure
US6274894B1 (en) 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6461915B1 (en) 1999-09-01 2002-10-08 Micron Technology, Inc. Method and structure for an improved floating gate memory cell
US6159818A (en) 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
US6180508B1 (en) 1999-09-02 2001-01-30 Micron Technology, Inc. Methods of fabricating buried digit lines and semiconductor devices including same
US6258729B1 (en) 1999-09-02 2001-07-10 Micron Technology, Inc. Oxide etching method and structures resulting from same
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
US6395600B1 (en) 1999-09-02 2002-05-28 Micron Technology, Inc. Method of forming a contact structure and a container capacitor structure
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6344396B1 (en) 1999-09-24 2002-02-05 Advanced Micro Devices, Inc. Removable spacer technology using ion implantation for forming asymmetric MOS transistors
US6282113B1 (en) 1999-09-29 2001-08-28 International Business Machines Corporation Four F-squared gapless dual layer bitline DRAM array architecture
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
JP2001110782A (ja) 1999-10-12 2001-04-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
US6730571B1 (en) 1999-10-14 2004-05-04 Chartered Semiconductor Manufacturing Ltd. Method to form a cross network of air gaps within IMD layer
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
US6245636B1 (en) 1999-10-20 2001-06-12 Advanced Micro Devices, Inc. Method of formation of pseudo-SOI structures with direct contact of transistor body to the substrate
US6376286B1 (en) 1999-10-20 2002-04-23 Advanced Micro Devices, Inc. Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer
US6229187B1 (en) 1999-10-20 2001-05-08 Advanced Micro Devices, Inc. Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer
US6465852B1 (en) 1999-10-20 2002-10-15 Advanced Micro Devices, Inc. Silicon wafer including both bulk and SOI regions and method for forming same on a bulk silicon wafer
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6362057B1 (en) 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
SE518965C2 (sv) 1999-11-04 2002-12-10 Ruben Haegglund Sedelhanteringsanordning
US6570322B1 (en) 1999-11-09 2003-05-27 Micron Technology, Inc. Anode screen for a phosphor display with a plurality of pixel regions defining phosphor layer holes
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6271080B1 (en) 1999-12-16 2001-08-07 International Business Machines Corporation Structure and method for planar MOSFET DRAM cell free of wordline gate conductor to storage trench overlay sensitivity
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
KR20010061020A (ko) 1999-12-28 2001-07-07 박종섭 반도체소자의 제조방법
US6956263B1 (en) 1999-12-28 2005-10-18 Intel Corporation Field effect transistor structure with self-aligned raised source/drain extensions
JP3595231B2 (ja) 1999-12-28 2004-12-02 株式会社東芝 半導体記憶装置及びその製造方法
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
JP2001196549A (ja) 2000-01-11 2001-07-19 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
US6889385B1 (en) 2000-01-14 2005-05-03 Terayon Communication Systems, Inc Home network for receiving video-on-demand and other requested programs and services
US20020030227A1 (en) 2000-01-20 2002-03-14 Bulsara Mayank T. Strained-silicon diffused metal oxide semiconductor field effect transistors
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6121084A (en) 2000-01-27 2000-09-19 Micron Technology, Inc. Semiconductor processing methods of forming hemispherical grain polysilicon layers, methods of forming capacitors, and capacitors
US6372583B1 (en) 2000-02-09 2002-04-16 Intel Corporation Process for making semiconductor device with epitaxially grown source and drain
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100475621B1 (ko) 2000-02-25 2005-03-15 가부시키가이샤 히타치세이사쿠쇼 반도체 집적 회로 장치, 그 제조 방법 및 마스크의 제작방법
US6475855B1 (en) 2000-03-01 2002-11-05 Micron Technology, Inc. Method of forming integrated circuitry, method of forming a capacitor and method of forming DRAM integrated circuitry
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
JP2001257328A (ja) 2000-03-13 2001-09-21 Seiko Epson Corp 不揮発性半導体記憶装置を含む半導体装置
US6281082B1 (en) 2000-03-13 2001-08-28 Chartered Semiconductor Manufacturing Ltd. Method to form MOS transistors with a common shallow trench isolation and interlevel dielectric gap fill
DE10012112C2 (de) 2000-03-13 2002-01-10 Infineon Technologies Ag Steg-Feldeffekttransistor und Verfahren zum Herstellen eines Steg-Feldeffekttransistors
US6251711B1 (en) 2000-03-17 2001-06-26 United Microelectronics Corp. Method for forming bridge free silicide
KR100352909B1 (ko) 2000-03-17 2002-09-16 삼성전자 주식회사 반도체소자의 자기정렬 콘택 구조체 형성방법 및 그에의해 형성된 자기정렬 콘택 구조체
US6174754B1 (en) 2000-03-17 2001-01-16 Taiwan Semiconductor Manufacturing Company Methods for formation of silicon-on-insulator (SOI) and source/drain-on-insulator(SDOI) transistors
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3492279B2 (ja) 2000-03-21 2004-02-03 Necエレクトロニクス株式会社 素子分離領域の形成方法
US6476432B1 (en) 2000-03-23 2002-11-05 Micron Technology, Inc. Structures and methods for enhancing capacitors in integrated circuits
JP2001274365A (ja) 2000-03-28 2001-10-05 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
EP1292989A1 (en) 2000-05-10 2003-03-19 Koninklijke Philips Electronics N.V. A semiconductor device
US20050164443A1 (en) 2000-05-18 2005-07-28 Youngmin Kim Tunable sidewall spacer process for CMOS integrated circuits
US6300199B1 (en) 2000-05-24 2001-10-09 Micron Technology, Inc. Method of defining at least two different field effect transistor channel lengths using differently angled sidewall segments of a channel defining layer
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6431887B1 (en) 2000-05-31 2002-08-13 Tyco Electronics Corporation Electrical connector assembly with an EMI shielded plug and grounding latch member
CA2349139C (en) 2000-05-31 2007-09-11 Kansai Paint Co., Ltd. Cationic resin composition
KR20010108963A (ko) 2000-06-01 2001-12-08 윤종용 셀 어레이 영역을 둘러싸는 장벽을 가지는 dram 소자및 그 제조방법
US6372574B1 (en) 2000-06-02 2002-04-16 Micron Technology, Inc. Method of forming a capacitor container electrode and method of patterning a metal layer by selectively silicizing the electrode or metal layer and removing the silicized portion
JP3773755B2 (ja) 2000-06-02 2006-05-10 セイコーインスツル株式会社 縦形mosトランジスタ及びその製造方法
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6313487B1 (en) 2000-06-15 2001-11-06 Board Of Regents, The University Of Texas System Vertical channel floating gate transistor having silicon germanium channel layer
FR2810447B1 (fr) 2000-06-16 2003-09-05 Commissariat Energie Atomique Procede de creation d'un etage de circuit integre ou conexistent des motifs fins et larges
KR100338775B1 (ko) 2000-06-20 2002-05-31 윤종용 Dram을 포함하는 반도체 소자의 콘택 구조체 및 그형성방법
KR100333372B1 (ko) 2000-06-21 2002-04-19 박종섭 금속 게이트 모스팻 소자의 제조방법
US6396096B1 (en) 2000-06-21 2002-05-28 International Business Machines Corporation Design layout for a dense memory cell structure
US6833329B1 (en) 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6504210B1 (en) 2000-06-23 2003-01-07 International Business Machines Corporation Fully encapsulated damascene gates for Gigabit DRAMs
US6420767B1 (en) 2000-06-28 2002-07-16 Advanced Micro Devices, Inc. Capacitively coupled DTMOS on SOI
KR100639199B1 (ko) 2000-06-28 2006-10-31 주식회사 하이닉스반도체 완전 공핍형 에스오아이 소자의 제조방법
KR100390952B1 (ko) 2000-06-28 2003-07-10 주식회사 하이닉스반도체 커패시터 제조 방법
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
KR100623587B1 (ko) 2000-06-30 2006-09-12 주식회사 하이닉스반도체 반도체소자 및 그의 제조 방법
JP2002026139A (ja) 2000-06-30 2002-01-25 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6424561B1 (en) 2000-07-18 2002-07-23 Micron Technology, Inc. MRAM architecture using offset bits for increased write selectivity
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6236590B1 (en) 2000-07-21 2001-05-22 Hewlett-Packard Company Optimal write conductors layout for improved performance in MRAM
DE10036725C2 (de) 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10036724A1 (de) 2000-07-27 2002-02-14 Infineon Technologies Ag Verfahren zur Bildung eines Grabens in einem Halbleitersubstrat
US6396728B1 (en) 2000-07-28 2002-05-28 Micron Technology, Inc. Array organization for high-performance memory devices
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
KR100370129B1 (ko) 2000-08-01 2003-01-30 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
US6455424B1 (en) 2000-08-07 2002-09-24 Micron Technology, Inc. Selective cap layers over recessed polysilicon plugs
US6660657B1 (en) 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
US6482749B1 (en) 2000-08-10 2002-11-19 Seh America, Inc. Method for etching a wafer edge using a potassium-based chemical oxidizer in the presence of hydrofluoric acid
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
AU2001286432A1 (en) 2000-08-14 2002-02-25 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US6531357B2 (en) 2000-08-17 2003-03-11 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
EP1314193A2 (en) 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6403460B1 (en) 2000-08-22 2002-06-11 Charles W. C. Lin Method of making a semiconductor chip assembly
JP4665298B2 (ja) 2000-08-25 2011-04-06 東レ株式会社 半導体装置用接着剤付きテープおよびそれを用いた銅張り積層板、半導体接続用基板ならびに半導体装置
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
US6232168B1 (en) 2000-08-25 2001-05-15 Micron Technology, Inc. Memory circuitry and method of forming memory circuitry
DE10041749A1 (de) 2000-08-27 2002-03-14 Infineon Technologies Ag Vertikale nichtflüchtige Halbleiter-Speicherzelle sowie Verfahren zu deren Herstellung
US6580137B2 (en) 2000-08-29 2003-06-17 Boise State University Damascene double gated transistors and related manufacturing methods
US6787833B1 (en) 2000-08-31 2004-09-07 Micron Technology, Inc. Integrated circuit having a barrier structure
US6358798B1 (en) 2000-09-06 2002-03-19 United Microelectronics Corp. Method for forming gate electrode by damascene process
JP2002094027A (ja) 2000-09-11 2002-03-29 Toshiba Corp 半導体記憶装置とその製造方法
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6350386B1 (en) 2000-09-20 2002-02-26 Charles W. C. Lin Method of making a support circuit with a tapered through-hole for a semiconductor chip assembly
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6537870B1 (en) 2000-09-29 2003-03-25 Infineon Technologies Ag Method of forming an integrated circuit comprising a self aligned trench
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US6555891B1 (en) 2000-10-17 2003-04-29 International Business Machines Corporation SOI hybrid structure with selective epitaxial growth of silicon
DE10051380C2 (de) 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
JP2002124585A (ja) 2000-10-17 2002-04-26 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
WO2002033759A1 (fr) 2000-10-19 2002-04-25 Matsushita Electric Industrial Co., Ltd. Transistor a effet de champ de canal p
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
JP2002141420A (ja) 2000-10-31 2002-05-17 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6514809B1 (en) 2000-11-03 2003-02-04 Advanced Micro Devices, Inc. SOI field effect transistors with body contacts formed by selective etch and fill
US6372559B1 (en) 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
JP2002151654A (ja) 2000-11-10 2002-05-24 Sharp Corp 誘電体キャパシタ素子及びその製造方法
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
US6599789B1 (en) 2000-11-15 2003-07-29 Micron Technology, Inc. Method of forming a field effect transistor
US6503833B1 (en) 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
DE10056868A1 (de) 2000-11-16 2002-05-29 Advanced Micro Devices Inc Halbleiterbauteil mit verringerter Leitungskapazität und verringertem Übersprechrauschen
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
GB0029315D0 (en) 2000-12-01 2001-01-17 Koninkl Philips Electronics Nv Method of increasing the conductivity of a transparent conductive layer
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6790763B2 (en) 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
WO2002047168A2 (en) 2000-12-04 2002-06-13 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6680163B2 (en) 2000-12-04 2004-01-20 United Microelectronics Corp. Method of forming opening in wafer layer
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
US6621112B2 (en) 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
US6475874B2 (en) 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6465309B1 (en) 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
US6368950B1 (en) 2000-12-12 2002-04-09 Advanced Micro Devices, Inc. Silicide gate transistors
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
JP2002203913A (ja) 2000-12-28 2002-07-19 Hitachi Ltd 半導体記憶装置の製造方法および半導体記憶装置
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
JP2002208646A (ja) 2001-01-10 2002-07-26 Toshiba Corp 半導体装置、半導体装置の製造方法
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6570208B2 (en) 2001-01-18 2003-05-27 International Business Machines Corporation 6F2 Trench EDRAM cell with double-gated vertical MOSFET and self-aligned STI
US6455377B1 (en) 2001-01-19 2002-09-24 Chartered Semiconductor Manufacturing Ltd. Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs)
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
US6486066B2 (en) 2001-02-02 2002-11-26 Matrix Semiconductor, Inc. Method of generating integrated circuit feature layout for improved chemical mechanical polishing
US6646954B2 (en) 2001-02-02 2003-11-11 Broadcom Corporation Synchronous controlled, self-timed local SRAM block
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6560156B2 (en) 2001-02-08 2003-05-06 Integrated Device Technology, Inc. CAM circuit with radiation resistance
US6566682B2 (en) 2001-02-09 2003-05-20 Micron Technology, Inc. Programmable memory address and decode circuits with ultra thin vertical body transistors
US6559491B2 (en) 2001-02-09 2003-05-06 Micron Technology, Inc. Folded bit line DRAM with ultra thin body transistors
US6424001B1 (en) 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
US6377070B1 (en) 2001-02-09 2002-04-23 Micron Technology, Inc. In-service programmable logic arrays with ultra thin vertical body transistors
US6531727B2 (en) 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6496034B2 (en) 2001-02-09 2002-12-17 Micron Technology, Inc. Programmable logic arrays with ultra thin body transistors
US6448601B1 (en) 2001-02-09 2002-09-10 Micron Technology, Inc. Memory address and decode circuits with ultra thin body transistors
US6683337B2 (en) 2001-02-09 2004-01-27 Micron Technology, Inc. Dynamic memory based on single electron storage
US6649476B2 (en) 2001-02-15 2003-11-18 Micron Technology, Inc. Monotonic dynamic-static pseudo-NMOS logic circuit and method of forming a logic gate array
KR100393216B1 (ko) 2001-02-19 2003-07-31 삼성전자주식회사 엘디디 구조를 갖는 모오스 트랜지스터의 제조방법
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
KR100388682B1 (ko) 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
JP2002261161A (ja) 2001-03-05 2002-09-13 Hitachi Ltd 半導体装置の製造方法
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
US7205604B2 (en) 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
JP3547419B2 (ja) 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US6597203B2 (en) 2001-03-14 2003-07-22 Micron Technology, Inc. CMOS gate array with vertical transistors
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US7176109B2 (en) 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
KR100399436B1 (ko) 2001-03-28 2003-09-29 주식회사 하이닉스반도체 마그네틱 램 및 그 형성방법
JP4014891B2 (ja) 2001-03-29 2007-11-28 株式会社東芝 半導体装置の製造方法
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
FR2823010B1 (fr) 2001-04-02 2003-08-15 St Microelectronics Sa Procede de fabrication d'un transistor vertical a grille isolee a quadruple canal de conduction, et circuit integre comportant un tel transistor
WO2002082514A1 (en) 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
US6548347B2 (en) 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
EP1253634A3 (en) 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
JP2004520718A (ja) 2001-04-28 2004-07-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ トレンチ−ゲート構造半導体装置及びその製造方法
KR100422063B1 (ko) 2001-05-02 2004-03-10 삼성전자주식회사 반도체 장치의 캐패시터 및 그 제조방법
KR100423912B1 (ko) 2001-05-04 2004-03-24 삼성전자주식회사 씨모스형 반도체 장치 형성 방법
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US20020167048A1 (en) 2001-05-14 2002-11-14 Tweet Douglas J. Enhanced mobility NMOS and PMOS transistors using strained Si/SiGe layers on silicon-on-insulator substrates
JP2002343963A (ja) 2001-05-17 2002-11-29 Sony Corp 溝ゲート型電界効果トランジスタ及びその製造方法
US6624486B2 (en) 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
TW540154B (en) 2001-06-04 2003-07-01 Promos Technologies Inc Deep trench capacitor structure and its manufacturing method
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
KR100418581B1 (ko) 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6709929B2 (en) 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
DE10131276B4 (de) 2001-06-28 2007-08-02 Infineon Technologies Ag Feldeffekttransistor und Verfahren zu seiner Herstellung
US6541810B2 (en) 2001-06-29 2003-04-01 International Business Machines Corporation Modified vertical MOSFET and methods of formation thereof
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
KR20030002863A (ko) 2001-06-30 2003-01-09 주식회사 하이닉스반도체 코어를 가진 플러그 구조 상의 강유전체 메모리소자 및 그제조방법
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP3647777B2 (ja) 2001-07-06 2005-05-18 株式会社東芝 電界効果トランジスタの製造方法及び集積回路素子
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
JP2003031686A (ja) 2001-07-16 2003-01-31 Sony Corp 半導体記憶装置およびその製造方法
JP2003031693A (ja) 2001-07-19 2003-01-31 Toshiba Corp 半導体メモリ装置
GB0117949D0 (en) 2001-07-24 2001-09-19 Koninkl Philips Electronics Nv Trench-gate semiconductor devices and their manufacture
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
US6670675B2 (en) 2001-08-06 2003-12-30 International Business Machines Corporation Deep trench body SOI contacts with epitaxial layer formation
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6544874B2 (en) 2001-08-13 2003-04-08 International Business Machines Corporation Method for forming junction on insulator (JOI) structure
US6599684B2 (en) 2001-08-13 2003-07-29 Eastman Kodak Company Color photothermographic element comprising a dye-forming system for forming a novel infrared dye
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6489204B1 (en) 2001-08-20 2002-12-03 Episil Technologies, Inc. Save MOS device
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
US6878585B2 (en) 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
JP4865166B2 (ja) 2001-08-30 2012-02-01 新電元工業株式会社 トランジスタの製造方法、ダイオードの製造方法
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
JP2003077922A (ja) 2001-09-05 2003-03-14 Tdk Corp 薄膜パターンの作製方法、及び、それを用いたマイクロデバイスの製造方法
US7045859B2 (en) 2001-09-05 2006-05-16 International Rectifier Corporation Trench fet with self aligned source and contact
KR100431656B1 (ko) 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
JP4870288B2 (ja) 2001-09-11 2012-02-08 シャープ株式会社 半導体装置およびその製造方法と集積回路と半導体システム
JP2003092367A (ja) 2001-09-19 2003-03-28 Oki Electric Ind Co Ltd 半導体素子の製造方法
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP4969001B2 (ja) 2001-09-20 2012-07-04 株式会社半導体エネルギー研究所 半導体装置及びその作製方法
US7067875B2 (en) 2001-09-20 2006-06-27 Renesas Technology Corp. Semiconductor integrated circuit device and its manufacturing method
KR100432881B1 (ko) 2001-09-21 2004-05-22 삼성전자주식회사 강유전성 메모리 장치 및 그 제조방법
JP2003109333A (ja) 2001-09-28 2003-04-11 Toshiba Corp 記録媒体、記録媒体の製造方法、および記録装置
US6825093B2 (en) 2001-09-28 2004-11-30 Infineon Technologies Ag Process window enhancement for deep trench spacer conservation
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
JP3927783B2 (ja) 2001-10-16 2007-06-13 新光電気工業株式会社 半導体部品
EP1306894A1 (en) 2001-10-19 2003-05-02 Infineon Technologies AG A method of forming a silicon dioxide layer on a curved Si surface
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6649460B2 (en) 2001-10-25 2003-11-18 International Business Machines Corporation Fabricating a substantially self-aligned MOSFET
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4060572B2 (ja) 2001-11-06 2008-03-12 株式会社東芝 半導体記憶装置及びその製造方法
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
JP2003168749A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 不揮発性半導体記憶装置及びその製造方法
US6724028B2 (en) 2001-12-10 2004-04-20 Hans Gude Gudesen Matrix-addressable array of integrated transistor/memory structures
US6795326B2 (en) 2001-12-12 2004-09-21 Micron Technology, Inc. Flash array implementation with local and global bit lines
JP2003188371A (ja) 2001-12-17 2003-07-04 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6506642B1 (en) 2001-12-19 2003-01-14 Advanced Micro Devices, Inc. Removable spacer technique
US6744083B2 (en) 2001-12-20 2004-06-01 The Board Of Regents, The University Of Texas System Submicron MOSFET having asymmetric channel profile
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
JP4077312B2 (ja) * 2001-12-28 2008-04-16 株式会社東芝 発光素子の製造方法および発光素子
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
KR100825020B1 (ko) 2001-12-29 2008-04-24 주식회사 하이닉스반도체 반도체 메모리 소자의 캐패시터 제조방법
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US7078296B2 (en) 2002-01-16 2006-07-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFETs and methods for making the same
US6670642B2 (en) 2002-01-22 2003-12-30 Renesas Technology Corporation. Semiconductor memory device using vertical-channel transistors
KR100450669B1 (ko) 2002-01-30 2004-10-01 삼성전자주식회사 산소 침투 경로 및 캡슐화 장벽막을 구비하는 강유전체메모리 소자 및 그 제조 방법
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
KR100487519B1 (ko) 2002-02-05 2005-05-03 삼성전자주식회사 반도체 장치의 커패시터 및 그 제조 방법
DE10204688C1 (de) 2002-02-06 2003-10-09 Infineon Technologies Ag Speicherbaustein mit verbesserten elektrischen Eigenschaften
US7202139B2 (en) 2002-02-07 2007-04-10 Taiwan Semiconductor Manufacturing Company , Ltd. MOSFET device with a strained channel
KR100423900B1 (ko) 2002-02-08 2004-03-22 삼성전자주식회사 반도체 장치의 커패시터 형성 방법
US6716687B2 (en) 2002-02-11 2004-04-06 Micron Technology, Inc. FET having epitaxial silicon growth
CN100347827C (zh) 2002-02-20 2007-11-07 株式会社荏原制作所 抛光方法及研磨液
JP4262433B2 (ja) 2002-02-20 2009-05-13 株式会社日立製作所 半導体装置の製造方法
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
JP2003249437A (ja) 2002-02-26 2003-09-05 Sony Corp パターン形成方法および半導体装置の製造方法
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6617222B1 (en) 2002-02-27 2003-09-09 Micron Technology, Inc. Selective hemispherical silicon grain (HSG) conversion inhibitor for use during the manufacture of a semiconductor device
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6686624B2 (en) 2002-03-11 2004-02-03 Monolithic System Technology, Inc. Vertical one-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
JP4064695B2 (ja) 2002-03-19 2008-03-19 富士通株式会社 半導体装置の製造方法
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
TW527723B (en) 2002-03-20 2003-04-11 Macronix Int Co Ltd Non-volatile memory and fabrication thereof
KR100459707B1 (ko) 2002-03-21 2004-12-04 삼성전자주식회사 실린더형 커패시터를 포함하는 반도체 소자 및 그 제조 방법
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
JP2003297033A (ja) 2002-03-29 2003-10-17 Fuji Photo Film Co Ltd 記録テープカートリッジ
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
KR100473113B1 (ko) 2002-04-04 2005-03-08 삼성전자주식회사 반도체 장치의 커패시터 제조 방법
JP2003297957A (ja) 2002-04-05 2003-10-17 Mitsubishi Electric Corp 半導体装置及び半導体装置の製造方法
JP2003309192A (ja) 2002-04-17 2003-10-31 Fujitsu Ltd 不揮発性半導体メモリおよびその製造方法
KR100428791B1 (ko) 2002-04-17 2004-04-28 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
JP4103497B2 (ja) 2002-04-18 2008-06-18 ソニー株式会社 記憶装置とその製造方法および使用方法、半導体装置とその製造方法
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6806123B2 (en) 2002-04-26 2004-10-19 Micron Technology, Inc. Methods of forming isolation regions associated with semiconductor constructions
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6620724B1 (en) 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
JP4047631B2 (ja) 2002-05-28 2008-02-13 エルピーダメモリ株式会社 王冠構造のキャパシタを有する半導体集積回路装置およびその製造方法
US6573136B1 (en) 2002-05-30 2003-06-03 Infineon Technologies Ag Isolating a vertical gate contact structure
US6784479B2 (en) 2002-06-05 2004-08-31 Samsung Electronics Co., Ltd. Multi-layer integrated circuit capacitor electrodes
JP4102112B2 (ja) 2002-06-06 2008-06-18 株式会社東芝 半導体装置及びその製造方法
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6900521B2 (en) 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US7169711B1 (en) 2002-06-13 2007-01-30 Advanced Micro Devices, Inc. Method of using carbon spacers for critical dimension (CD) reduction
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
DE10226583B4 (de) 2002-06-14 2010-07-08 Qimonda Ag DRAM-Speicherzelle für schnellen Schreib-/Lesezugriff und Speicherzellenfeld
US6777725B2 (en) 2002-06-14 2004-08-17 Ingentix Gmbh & Co. Kg NROM memory circuit with recessed bitline
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20030235076A1 (en) 2002-06-21 2003-12-25 Micron Technology, Inc. Multistate NROM having a storage density much greater than 1 Bit per 1F2
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US6853587B2 (en) 2002-06-21 2005-02-08 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US7045844B2 (en) 2002-06-21 2006-05-16 Micron Technology, Inc. Memory cell and method for forming the same
US6710391B2 (en) 2002-06-26 2004-03-23 Texas Instruments Incorporated Integrated DRAM process/structure using contact pillars
DE10228807B4 (de) 2002-06-27 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Mikrostrukturelementen
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6664154B1 (en) 2002-06-28 2003-12-16 Advanced Micro Devices, Inc. Method of using amorphous carbon film as a sacrificial layer in replacement gate integration processes
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6642566B1 (en) 2002-06-28 2003-11-04 International Business Machines Corporation Asymmetric inside spacer for vertical transistor
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
KR100475272B1 (ko) 2002-06-29 2005-03-10 주식회사 하이닉스반도체 반도체소자 제조방법
US6960510B2 (en) 2002-07-01 2005-11-01 International Business Machines Corporation Method of making sub-lithographic features
US7182823B2 (en) 2002-07-05 2007-02-27 Olin Corporation Copper alloy containing cobalt, nickel and silicon
US7221596B2 (en) 2002-07-05 2007-05-22 Impinj, Inc. pFET nonvolatile memory
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
CN1212652C (zh) * 2002-07-19 2005-07-27 上海华虹(集团)有限公司 一种基于自组织的纳米颗粒图案的光刻方法
US6734063B2 (en) 2002-07-22 2004-05-11 Infineon Technologies Ag Non-volatile memory cell and fabrication method
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
DE10233760B4 (de) 2002-07-25 2007-05-03 Infineon Technologies Ag SRAM-Speicherzelle mit Älzgräben und deren Array-Anordnung
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
JP3934507B2 (ja) 2002-08-08 2007-06-20 株式会社東芝 半導体記憶装置および半導体記憶装置の製造方法
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
TW550827B (en) 2002-08-15 2003-09-01 Nanya Technology Corp Floating gate and method thereof
US6914289B2 (en) 2002-08-15 2005-07-05 Intel Corporation Hourglass ram
US6780691B2 (en) 2002-08-16 2004-08-24 Chartered Semiconductor Manufacturing Ltd. Method to fabricate elevated source/drain transistor with large area for silicidation
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6579759B1 (en) 2002-08-23 2003-06-17 International Business Machines Corporation Formation of self-aligned buried strap connector
US6858521B2 (en) 2002-12-31 2005-02-22 Samsung Electronics Co., Ltd. Method for fabricating spaced-apart nanostructures
US6727540B2 (en) 2002-08-23 2004-04-27 International Business Machines Corporation Structure and method of fabricating embedded DRAM having a vertical device array and a bordered bitline contact
US6734484B2 (en) 2002-08-26 2004-05-11 Intellignet Sources Development Corp. Vertical transistor DRAM structure and its manufacturing methods
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6888187B2 (en) 2002-08-26 2005-05-03 International Business Machines Corporation DRAM cell with enhanced SER immunity
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US7224024B2 (en) 2002-08-29 2007-05-29 Micron Technology, Inc. Single transistor vertical memory gain cell
US6888200B2 (en) 2002-08-30 2005-05-03 Micron Technology Inc. One transistor SOI non-volatile random access memory cell
JP2004096041A (ja) 2002-09-04 2004-03-25 Renesas Technology Corp 半導体装置およびその製造方法
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US7132206B2 (en) 2002-09-17 2006-11-07 International Business Machines Corporation Process and apparatus for minimizing thermal gradients across an advanced lithographic mask
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
JP4353685B2 (ja) 2002-09-18 2009-10-28 株式会社ルネサステクノロジ 半導体装置
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6867125B2 (en) 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US6744087B2 (en) 2002-09-27 2004-06-01 International Business Machines Corporation Non-volatile memory using ferroelectric gate field-effect transistors
US6696334B1 (en) 2002-09-30 2004-02-24 Advanced Micro Devices, Inc. Method for formation of a differential offset spacer
US6762085B2 (en) 2002-10-01 2004-07-13 Chartered Semiconductor Manufacturing Ltd. Method of forming a high performance and low cost CMOS device
GB2393785B (en) 2002-10-03 2005-08-31 Toshiba Res Europ Ltd Method of making a free standing structure
DE10246718A1 (de) 2002-10-07 2004-04-22 Infineon Technologies Ag Feldeffekttransistor mit lokaler Source-/Drainisolation sowie zugehöriges Herstellungsverfahren
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
US7002168B2 (en) 2002-10-15 2006-02-21 Cymer, Inc. Dense plasma focus radiation source
JP4058327B2 (ja) 2002-10-18 2008-03-05 富士通株式会社 半導体装置の製造方法
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP2004153037A (ja) 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US7015089B2 (en) 2002-11-07 2006-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method to improve etching of resist protective oxide (RPO) to prevent photo-resist peeling
TW588413B (en) 2002-11-07 2004-05-21 Winbond Electronics Corp Manufacturing method and device of memory with different depths of isolation trench
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US6804142B2 (en) 2002-11-12 2004-10-12 Micron Technology, Inc. 6F2 3-transistor DRAM gain cell
US6645818B1 (en) 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
US6734482B1 (en) 2002-11-15 2004-05-11 Micron Technology, Inc. Trench buried bit line memory devices
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7250650B2 (en) 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7022561B2 (en) 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
JP2004193483A (ja) 2002-12-13 2004-07-08 Renesas Technology Corp 半導体記憶装置
DE10259331B4 (de) 2002-12-18 2005-02-10 Infineon Technologies Ag Herstellungsverfahren für eine Photomaske für eine integrierte Schaltung und entsprechende Photomaske
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6812150B2 (en) 2002-12-26 2004-11-02 Micron Technology, Inc. Methods for making semiconductor device structures with capacitor containers and contact apertures having increased aspect ratios
TWI231042B (en) 2002-12-27 2005-04-11 Wintek Corp Method and device to promote the yield rate and uniformity of AMOLED panel
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US20040203525A1 (en) 2002-12-31 2004-10-14 Motorola, Inc. Electronic device having two-sided keypad and method of forming same
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
US6828630B2 (en) 2003-01-07 2004-12-07 International Business Machines Corporation CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
TW578274B (en) 2003-01-17 2004-03-01 Nanya Technology Corp Vertical flash memory cell with tip-shape floating gate and method therefor
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6670227B1 (en) 2003-02-10 2003-12-30 Advanced Micro Devices, Inc. Method for fabricating devices in core and periphery semiconductor regions using dual spacers
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
DE10362018B4 (de) 2003-02-14 2007-03-08 Infineon Technologies Ag Anordnung und Verfahren zur Herstellung von vertikalen Transistorzellen und transistorgesteuerten Speicherzellen
JP2004247656A (ja) 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置及びその製造方法
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2005033098A (ja) 2003-03-05 2005-02-03 Nec Electronics Corp 半導体装置及びその製造方法
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
KR100508756B1 (ko) 2003-03-12 2005-08-17 삼성전자주식회사 반도체 장치의 트랜지스터 형성 방법
US7105089B2 (en) 2003-03-13 2006-09-12 3M Innovative Properties Company Liquid—liquid extraction system and method
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
US7186649B2 (en) 2003-04-08 2007-03-06 Dongbu Electronics Co. Ltd. Submicron semiconductor device and a fabricating method thereof
US6720232B1 (en) 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
KR100870176B1 (ko) 2003-06-27 2008-11-25 삼성전자주식회사 니켈 합금 샐리사이드 공정, 이를 사용하여 반도체소자를제조하는 방법, 그에 의해 형성된 니켈 합금 실리사이드막및 이를 사용하여 제조된 반도체소자
KR100505113B1 (ko) 2003-04-23 2005-07-29 삼성전자주식회사 모스 트랜지스터 및 그 제조방법
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
TWI222180B (en) 2003-04-29 2004-10-11 Nanya Technology Corp Method for forming vertical transistor and trench capacitor
US7041575B2 (en) 2003-04-29 2006-05-09 Micron Technology, Inc. Localized strained semiconductor on insulator
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
US6764903B1 (en) 2003-04-30 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Dual hard mask layer patterning method
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
US6794235B1 (en) 2003-06-05 2004-09-21 Texas Instruments Incorporated Method of manufacturing a semiconductor device having a localized halo implant
TW591741B (en) 2003-06-09 2004-06-11 Taiwan Semiconductor Mfg Fabrication method for multiple spacer widths
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
KR100568854B1 (ko) 2003-06-17 2006-04-10 삼성전자주식회사 반도체 메모리에서의 리세스 채널을 갖는 트랜지스터 형성방법
US7105406B2 (en) 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
US7192811B2 (en) 2003-06-23 2007-03-20 Macronix International Co., Ltd. Read-only memory device coded with selectively insulated gate electrodes
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100844983B1 (ko) 2003-06-25 2008-07-09 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100478253B1 (ko) 2003-06-26 2005-03-23 삼성전자주식회사 반도체 소자 형성방법
KR100526880B1 (ko) 2003-06-27 2005-11-09 삼성전자주식회사 반도체 메모리에서의 스토리지 노드 콘택 형성방법과 그에따른 구조
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
KR100511045B1 (ko) 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US6909136B2 (en) 2003-07-14 2005-06-21 Nanya Technology Corp. Trench-capacitor DRAM cell having a folded gate conductor
JP2005032982A (ja) 2003-07-14 2005-02-03 Renesas Technology Corp 半導体装置
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
US7440255B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Capacitor constructions and methods of forming
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6972988B1 (en) 2003-08-08 2005-12-06 Micron Technology, Inc. State save-on-power-down using GMR non-volatile elements
US7049702B2 (en) 2003-08-14 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene structure at semiconductor substrate level
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP2005060583A (ja) 2003-08-18 2005-03-10 Kansai Tlo Kk 垂直配向ラメラ構造を有するブロック共重合体膜の製造方法
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US6784069B1 (en) 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7112483B2 (en) 2003-08-29 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a device having multiple silicide types
KR100499175B1 (ko) 2003-09-01 2005-07-01 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20050045918A1 (en) 2003-09-02 2005-03-03 Armin Reith Hybrid vertical twisted bitline architecture
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7474215B2 (en) 2006-04-28 2009-01-06 Checkpoint Systems, Inc. Alarm systems, remote communication devices, and article security methods
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US7384868B2 (en) 2003-09-15 2008-06-10 International Business Machines Corporation Reduction of silicide formation temperature on SiGe containing substrates
EP2937897A3 (en) 2003-09-15 2016-03-23 Nuvotronics LLC Device package and methods for the fabrication and testing thereof
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
JP2005093808A (ja) 2003-09-18 2005-04-07 Fujio Masuoka メモリセルユニット、それを備えてなる不揮発性半導体記憶装置及びメモリセルアレイの駆動方法
US20060192249A1 (en) 2004-09-20 2006-08-31 Samsung Electronics Co., Ltd. Field effect transistors with vertically oriented gate electrodes and methods for fabricating the same
KR100563095B1 (ko) 2003-09-24 2006-03-27 동부아남반도체 주식회사 반도체 소자의 실리사이드 형성방법
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
JP2005097442A (ja) 2003-09-25 2005-04-14 Ube Ind Ltd パターン表面とその製造方法
DE10344814B3 (de) 2003-09-26 2005-07-14 Infineon Technologies Ag Speichervorrichtung zur Speicherung elektrischer Ladung und Verfahren zu deren Herstellung
US20050156208A1 (en) 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7060581B2 (en) 2003-10-09 2006-06-13 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
JP2005116969A (ja) 2003-10-10 2005-04-28 Toshiba Corp 半導体装置及びその製造方法
US7112454B2 (en) 2003-10-14 2006-09-26 Micron Technology, Inc. System and method for reducing shorting in memory cells
US7037770B2 (en) 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
JP4746835B2 (ja) 2003-10-20 2011-08-10 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置
TWI220560B (en) 2003-10-27 2004-08-21 Powerchip Semiconductor Corp NAND flash memory cell architecture, NAND flash memory cell array, manufacturing method and operating method of the same
US7026247B2 (en) 2003-10-28 2006-04-11 International Business Machines Corporation Nanocircuit and self-correcting etching method for fabricating same
TWI268609B (en) 2003-10-31 2006-12-11 United Microelectronics Corp Formation method of SiGe HBT
JP2005142203A (ja) 2003-11-04 2005-06-02 Elpida Memory Inc 半導体装置およびその製造方法
JP2005142321A (ja) 2003-11-06 2005-06-02 Nec Electronics Corp 半導体集積回路装置およびその製造方法
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
KR100520223B1 (ko) 2003-11-06 2005-10-11 삼성전자주식회사 반도체 소자 제조방법 및 그에 따른 구조
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
US6962846B2 (en) 2003-11-13 2005-11-08 Micron Technology, Inc. Methods of forming a double-sided capacitor or a contact using a sacrificial structure
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
KR100546395B1 (ko) 2003-11-17 2006-01-26 삼성전자주식회사 반도체소자의 커패시터 및 그 제조방법
US7049651B2 (en) 2003-11-17 2006-05-23 Infineon Technologies Ag Charge-trapping memory device including high permittivity strips
US7091566B2 (en) 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
TWI274397B (en) 2003-11-20 2007-02-21 Winbond Electronics Corp Method for forming narrow trench structure and method for forming gate structure with narrow spacing
US7060546B2 (en) 2003-11-26 2006-06-13 International Business Machines Corporation Ultra-thin SOI MOSFET method and structure
KR101002928B1 (ko) 2003-11-29 2010-12-27 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법
US6946709B2 (en) 2003-12-02 2005-09-20 International Business Machines Corporation Complementary transistors having different source and drain extension spacing controlled by different spacer sizes
JP2005175090A (ja) 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法
US7050330B2 (en) 2003-12-16 2006-05-23 Micron Technology, Inc. Multi-state NROM device
DE10360000B4 (de) 2003-12-19 2009-12-10 Advanced Micro Devices, Inc., Sunnyvale Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung
WO2005062962A2 (en) 2003-12-19 2005-07-14 Imclone Systems Incorporated Methods and compositions for directing migration of neural progenitor cells
KR100518606B1 (ko) 2003-12-19 2005-10-04 삼성전자주식회사 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법
US7019346B2 (en) 2003-12-23 2006-03-28 Intel Corporation Capacitor having an anodic metal oxide substrate
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7153734B2 (en) 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
DE10361695B3 (de) 2003-12-30 2005-02-03 Infineon Technologies Ag Transistorstruktur mit gekrümmtem Kanal, Speicherzelle und Speicherzellenfeld für DRAMs sowie Verfahren zur Herstellung eines DRAMs
KR100567764B1 (ko) 2003-12-30 2006-04-05 동부아남반도체 주식회사 비 휘발성 메모리 소자 및 그 제조방법
JP3927179B2 (ja) 2004-01-06 2007-06-06 株式会社東芝 半導体記憶装置およびその製造方法
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
KR100553835B1 (ko) 2004-01-26 2006-02-24 삼성전자주식회사 캐패시터 및 그 제조 방법
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US6878991B1 (en) 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US6974743B2 (en) 2004-02-02 2005-12-13 Infineon Technologies Ag Method of making encapsulated spacers in vertical pass gate DRAM and damascene logic gates
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
JP4342429B2 (ja) 2004-02-09 2009-10-14 株式会社東芝 半導体装置の製造方法
KR100568733B1 (ko) 2004-02-10 2006-04-07 삼성전자주식회사 개선된 구조적 안정성을 갖는 캐패시터와 그 제조 방법 및이를 포함하는 반도체 장치와 그 제조 방법
KR100574317B1 (ko) 2004-02-19 2006-04-26 삼성전자주식회사 게이트 구조물, 이를 갖는 반도체 장치 및 그 형성 방법
US7153778B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
JP2006096965A (ja) 2004-02-20 2006-04-13 Tokyo Ohka Kogyo Co Ltd 高分子化合物、該高分子化合物を含有するフォトレジスト組成物、およびレジストパターン形成方法
KR100577565B1 (ko) 2004-02-23 2006-05-08 삼성전자주식회사 핀 전계효과 트랜지스터의 제조방법
US7468323B2 (en) 2004-02-27 2008-12-23 Micron Technology, Inc. Method of forming high aspect ratio structures
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7030012B2 (en) 2004-03-10 2006-04-18 International Business Machines Corporation Method for manufacturing tungsten/polysilicon word line structure in vertical DRAM
US7129114B2 (en) 2004-03-10 2006-10-31 Micron Technology, Inc. Methods relating to singulating semiconductor wafers and wafer scale assemblies
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US8486287B2 (en) 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US7153780B2 (en) 2004-03-24 2006-12-26 Intel Corporation Method and apparatus for self-aligned MOS patterning
US20050216285A1 (en) 2004-03-26 2005-09-29 Barroso Carlos J Flavor selection and optimization process
DE102004016073B4 (de) 2004-03-30 2010-12-23 Texas Instruments Deutschland Gmbh Verfahren zur Erzeugung eines Impulsausgangssignals aus einem periodischen Sägezahnsignal und einer Referenzspannung, und getakteter Stromwandler
WO2005097239A1 (en) 2004-03-31 2005-10-20 Cook Incorporated Apparatus for an improved high pressure medicinal dispenser
US7005379B2 (en) 2004-04-08 2006-02-28 Micron Technology, Inc. Semiconductor processing methods for forming electrical contacts
US7279379B2 (en) 2004-04-26 2007-10-09 Micron Technology, Inc. Methods of forming memory arrays; and methods of forming contacts to bitlines
US7053453B2 (en) 2004-04-27 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate contact and method of forming the same
KR100546409B1 (ko) 2004-05-11 2006-01-26 삼성전자주식회사 리세스 채널을 구비한 2-비트 소노스형 메모리 셀 및 그제조방법
US7132327B2 (en) 2004-05-25 2006-11-07 Freescale Semiconductor, Inc. Decoupled complementary mask patterning transfer method
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
JP4375619B2 (ja) 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
KR100614240B1 (ko) 2004-06-10 2006-08-18 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
JP4543767B2 (ja) 2004-06-10 2010-09-15 株式会社ニコン 露光装置及びデバイス製造方法
KR100539268B1 (ko) 2004-06-24 2005-12-27 삼성전자주식회사 반도체 메모리 소자의 제조 방법
DE102004031385B4 (de) 2004-06-29 2010-12-09 Qimonda Ag Verfahren zur Herstellung von Stegfeldeffekttransistoren in einer DRAM-Speicherzellenanordnung, Feldeffekttransistoren mit gekrümmtem Kanal und DRAM-Speicherzellenanordnung
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
KR100615570B1 (ko) 2004-07-05 2006-08-25 삼성전자주식회사 둥근 활성코너를 갖는 리세스 채널 모스 트랜지스터의제조방법
US7879694B1 (en) 2004-07-13 2011-02-01 National Semiconductor Corporation System and method for applying a pre-gate plasma etch in a semiconductor device manufacturing process
JP4376715B2 (ja) 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
US7396767B2 (en) 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
KR100615598B1 (ko) 2004-07-19 2006-08-25 삼성전자주식회사 평탄화 절연막을 갖는 반도체 장치들 및 그 형성방법들
US7518182B2 (en) 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7176125B2 (en) 2004-07-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a static random access memory with a buried local interconnect
KR100629263B1 (ko) 2004-07-23 2006-09-29 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
US7074666B2 (en) 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
DE102004036461A1 (de) 2004-07-28 2006-02-16 Infineon Technologies Ag Elektronische Datenspeichervorrichtung für hohen Lesestrom
US20060024958A1 (en) 2004-07-29 2006-02-02 Abbas Ali HSQ/SOG dry strip process
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7160788B2 (en) 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7442600B2 (en) 2004-08-24 2008-10-28 Micron Technology, Inc. Methods of forming threshold voltage implant regions
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7235479B2 (en) 2004-08-26 2007-06-26 Applied Materials, Inc. Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7241655B2 (en) 2004-08-30 2007-07-10 Micron Technology, Inc. Method of fabricating a vertical wrap-around-gate field-effect-transistor for high density, low voltage logic and memory array
US7365385B2 (en) 2004-08-30 2008-04-29 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US20060046055A1 (en) 2004-08-30 2006-03-02 Nan Ya Plastics Corporation Superfine fiber containing grey dope dyed component and the fabric made of the same
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7244663B2 (en) 2004-08-31 2007-07-17 Micron Technology, Inc. Wafer reinforcement structure and methods of fabrication
EP1630881B1 (en) 2004-08-31 2011-11-16 STMicroelectronics Srl Hosting structure of nanometric elements and corresponding manufacturing method
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7042047B2 (en) 2004-09-01 2006-05-09 Micron Technology, Inc. Memory cell, array, device and system with overlapping buried digit line and active area and method for forming same
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100618861B1 (ko) 2004-09-09 2006-08-31 삼성전자주식회사 로컬 리세스 채널 트랜지스터를 구비하는 반도체 소자 및그 제조 방법
US7476910B2 (en) 2004-09-10 2009-01-13 Kabushiki Kaisha Toshiba Semiconductor light emitting device and method for manufacturing the same
US20060073613A1 (en) 2004-09-29 2006-04-06 Sanjeev Aggarwal Ferroelectric memory cells and methods for fabricating ferroelectric memory cells and ferroelectric capacitors thereof
US7115500B1 (en) 2004-10-04 2006-10-03 National Semiconductor Corporation System and method for providing a dry-wet-dry etch procedure to create a sidewall profile of a via
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7098536B2 (en) 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
TWM263307U (en) 2004-10-26 2005-05-01 Duo Yeu Metal Co Ltd Vessel structure improvement
US20060094180A1 (en) 2004-11-02 2006-05-04 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US7176130B2 (en) 2004-11-12 2007-02-13 Freescale Semiconductor, Inc. Plasma treatment for surface of semiconductor device
US7214629B1 (en) 2004-11-16 2007-05-08 Xilinx, Inc. Strain-silicon CMOS with dual-stressed film
KR100641916B1 (ko) 2004-11-18 2006-11-02 주식회사 하이닉스반도체 반도체소자의 저장전극 형성방법
US7608503B2 (en) 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
JP5377857B2 (ja) 2004-11-22 2013-12-25 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション 非周期的パターン共重合体フィルムのための方法及び組成
US7381615B2 (en) 2004-11-23 2008-06-03 Sandisk Corporation Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US20060113588A1 (en) 2004-11-29 2006-06-01 Sillicon-Based Technology Corp. Self-aligned trench-type DMOS transistor structure and its manufacturing methods
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7312131B2 (en) 2004-11-30 2007-12-25 Promos Technologies Inc. Method for forming multilayer electrode capacitor
US7193254B2 (en) 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7199419B2 (en) 2004-12-13 2007-04-03 Micron Technology, Inc. Memory structure for reduced floating body effect
US7476920B2 (en) 2004-12-15 2009-01-13 Infineon Technologies Ag 6F2 access transistor arrangement and semiconductor memory device
JP4541125B2 (ja) 2004-12-15 2010-09-08 パナソニック株式会社 高誘電率ゲート絶縁膜を備えた電界効果トランジスタを有する半導体装置及びその製造方法
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
KR100640616B1 (ko) 2004-12-21 2006-11-01 삼성전자주식회사 매몰 게이트 패턴을 포함하는 전계 효과 트랜지스터구조물 및 그것을 포함하는 반도체 소자의 제조방법
JPWO2006070474A1 (ja) 2004-12-28 2008-06-12 スパンション エルエルシー 半導体装置の製造方法
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7432553B2 (en) 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
JP2008529192A (ja) 2005-01-20 2008-07-31 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 可変クランピング力を有するクランパシステムを有するディスク駆動ユニット
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
US7238580B2 (en) 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
FR2881268B1 (fr) 2005-01-26 2007-04-06 Bosch Rexroth D S I Soc Par Ac Dispositif de controle rotatif
US7323379B2 (en) 2005-02-03 2008-01-29 Mosys, Inc. Fabrication process for increased capacitance in an embedded DRAM memory
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
JP2006217447A (ja) 2005-02-07 2006-08-17 Yazaki Corp 車両用表示装置
US7163853B2 (en) 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
US7227331B2 (en) 2005-02-14 2007-06-05 International Rectifier Corporation Safety interlock and protection circuit for permanent magnet motor drive
US7253477B2 (en) 2005-02-15 2007-08-07 Semiconductor Components Industries, L.L.C. Semiconductor device edge termination structure
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
CN101124672B (zh) 2005-02-18 2014-07-30 富士通半导体股份有限公司 非易失性半导体存储装置
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
US7098099B1 (en) 2005-02-24 2006-08-29 Texas Instruments Incorporated Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
JP2006237196A (ja) 2005-02-24 2006-09-07 Matsushita Electric Ind Co Ltd 半導体記憶装置
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
JP2006261193A (ja) 2005-03-15 2006-09-28 Toshiba Corp 半導体記憶装置およびその製造方法
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7431927B2 (en) 2005-03-24 2008-10-07 Epitomics, Inc. TNFα-neutralizing antibodies
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7501676B2 (en) 2005-03-25 2009-03-10 Micron Technology, Inc. High density semiconductor memory
JP4128574B2 (ja) 2005-03-28 2008-07-30 富士通株式会社 半導体装置の製造方法
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7341909B2 (en) 2005-04-06 2008-03-11 Micron Technology, Inc. Methods of forming semiconductor constructions
JP4541220B2 (ja) 2005-04-13 2010-09-08 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
JP2006313784A (ja) 2005-05-06 2006-11-16 Nec Electronics Corp 半導体装置およびその製造方法
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
JP4897947B2 (ja) 2005-05-31 2012-03-14 古河電気工業株式会社 ナノ構造体、多孔質ナノ構造体および機能性ナノ構造体の製造方法
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7432177B2 (en) 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
JP2006352005A (ja) 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
KR100608386B1 (ko) 2005-06-30 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
DE102005030583B4 (de) 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
US8338887B2 (en) 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7244644B2 (en) 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
TW200705541A (en) 2005-07-25 2007-02-01 Li Bing Huan Manufacturing method of nano-sticker
US7589385B2 (en) 2005-07-26 2009-09-15 United Microelectronics Corp. Semiconductor CMOS transistors and method of manufacturing the same
US7491650B2 (en) 2005-07-27 2009-02-17 Micron Technology, Inc. Etch compositions and methods of processing a substrate
JP2007035957A (ja) 2005-07-27 2007-02-08 Toshiba Corp 半導体装置とその製造方法
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US20070037101A1 (en) 2005-08-15 2007-02-15 Fujitsu Limited Manufacture method for micro structure
TWI278069B (en) 2005-08-23 2007-04-01 Nanya Technology Corp Method of fabricating a trench capacitor having increased capacitance
US7608523B2 (en) 2005-08-26 2009-10-27 Disco Corporation Wafer processing method and adhesive tape used in the wafer processing method
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7226845B2 (en) 2005-08-30 2007-06-05 Micron Technology, Inc. Semiconductor constructions, and methods of forming capacitor devices
US7713813B2 (en) 2005-08-31 2010-05-11 Micron Technology, Inc. Methods of forming capacitors
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7772116B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US20070057304A1 (en) 2005-09-12 2007-03-15 Infineon Technologies Ag Capacitor structure, memory cell and method for forming a capacitor structure
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
KR100713121B1 (ko) 2005-09-27 2007-05-02 한국전자통신연구원 칩과 이를 이용한 칩 스택 및 그 제조방법
US7294888B1 (en) 2005-09-30 2007-11-13 Xilinx, Inc. CMOS-compatible non-volatile memory cell with lateral inter-poly programming layer
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7271063B2 (en) 2005-10-13 2007-09-18 Elite Semiconductor Memory Technology, Inc. Method of forming FLASH cell array having reduced word line pitch
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US7329596B2 (en) 2005-10-26 2008-02-12 International Business Machines Corporation Method for tuning epitaxial growth by interfacial doping and structure including same
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
US20070099328A1 (en) 2005-10-31 2007-05-03 Yuan-Sheng Chiang Semiconductor device and interconnect structure and their respective fabricating methods
US7544621B2 (en) 2005-11-01 2009-06-09 United Microelectronics Corp. Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method
US7850836B2 (en) 2005-11-09 2010-12-14 Nanyang Technological University Method of electro-depositing a conductive material in at least one through-hole via of a semiconductor substrate
US7350441B2 (en) 2005-11-15 2008-04-01 3M Innovative Properties Company Cutting tool having variable movement at two simultaneously independent speeds in an x-direction into a work piece for making microstructures
US7566609B2 (en) 2005-11-29 2009-07-28 International Business Machines Corporation Method of manufacturing a semiconductor structure
JP2007165627A (ja) 2005-12-14 2007-06-28 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR100843139B1 (ko) 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US8716772B2 (en) 2005-12-28 2014-05-06 Micron Technology, Inc. DRAM cell design with folded digitline sense amplifier
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US7807536B2 (en) 2006-02-10 2010-10-05 Fairchild Semiconductor Corporation Low resistance gate for power MOSFET applications and method of manufacture
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US7897058B2 (en) 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
JP2006135364A (ja) 2006-02-16 2006-05-25 Renesas Technology Corp 半導体集積回路装置の製造方法
US20070207622A1 (en) 2006-02-23 2007-09-06 Micron Technology, Inc. Highly selective doped oxide etchant
US7495946B2 (en) 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
JP2007273859A (ja) 2006-03-31 2007-10-18 Renesas Technology Corp 半導体装置およびその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US20070257323A1 (en) 2006-05-05 2007-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked contact structure and method of fabricating the same
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP2008004738A (ja) 2006-06-22 2008-01-10 Elpida Memory Inc 半導体装置及びその製造方法
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
KR100763538B1 (ko) 2006-08-29 2007-10-05 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 미세 패턴의 형성방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US20080057687A1 (en) 2006-09-01 2008-03-06 Ngimat Co., A Georgia Corporation Selective area deposition and devices formed therefrom
US7825460B2 (en) 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US20080070356A1 (en) 2006-09-14 2008-03-20 Advanced Micro Devices, Inc. Trench replacement gate process for transistors having elevated source and drain regions
US7410856B2 (en) 2006-09-14 2008-08-12 Micron Technology, Inc. Methods of forming vertical transistors
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
JP5132117B2 (ja) 2006-10-10 2013-01-30 キヤノン株式会社 パターン形成方法
US7902081B2 (en) 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
KR100752674B1 (ko) 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US20080113483A1 (en) 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7494870B2 (en) 2007-01-12 2009-02-24 Sandisk Corporation Methods of forming NAND memory with virtual channel
US7732275B2 (en) 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
KR100840782B1 (ko) 2007-01-16 2008-06-23 삼성전자주식회사 실록산 폴리머 조성물 및 이를 이용한 커패시터 제조 방법
US7838948B2 (en) 2007-01-30 2010-11-23 Infineon Technologies Ag Fin interconnects for multigate FET circuit blocks
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP4950702B2 (ja) 2007-03-01 2012-06-13 株式会社東芝 半導体記憶装置の製造方法
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7807580B2 (en) 2007-04-30 2010-10-05 Spansion Llc Triple poly-si replacement scheme for memory devices
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009054956A (ja) 2007-08-29 2009-03-12 Toshiba Corp 半導体メモリ
US8221852B2 (en) 2007-09-14 2012-07-17 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using titanium-based precursors
US7684245B2 (en) 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR101374323B1 (ko) 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
DE102008007029B4 (de) 2008-01-31 2014-07-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Betrieb einer elektronischen Schaltung mit körpergesteuertem Doppelkanaltransistor und SRAM-Zelle mit körpergesteuertem Doppelkanaltransistor
US8215074B2 (en) 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7910971B2 (en) 2008-08-07 2011-03-22 Micron Technology, Inc. Methods of forming vertical field effect transistors, vertical field effect transistors, and dram cells
US8268695B2 (en) 2008-08-13 2012-09-18 Micron Technology, Inc. Methods of making capacitors
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8357603B2 (en) 2009-12-18 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate fill and method of making
KR101093561B1 (ko) 2010-01-15 2011-12-14 주식회사 하이닉스반도체 홀 패턴 제조 방법
US7871909B1 (en) 2010-01-19 2011-01-18 Sandisk 3D Llc Methods of using single spacer to triple line/space frequency
US8440573B2 (en) 2010-01-26 2013-05-14 Lam Research Corporation Method and apparatus for pattern collapse free wet processing of semiconductor devices
US8697517B2 (en) 2010-03-16 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Reduced substrate coupling for inductors in semiconductor devices
JP2011198806A (ja) 2010-03-17 2011-10-06 Toshiba Corp 半導体記憶装置及びその製造方法
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices
US8266556B2 (en) 2010-08-03 2012-09-11 International Business Machines Corporation Fracturing continuous photolithography masks
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8884966B2 (en) 2011-08-24 2014-11-11 Hewlett-Packard Development Company, L.P. Visualizing a scatter plot using real-time backward rewrite
JP5806974B2 (ja) 2012-05-17 2015-11-10 日本電信電話株式会社 近隣情報検索装置及び方法及びプログラム

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9298870B1 (en) 2012-05-16 2016-03-29 International Business Machines Corporation Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
CN103425813A (zh) * 2012-05-16 2013-12-04 国际商业机器公司 用于设计在衬底上的层中的导向图形开口的方法
CN103425813B (zh) * 2012-05-16 2016-04-13 国际商业机器公司 用于设计在衬底上的层中的导向图形开口的方法
US9613801B2 (en) 2013-03-14 2017-04-04 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US10490402B2 (en) 2013-09-04 2019-11-26 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US11538684B2 (en) 2013-09-04 2022-12-27 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9412611B2 (en) 2013-10-20 2016-08-09 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly to precisely cut lines
US9418860B2 (en) 2013-10-20 2016-08-16 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9715172B2 (en) 2013-10-20 2017-07-25 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
TWI609242B (zh) * 2013-10-20 2017-12-21 東京威力科創股份有限公司 利用圖案磊晶定向自組裝以精確地切割線部
CN105705997A (zh) * 2013-11-08 2016-06-22 Asml荷兰有限公司 生成用于定向自组装的引导模板的方法
US10642152B2 (en) 2013-11-08 2020-05-05 Asml Netherlands B.V Methodology to generate a guiding template for directed self-assembly
CN103560109A (zh) * 2013-11-13 2014-02-05 宁波市鄞州科启动漫工业技术有限公司 多接触孔的形成方法
US9728419B2 (en) 2013-12-23 2017-08-08 International Business Machines Corporation Fin density control of multigate devices through sidewall image transfer processes
CN104733322A (zh) * 2013-12-23 2015-06-24 国际商业机器公司 用于制造多栅器件的鳍的方法和用于制造鳍的芯结构
CN107251220A (zh) * 2015-02-10 2017-10-13 高通股份有限公司 包括多个过孔连接器和具有梯形状的金属结构的集成电路器件
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning

Also Published As

Publication number Publication date
EP2165355A1 (en) 2010-03-24
WO2008150673A1 (en) 2008-12-11
US10515801B2 (en) 2019-12-24
US20080299774A1 (en) 2008-12-04
KR20100032397A (ko) 2010-03-25
JP5110409B2 (ja) 2012-12-26
CN101681812B (zh) 2013-03-13
KR101547706B1 (ko) 2015-08-26
US7923373B2 (en) 2011-04-12
EP2165355B1 (en) 2013-10-30
US20110033786A1 (en) 2011-02-10
TWI360161B (en) 2012-03-11
JP2010531051A (ja) 2010-09-16
TW200908091A (en) 2009-02-16

Similar Documents

Publication Publication Date Title
CN101681812B (zh) 使用自组装材料的间距倍增
US7579278B2 (en) Topography directed patterning
US8592940B2 (en) Topography based patterning
US8123968B2 (en) Multiple deposition for integration of spacers in pitch multiplication process
US8003310B2 (en) Masking techniques and templates for dense semiconductor fabrication
US7390746B2 (en) Multiple deposition for integration of spacers in pitch multiplication process
JP5263547B2 (ja) ピッチを2倍以上にマルチプリケーションするための単一スペーサープロセスと、関連する中間ic構造
US7902074B2 (en) Simplified pitch doubling process flow
US7745339B2 (en) Method for forming fine pattern of semiconductor device
CN103488041A (zh) 利用间隔物掩模的频率加倍
KR101428820B1 (ko) 토포그래피 지향 패터닝
CN101339361A (zh) 利用间隔物掩模的频率加倍
KR101368544B1 (ko) 간이화한 피치 더블링 프로세스 플로우

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant