CN101738871A - 用于光刻术模型校准的图案选择 - Google Patents

用于光刻术模型校准的图案选择 Download PDF

Info

Publication number
CN101738871A
CN101738871A CN200910212013A CN200910212013A CN101738871A CN 101738871 A CN101738871 A CN 101738871A CN 200910212013 A CN200910212013 A CN 200910212013A CN 200910212013 A CN200910212013 A CN 200910212013A CN 101738871 A CN101738871 A CN 101738871A
Authority
CN
China
Prior art keywords
test pattern
model
group
pattern
pond
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910212013A
Other languages
English (en)
Other versions
CN101738871B (zh
Inventor
曹宇
叶军
邵文晋
R·J·G·古森斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brion Technologies Inc
Original Assignee
Brion Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brion Technologies Inc filed Critical Brion Technologies Inc
Publication of CN101738871A publication Critical patent/CN101738871A/zh
Application granted granted Critical
Publication of CN101738871B publication Critical patent/CN101738871B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Abstract

本发明主要涉及用于光刻模型校准的图案选择的方法和设备。根据一些方面,本发明的图案选择算法可以被应用于任何已有的候选测试图案池。根据一些方面,本发明自动地选择这些测试图案,其能够更有效地由已有的候选测试图案池来确定优化的模型参数值,如与设计优化图案相反。根据另外的方面,根据本发明的已选择的测试图案组能够激发模型公式中的所有已知的物理和化学特性,确保测试图案的晶片数据可以将模型校准推动成优化的参数值,其实现了由模型公式施加的预测精度的上边界。

Description

用于光刻术模型校准的图案选择
技术领域
本发明的技术领域主要涉及用于与光刻过程相关的模型校准的测试图案,尤其涉及从给定的测试图案的池中选出优化的测试图案组。
背景技术
例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,掩模可以包含对应于所述IC的单层的电路图案,并且可以将该图案成像到已经覆盖有一层辐射敏感材料(抗蚀剂)的衬底(例如,硅晶片)上的目标部分(例如,包括一个或多个管芯)上。通常,单个晶片将包含相邻目标部分的整个网络,所述相邻目标部分通过投影系统被一次一个地连续辐射。在一种类型的光刻投影设备中,通过将全部掩模图案一次曝光到所述目标部分上来辐射每一目标部分;这样的设备通常称作为晶片步进机。在通常称为步进-扫描设备的可选设备中,通过沿给定的参考方向(“扫描”方向)逐步扫描在投影束下面的掩模图案、同时沿与该方向平行或反向平行的方向同步地扫描所述衬底台来辐射每一目标部分。因为,通常情况下,投影系统将具有放大率因子(magnification factor)M(通常M<1),衬底台扫描的速度V将是掩模台扫描的速度的M倍。这里所述的更多有关光刻设备的信息可以从(例如)US专利No.6,046,792中得到,在这里以参考的方式将其内容并入本文中。
在使用光刻投影设备的制造过程中,掩模图案被成像到至少部分地由一层辐射敏感材料(抗蚀剂)覆盖的衬底上。在该成像步骤之前,衬底可以经过多种工序,例如涂底料、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经过其它工序,例如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检验。这一系列的工序被用作对器件(例如IC)的单层进行图案化的基础。然后,这样的图案化层可以经过多种处理,例如蚀刻、离子注入(掺杂)、金属化、氧化、化学-机械抛光等,所有这些处理用于完成对一个单层的处理。如果需要多个层,则对于每个新的层必须重复整个工序或其变体。最后,在衬底(晶片)上将形成器件的阵列。然后,这些器件通过例如切片(dicing)或切割的技术彼此分离开,然后独立的器件可以安装到连接到插脚等的载体上。
为了简化起见,下文中投影系统可被称为“透镜”;然而,这个术语应该被广义地解释为包括各种类型的投影系统,包括例如折射式光学系统、反射式光学系统和反射折射式系统。辐射系统还可以包括根据用于引导、成形或控制投影辐射束的这些设计类型中的任意类型来操作的部件,并且这些部件在下文中还可以被统称为或单独地称为“透镜”。而且,光刻设备可以是具有两个或更多个衬底台(和/或两个或更多个掩模台)的类型。在这种“多平台”的装置中,附加的台可以并行地使用,或者可以在一个或更多个台上执行预备步骤的同时使用一个或更多个其它的台进行曝光。例如,在US专利No.5,969,441中描述了双平台光刻设备,在这里以参考的方式将其内容并入本文中。
上面提及的光刻掩模包括对应于将要被集成到硅晶片上的电路部件的几何图案。用来形成这种掩模的图案使用CAD(计算机辅助设计)程序来生成,这种过程通常被称为EDA(电子设计自动化)。大多数CAD程序遵循一系列预定的设计规则以便产生功能化掩模。这些规则通过加工和设计限制来设定。例如,设计规则限定电路器件(例如栅极、电容等)或互连线之间的间隔容许量,使得确保电路器件或线不会彼此以不希望的方式相互作用。通常,设计规则限制被称为“临界尺寸”(CD)。电路的临界尺寸可以被定义成线或孔的最小宽度或两条线或两个孔之间的最小间隔。因此,CD决定所设计的电路的总的尺寸和密度。当然,集成电路制造的目标之一是在晶片上(通过掩模)忠实地复制原始电路设计。
正如所指出的,微光刻术是半导体集成电路制造中的主要步骤,其中形成在半导体晶片衬底上的图案限定了半导体器件的功能元件,例如微处理器、存储芯片等。类似的光刻技术还用在平板显示器、微电子机械系统(MEMS)和其它器件的制造中。
随着半导体制造工艺持续进步,在电路元件的尺寸持续地减小的同时,每个器件的功能元件(例如晶体管)的数量已经在过去几十年中遵照通常被称作为“摩尔定律”的趋势稳定地增加。在目前的技术状态下,先进器件的关键层使用已知如扫描器的光学光刻投影系统进行制造,其使用来自深紫外激光光源的照射将掩模图案投影到衬底上,产生具有100nm以下的尺寸(也就是小于投影光波长一半)的独立的电路特征。
依照分辨率公式CD=k1×λ/NA,这种印刷具有小于光学投影系统经典分辨率极限的尺寸的特征的过程通常被称为低-k1(low-k1)光刻术,其中λ是所采用的辐射的波长(目前大多数情况是248nm或193nm),NA是投影光学装置的数值孔径,CD是“临界尺寸”(通常是所印刷的最小特征尺寸),以及k1是经验分辨率因子。通常,k1越小,越难以在晶片上复制与电路设计者设计的形状和尺寸相符的图案以便获得特定的电功能性和性能。为了克服这些困难,对投影系统和掩模设计实施复杂的精细的微调步骤。这些步骤包括(例如)但不限于NA和光学相干性设定的优化、定制照射方案、使用相移掩模、掩模布局中的光学邻近效应校正,或其它通常称为“分辨率增强技术”(RET)的方法。
作为一个重要的示例,光学邻近效应校正(OPC,有时称为“光学和过程校正”)解决晶片上所印刷的特征的最终尺寸和位置将不仅仅是掩模上对应的特征的尺寸和位置的函数的问题。应该注意的是,这里术语“掩模”和“掩模版”可以交替地使用。因为在通常的电路设计上具有小的特征尺寸和高的特征密度,所以给定特征的特定边缘的位置将一定程度上受到存在或不存在其它邻近特征的影响。这些邻近效应源自一个特征和另一特征的微小量的光耦合。类似地,邻近效应可以源自曝光后烘烤(PEB)、抗蚀剂显影以及通常紧随光刻曝光后的蚀刻期间的扩散和其它化学效应。
为了确保依照给定目标电路设计的需要在半导体衬底上形成特征,在成功地制造高端器件之前,需要使用复杂的数值模型预测邻近效应,和需要将校正或预变形应用到掩模的设计。C.Spence在Proc.SPIE,Vol.5751,pp 1-14(2005)上的文章“Full-Chip Lithography Simulationand Design Analysis-How OPC Is Changing IC Design”提供了目前“基于模型的(model-based)”的光学邻近效应校正处理的概述。在一般的高端设计中,几乎每个特征边缘都需要一些修正以便获得充分接近目标设计的印刷图案。这些修正可以包括边缘位置或线宽的平移或偏斜,以及应用并不为了印刷本身但将会影响所关联的主要特征的性质的“次分辨率辅助”特征。
假定通常在芯片设计中存在数百万特征,将基于模型的OPC应用到目标设计需要良好的过程模型和相当多的计算资源。然而,通常应用OPC不是“精确科学”,而是不总是能解决布局中所有可能缺陷的经验的、迭代的过程。因而,OPC后的设计(也就是在应用所有通过OPC和所有其它RET的图案修正后的掩模布局),需要通过设计检测(也就是使用经过校准的数值过程模型进行精密的全芯片模拟)进行校验以便最小化形成到掩模组的制造中的设计缺陷的可能性。这是由制造以几百万美元量级运行的高端掩模组的巨大成本,和一旦实际掩模被制造而导致的重新制作或修补实际掩模所造成的周转时间的影响所驱动的。
OPC和全芯片RET校验两者都可以基于在例如美国专利第7,003,758号(P-2778)和来自Proc.SPIE,Vol.5754,405(2005)上的Y.Caoet al的名称为“Optimized Hardware and Software For Fast,Full ChipSimulation”的文章中描述的数值模型系统和方法。如商业上的例子,ASML开发和买卖用于设计和制造中的一系列计算光刻术(“CL”)产品。这些产品的例子是来自ASML的Tachyon LMC、Tachyon OPC+和图案匹配器全芯片(Pattern Matcher Full Chip)。
OPC和RET都需要精确描述光刻过程的鲁棒性模型。因此,需要用于这种光刻模型的校准程序,其提供在过程窗口上是有效的、鲁棒性的并且精确的模型。目前,通过使用一定数量的1维和/或2维测量图案和晶片测量值,来进行校准。更具体地,这些1维测量图案是具有变化的节距和CD的线-间隔的图案,2维测量图案典型地包括线端、接触区和随机选择的SRAM(静态随机存取存储器)图案。之后,这些图案成像到晶片上,最终晶片CD或接触能被测量。之后,初始的测量图案和它们的晶片测量值结合使用,来确定对模型预测和晶片测量之间的差异最小化的模型参数。
在现有实践中,测量图案的选择是相当随意的。它们可简单地根据经验进行选择或根据真实的电路图案随意地进行选择。对于校准来说,这样的图案通常是不完整的或过完整的或者两者都有。特别是,对于一些参数,所有的图案可能是相当不灵敏的,因此由于测量不准确,它可能很难确定参数值。然而,另一方面,许多图案可能对参数变化具有非常相似的响应,因而它们中的一些是多余的,在这些多余的图案上的进行的晶片测量浪费许多资源。
此时,CL模型需要在可能的几何布局图案的非常大的集合上精确地预测实际的片上图案轮廓。因此,适当地选择将采用的模型公式和精确地确定用于所有的模型参数的值都是非常重要的。
此外,在CL模型的校准中,需要用于已选择的测试图案的晶片CD测量值,来优化模型参数。收集这种度量数据所花费的努力通常是巨大的。考虑到这种努力,对于OPC应用来说,典型地对每一目标层的每一技术节点这些校准仅被进行一次。对于用于制造的CL产品,需要用许多扫描器来进行这些校准,并且在一定程度上要定期地进行。因此,模型校准程序应当解决怎样使得需要被测量的测试结构的数量最小化而不牺牲最终模型的预测精度的问题。
模型校准中的传统的方法的目的主要是对这些图案的成像行为提供好的描述,公知地,其对物理电路设计共通领域是重要的。典型地,这涉及相当大量的图案类型,每个被在适当的几何变化的范围上显示。对大量频繁使用的晶体管沟道长度(多义线(poly line)CD)来说,最重要的例子是线的CD随多义层的节距从密集的线(最小节距)到孤立的线的变化。然而,在现代光刻术中,影响的光学范围(界线)远大于典型的测试结构,因此精确地对预选择数量的相对小的测试图案进行模型化确保精确地预测这些在实际的电路环境中的图案,实际上不再是可行的。
晶片数据的收集由这种相同的焦距来驱动--在模型校准中使用的测试图案的绝大多数与需要的好的描述的图案是相同的。相同的焦距本身还可用几何变化的选择来表示。对于特定的图案类型,在成像或电学响应快速变化的区域中选择了许多几何例子,而不管内在的物理性质和这些变化所涉及的模型参数的数量。一个好的例子是在最短的多义CD附近的区域,即最短的顺应设计规则的(design-rule-compliant)晶体管的栅极长度。因为阀值电压(和它的接通和断开状态电流)作为设计的多义栅极CD的函数快速地变化,所以常规表明在这个区域中利用具有间隔紧密的栅极CD的非常大量的测试结构。
作为上述的方法的结果,和在精细的调整各个图案类型的权重的经常大范围的迭代过程之后,模型实际上得出了已经被特征化的图案的好的预测,和另外对中间几何构型非常好地插值。同样,这些方法用于它们的光学邻近效应修正的主要使用情形已经足够了。
然而,这种传统的方法还具有一些严重的缺点。首先,在预定的图案上的强聚焦意味着没有详尽的考虑适当的覆盖模型参数和由这些参数表示的“激发物理特性(exciting the physics)”。由于不能区别图案,图案可能被不正确地确定或它们可表现出与其它参数的高的简并度。总之,常规来说,这些方法不能适当地描述在包含在模型表征中的条件之外的成像行为上的变化。
其次,对于通过校准方法获得的物理特性和相关的模型参数中的一些,所述方法不经济,且太多的测量实质上提供了多余的信息。
在OPC的初始传统应用之外增加使用计算光刻术模型意味着模型校准程序还需要被调整,使得最终模型是a)对于不包含在校准测试数据中的图案类型更好地预测成像行为,b)对于光刻加工条件(与掩模、扫描器、抗蚀剂或蚀刻相关的)变化更好地预测成像行为以及c)在所需度量的量方面更加节省。因此,存在对解决传统方法的这些和其它缺点的需求。
发明内容
本发明提供用于模型校准的测试图案选择的领域中的许多创新,尤其是,其满足了上述的要求。根据一些方面,本发明的图案选择算法可被应用至任何已有的候选测试图案池。这些图案可以源自于下述来源的任意组合:被包含作为例如扫描器匹配器的工具的一部分的测试掩模;由OPC消费者开发的作为它们的OPC模型化成果的一部分的测试掩模;来自被OPC消费者使用的实际产品掩模版的图案。根据一些方面,本发明自动地选择这些测试图案,与设计优化图案相反,其能够最有效地根据已有的候选测试图案池确定优化的模型参数值。根据另外的方面,根据本发明的已选择的测试图案能够激励模型公式中的所有已知的物理和化学特性,确保用于测试图案的晶片数据可以将模型校准驱动成优化的参数值,其实现了由模型公式施加的预测精度的上边界。
在进一步的这些和其它的方面中,一种根据本发明的实施例的用于计算光刻模型校准的测试图案选择的方法,包括步骤:识别候选测试图案池;识别光刻术模型参数组;和自动地从候选测试图案池选择一组测试图案,其能够最有效地确定已识别的模型参数的优化值。
在另外进一步的这些和其它的方面中,一种根据本发明的实施例的用于计算光刻术模型校准的测试图案选择的方法,包括步骤:准备对应于候选测试图案池和一组模型参数的灵敏度矩阵;使用所述灵敏度矩阵来进行计算;和基于所述计算从候选测试图案池选择一组测试图案。
在另一进一步的这些和其它的方面中,一种根据本发明的实施例的用于计算光刻术模型校准的测试图案选择的方法,包括步骤:开发对应于与光刻术模型的精度相关的价值函数的灵敏度矩阵,其中,灵敏度矩阵建立了在候选测试图案池和一组光刻术模型参数之间的关系;和通过在灵敏度矩阵上进行计算,从候选测试图案池选择一组测试图案。
虽然在本文中详述了将本发明光刻设备用于制造ICs(集成电路),但是应该明确地理解到本发明可以在制造具有微米尺度、甚至纳米尺度的特征的部件方面有其它的应用。例如,本发明可以用于制造集成光学系统、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。本领域技术人员应该认识到,在这种替代应用的情况中,可以将本文使用的任何术语“掩模版”、“晶片”或“管芯”分别认为是可以由更上位的术语“掩模”、“衬底”或“目标部分”替换。
在本文中,术语“辐射”和“束”用于包含全部类型的电磁辐射,包括:紫外辐射(例如具有约365、248、193、157或126nm的波长)和EUV(极紫外辐射,例如具有5-20nm范围的波长)。
本文中采用的术语“掩模”可以广义地解释为可以用于将与将要在衬底的目标部分上形成的图案相对应的图案化的横截面赋予入射的辐射束的上位的图案形成装置;术语“光阀”也可以用在本文中。除了传统的掩模(透射型的或反射型的;二元型的、相移型的、混合型的掩模等),其它这样的图案形成装置的示例包括:可编程反射镜阵列。这种装置的一个示例是具有粘弹性(viscoelastic)控制层和反射表面的可矩阵寻址表面。这种装置所依据的基本原理在于(例如)反射表面的寻址区域将入射光反射成衍射光,而非寻址区域将入射光反射成非衍射光。使用适当的滤光片,从反射束中过滤掉所述非衍射光,仅留下衍射光;以这种方式,辐射束根据所述可矩阵寻址表面的图案寻址而被图案化。所需的矩阵寻址可以使用合适的电子装置来执行。有关这种反射镜阵列的更多信息可以(例如)从美国专利US No.5,296,891和US No.5,523,193中收集到,这里以参考的方式将其内容并入本文。
另一进行图案化的替代装置是可编程LCD阵列。这种结构的示例在美国专利US No.5,229,872中给出,这里以参考的方式将其内容并入本文。
附图说明
在结合附图阅读了本发明的特定实施例的下述描述之后,本领域技术普通人员将显而易见地知道本发明的这些和其它方面和特征,在附图中:
图1是根据本发明的示例性实施方式的光刻系统的各个子系统的方块图;
图2是对应于图1中的子系统的模拟模型的方块图;
图3是示出根据本发明的测试图案选择的示例性方法的方面的流程图;
图4是示出根据本发明的方面的从测试测量池中选出一组测试测量的示例性方法的流程图;
图5A和5B与图6A和6B是示出使用根据本发明的实施例的方法的示例性结果的图表;
图7是可实现本发明的实施例的示例性计算机系统的方块图;和
图8是可应用本发明的实施例的光刻投影设备的方块图。
具体实施方式
现在将参考附图对本发明进行详细描述,其被提供作为本发明的说明性的例子,以使本领域技术人员能够实施本发明。注意到,下文中的附图和例子不是要将本发明的范围限制成单个实施例,而是通过交换所描述或示出的元件中的一些或全部的其它实施例也是可以的。另外,在这里本发明的特定元件可以部分地或全部地使用已知的部件来实现,将只描述对于理解本发明所必需的这样的已知部件中的这些部分,这样的已知部件中的其它部分的详细描述将被省略,以便使得本发明清楚。除非在本文另有说明,所描述的如在软件中实现的实施例不应限制于此,而是可以包括在硬件或软件和硬件的组合中实现的实施例,反之亦然,这对本领域技术人员来说是显而易见的。在本说明书中,显示出单个部件的实施例不应认为是限制性的,相反,本发明旨在是要包含包括多个相同的部件的其它实施例,反之亦然,除非在本文另有明确地说明。另外,申请人不是要将说明书或权利要求书中的任何术语表示成罕见的或特殊的意思,同样地,除非有明确地阐述。另外,本发明将通过说明的方式包括在此处所引用的已知部件的目前和将来已知的等价物。
在描述本发明之前,提供了关于整个模拟和成像过程的简要的概述。图1示出示例性的光刻投影系统10。主要部件包括光源12,其可以是深紫外准分子激光器源;照射光学元件,其限定部分相干性(表示为σ)并且可以包括具体的源成形光学元件14、16a和16b;掩模或掩模版18;和投影光学元件16c,其在晶片平面22上制造所述掩模版图案的图像。在光瞳面处的可调节的滤光片或孔20可以限制照射到晶片平面22上的束角度的范围,其中最大的可能角度限定所述投影光学元件的数值孔径NA=sin(Θmax)。
在光刻模拟系统中,例如,这些主要系统部件可以通过如图2示出的分离的功能模块进行描述。参照图2,功能模块包括设计布局模块26,其限定目标设计;掩模布局模块28,其限定将要用于成像过程的掩模;掩模模型模块30,其限定将要在模拟过程中应用的掩模布局的模型;光学模型模块32,其限定光刻系统的光学部件的性能;以及抗蚀剂模型模块34,其限定在给定过程中使用的抗蚀剂的性能。正如所知的,模拟过程的结果形成(例如)最终模块36中的预测的轮廓和CD。
更具体地,注意到照射和投影光学元件的特性在光学模型32中被获取,光学模型32中包括NA-西格马(σ)设定以及任何特定的照射源形状,其中σ(或西格马)是照射器的外部径向范围,但不限于此。涂覆在衬底上的光致抗蚀剂层的光学特性(也就是折射率、薄膜厚度、传播和偏振效应)也可以作为光学模型32的部分被获取。掩模模型30获取掩模版的设计特征并且还可以包括掩模的详细物理性质的表示,如(例如)在共同未决的美国专利申请第11/530,402(P-2792)号中所述的。最终,抗蚀剂模型34描述在抗蚀剂曝光、PEB以及显影期间发生的化学过程的效果,以便预测例如形成在衬底晶片上的抗蚀剂特征的轮廓。模拟的目的是精确地预测例如边缘位置、CD等,这些可以随后与目标设计进行比较。通常,目标设计被限定为预OPC掩模布局,并且以例如GDSII或OASIS的标准数字文件格式提供。
通常,光学模型和抗蚀剂模型之间的联系是抗蚀剂层中模拟的空间图像(aerial image),其由光到衬底上的投影、抗蚀剂界面上的折射以及抗蚀剂薄膜叠层中的多次反射引起。光强度分布(“空间图像”)通过光子吸收被转变为潜在的“抗蚀剂图像”,该“抗蚀剂图像”通过扩散过程和各种负载效应进一步修正。通过二维空间图像(和抗蚀剂)图像,利用对全芯片应用足够快的有效的模拟方法近似抗蚀剂叠层中实际的三维强度分布。
因此,CL模型公式被用于描述已知的物理和化学特性,模型参数中的每一个对应于独特的物理或化学作用,模型公式设定上边界,其体现校准的模型可以多好地描述实际。
本发明的发明人认识到优选的测试图案选择结果(即“图案覆盖率”)因此应当能够在模型公式中激发所有已知的物理和化学特性,从而确保用于测试图案的晶片数据可以将模型校准驱动成优化参数值,该优化参数值实现了由公式施加的上边界。图案选择不会改变包含在模型中的物理和化学作用,但优选地应当有助于最大化地激发这些作用,使得它们在特定的光学过程中表明自身的程度可以基于用于已选择的测试图案的晶片测量值进行有效地识别。
更具体地,图3是示出根据本发明的方面的测试图案选择方法如何用于校准提供精确和有效的CL结果的模型中的例子的流程图。
上文概括地提及的和下文将更加详细地描述的本发明包括从一组测试度量302中选择测试图案304。测试图案304通过使用已选择的测试图案304提供了用于实际曝光和晶片测量的度量目标306,其反过来用于校准用于进行曝光的光刻过程的模型308。已校准的模型308提供模型参数310,度量目标306的固有的测量不确定性导致相关的参数的置信区间312(在下文将被进一步地详细描述)。模型参数310与产品器件314一起使用,经由如由上所述的这样的CL技术来进行图案模拟316。在模拟程序316中,模型参数的置信区间312将自身体现在全芯片CD置信区间318(在下文将更详细地进行描述)中。
使用上述的方法,本发明的通常的方面是如在下文将更加详细的描述的在步骤304中从测试图案池302中选出一组优化的测试图案。
根据一个方面,图案被选择使每个测试图案对一个或更多个特定的模型参数310非常敏感,即参数的小的变化应当能够在图案的晶片CD上引起具有良好的信噪比的可观察到的变化。图案还被选择使得不同的模型参数的作用可以被清楚地区别。对模型参数310具有类似的灵敏度的图案被识别、分组和选择,使得选择结果中不包含非必需的测试图案重复。通过获得上述的选择,最小的可能的一组测试图案被识别,其对独立参数中的每一个具有高的灵敏度和在不同的模型参数310的贡献之间有清晰的区别。
本发明的发明人已经辨别了许多数学方法,其单独或以各种组合的方式可应用于图案304的选择,以优化图案覆盖率。
第一种方法是对每个独立参数310获得最大灵敏度。更具体地,在其光学参数来自于扫描器设定和抗蚀剂参数来自第一估计的“名义”CL模型的附近区域中,被定义为 S ≡ ∂ CD ∂ p 的测试图案CD对模型参数的“灵敏度”可以始终假定相对于每个参数对于每个测试图案302来说是常数。之后,最直接的方法是为每个单独的模型参数选择对参数具有最大的灵敏度的测试图案。这种方法的一个问题是它不能确保分离不同参数的影响,即同一组图案可能对两个或更多个参数敏感,但不能唯一确定那一个参数或那一参数组合实际导致了晶片的CD变化。这种情形被称作为参数之间的“简并”
另一方法是获得最大的封闭体积(enclosed volume)。这种方法还可被描述成“灵敏度空间中的封闭体积最大化”。通过Spg(p=1...P,g=1...G)表示图案g对模型参数p的灵敏度。假定P维灵敏度空间中的标示位置(plot)对第p个轴线上的第g个点具有坐标Spg,在所述P维灵敏度空间中,每个点是一个预期的测试图案。对于给定的目标数量为N个的已选择的图案,我们设法找到导致了灵敏度空间中的最大的封闭体积的N个图案的组。这种方法避免了简并,因为简并将导致小的封闭体积。仅有这个构思并不会产生用于找到能够实现这种最大化的图案的具体程序。
下一个方法是在灵敏度矩阵Spg上进行主分量分析(PCA)。在这种数学上严密的方法中,矩阵的主分量是捕获了灵敏度最大变化的P维空间中的方向,这些方向彼此正交(垂直)。于是,最大灵敏度要求和最小简并要求可以同时被满足。
所述下一个方法是找到模型参数的置信区域312:如果期望将价值函数设计成优化目标,合乎自然规则的选择是使用模型参数的置信区域,该置信区域可以被计算为用于最小二乘优化的法方程中的协方差矩阵的逆矩阵的迹(trace)(即矩阵中主对角线元素之和)(参见下文对数学公式的描述)。这种置信区域的最小化等同于灵敏度空间中的封闭体积的最大化。但是一些限制将需要处理模型参数之间的相互作用,由于它们具有不同的单位,通常不期望的是单位的选择可能导致一些参数在图案选择中比另外的参数优越。
下一个方法是发现预测测试图案CD的置信区域318。为了处置所述处理具有不同单位的模型参数的问题,算法计算出模型参数的不确定性和模拟它们对CD预测不确定性的影响。对所述问题进行说明如下:在G个测试图案的候选池中找到一组N个测试图案,其最小化了相对于图案的目标组的CD预测的误差平方和(sum-of-squared-errors)的不确定性,所述图案的目标组可以是图案池G自身或来自实际产品布局的另一图案池,如从模型参数的置信区域所估计的。
现在将更加详细地对根据本发明的方面的上述提及的基于置信区域(例如312和318)的价值函数的数学公式的特定方面进行描述。
由p来描述光刻过程中的实际的物理和化学特性,而m是过程的名义模型描述。另外,由pp和pm表示物理参数和名义模型参数,使用公共的参数下标j来表示实际参数ppj和名义模型参数pmj之间的概念上的对应关系。
由CD表示候选测试图案组,从其中为实际的模型校准选择图案的优化的次组;由CDp表示这些图案的测量值的组,CDm表示相同的图案的名义模型预测组。之后,模型校准的任务将是根据输入来确定ppj、给定的pmj、CDp和CDm
对于其名义值可从扫描器设定获取的光学模型参数,以及对于其有合理的第一估计的抗蚀剂模型参数,物理参数pp和名义模型参数pm彼此足够接近,使得线性公式适合于参数空间中的小的附近区域,所述参数空间覆盖了pp和pm。在这个公式中,CDm相对于pj的第一阶导数或“线性灵敏度”用于展开考虑到的小的邻近区域中的全模型。模拟的图案灵敏度定义为: S m , ij ≡ ∂ CD m , i ∂ p m , j 模型校准的任务变成确定pp,j-pm,j
图案选择程序将基于这种灵敏度矩阵Sm,其是G乘P矩阵。假定为了图案选择的目的,根据合理的精确的初始模型m计算的灵敏度矩阵将是足够的。在下述的讨论中,对灵敏度矩阵来说下标m将被省略。
从线性化的模型校准等式CDp,i-CDm,i=∑iSij(pp,j-pm,j)开始,这通常是超定的等式和pp,j是在最小二乘意义下拟合的。考虑到晶片测量值CDp,i具有固有的不确定性(由σi来表示)的这样的事实,等式可以重写成矩阵形式Ax=b和使用法方程公式(ATA)x=ATb来求解,其中,Aij=Siji,xj=pp,j-pm,j,bi=(CDp,i-CDm,i)/σi。其中,矩阵A是“归一化的”灵敏度矩阵(即具有考虑到的度量不确定性)。
应当注意到,在图案选择算法的一些实施方式中,实际的晶片测量的不确定性σi在图案选择阶段是未知的,但通过模型模拟可以获得它们的相对值的合理的估计。对可以利用晶片测量数据的数据组的研究已经显示,在测量的不确定性和在测试图案的轮廓点上估计的图像对数斜率(ILS)值的倒数之间存在线性相关,其被定义为 ILS = ∂ AI / ∂ x AI , 其中,AI是由模型所模拟的空间图像,该模型使用从扫描器设定获取的名义光学参数值,和x是沿CD测量的方向。这种直观的解释是ILS值越小,成像的对比度越小,因此,在晶片印刷和晶片测量上的变化越大。因此,下文详细描述的一些实施例中的实施方式中,由此模拟的ILS的倒数值可以用作为对晶片测量不确定性的估计。
协方差矩阵C≡[ATA]-1与被估计的参数x的可能的或标准的不确定性密切相关,其中,它是x的协方差矩阵:σ2(xj)=Cjj,Cov(xj,xk)=Cjk参见,例如“Numerical Recipes in C,The Art of Scientific Computing,”2nded.,W.H.Press,S.A.Teukolsky,W.T.Vetterling,和B.P.Flannery,Chap.15,Cambridge University Press,1992。
参数的置信区域312可源自于协方差矩阵。如果选择以特定的置信水平最小化这种置信区域312的“尺寸”(定义为置信椭圆体的均方的主轴尺寸的和,或独立参数的均方的不确定性水平之和),这种价值函数实际上将等于矩阵C的迹。
上文的价值函数定义由于参数单位的人为的选择(artificialchoice)包含不期望的任意性。避免这种问题的一种替代是将参数对CD预测误差的估计的协方差矩阵在较大的图案空间上投影,和将对于所有的图案CD预测318的误差平方和用作价值函数。实践中,代表性的图案的预定的组可以用于模仿全芯片图案行为。如果“全芯片”图案组具有灵敏度矩阵S,这这种更新的价值函数将采用下述的形式 Σ CD ∈ fullchip pattemset σ 2 ( CD j ) = Tr [ S CS T ] = Tr [ S [ A T A ] - 1 S T ] 这个函数的最小化将用于在我们最终的实施方式中推动图案选择。
为了实现上述的价值函数的最小化,本发明的实施例在全芯片灵敏度矩阵S上进行主分量分析,沿S中最大变化分布的方向在P维灵敏度空间中识别所述方向。其灵敏度与这些主方向在最大程度上对齐的候选图案池中的测试图案302被搜索和识别,因为它们将最有效地减小价值函数。
图4是示例性的逐步的实施根据本发明的方面的图案选择方法的流程图。
在步骤402中,模型参数的适当的初始估计通过使用例如机器设定数据、工具上的度量数据和工具设计数据的任意组合来产生。在步骤404中,表示其上使用了CL模型的产品中的图案多样性的“全芯片”图案组也被形成池。
在步骤406中,基于这种初始的模型估计,候选图案和“全芯片”图案对在校准过程期间将被校准的模型参数中的每一个的灵敏度被计算。这导致全芯片图案组的灵敏度矩阵S和候选图案组的归一化灵敏度矩阵A(步骤408)。每个灵敏度矩阵还可被形象化为P维灵敏度空间中的灵敏度“云”,每个测试图案对应于一个点,其坐标是测试图案对参数的灵敏度。因此,下述的讨论有时可交替地使用术语“灵敏度矩阵”和“灵敏度云”。
在步骤410和412中,在全芯片图案组的灵敏度云S中的点上进行PCA。更具体地,计算捕获最大灵敏度变化的主分量以及它们对整个灵敏度变化的各自贡献(其还是灵敏度矩阵的奇异值分解中的奇异向量和奇异值)。
在步骤414中,在归一化的候选图案组A中的每个灵敏度点被投影到在之前的步骤中由PCA定义的新的坐标系统上,其中每个轴线与S的主分量(奇异向量)中的一个的方向对齐。对应的奇异值的平方根用作为每个轴线的比例因子,使得沿其存在有更大的灵敏度变化的方向接收选择程序中的更高的优先级。这导致在由PCA定义的坐标系统中的候选图案组的“归一化”灵敏度云(步骤416)。
在步骤418中,具有最大的总的(加权的)灵敏度(即,具有到原点的最大的欧几里德距离)的图案,被从步骤416中确定的归一化的灵敏度云中识别出来。在步骤420中,这种图案被增加到已选择的图案组中,通过移除已选择的测试图案的灵敏度方向,将灵敏度空间减小至较小的尺寸。或者说,在候选的图案池中的所有的另外的灵敏度向量相对于已选择的方向进行正交化。
在步骤422中,对图案组中的剩余部分重复步骤418至420,直到灵敏度空间的维度被完全用尽(exhausted)。
在这点上,所述过程实现了对每个参数的覆盖,即,没有参数在模型校准阶段未被限制。在度量时间极限内,将期望包括更多的图案来提高覆盖度。
因此,如在步骤424和426中所示的,对剩余的G-P候选图案组第二次重复步骤412至418,直到参数空间的维度再次被用尽为止,我们选择了另外的P图案组。
在步骤426中所述过程可以被反复重复,直到候选图案池被用尽。此时,在步骤428中,就它们的模型校准的有效性而言,所述过程已经产生所有初始的G个候选图案的等级次序(rank-order)。这种等级次序列表在N个图案的期望的目标处被截取。
在步骤430中,在全芯片图案的预测上的得到的置信区间用于在步骤432中监测选择程序和提供测试图案的已选择组的估计的性能。
如图5A和5B所示,本发明的发明人首先验证了上述的线性假设,其成为根据本发明的方面的方法的形式数学的基础。图5A显示出以170nm节距成像在ASML扫描器XT:1400上的100nm线在σinner(左)的变化下的线性,图5B显示出以170nm节距成像在ASML扫描器XT:1400上的100nm线的在σouter(右)的变化下的线性。如从图5A和5B所示,在这种情形中,在所考虑的小的邻近区域中的响应实际上足够线性。
作为第二步骤,本发明的发明人在称作Proxi45的ASML测试掩模的上的3500个图案的全组进行灵敏度矩阵的PCA分析,该全组可用作候选图案池。下文中表1给出了结果,其中,每行是灵敏度空间中的主方向,每栏是对特定的CL模型参数(例如,NA、σ中心、σ宽度、Rx、剂量、椭圆率等)的灵敏度,其对整个灵敏度变化的贡献(还称作为“奇异值”)列在最后一栏中。表1
Figure G2009102120134D00171
应用上述的程序,我们从图案池中选出具有不同图案数量的几组测试图案,通过计算全芯片图案组的预测的误差平方和来进行估计它们的性能,在这种情形中,其是来自Proxi45掩模版的相同的3500个图案。在图6A中显示出得到的性能。
为了比较,图6B显示出使用相同的全芯片CD预测度量的根据本发明的算法获得的图案选择结果与更传统的图案选择(“控制组”)的性能的比较。如图所示,根据本发明进行选择的图案组在抑制模型校准参数方面基本上更好地进行,以便在使用所形成的模型时快速地最小化全芯片图案组的CD上的预测不确定性。
本申请的创新对下述领域具有可应用性,但不限于此:遵循线性公式的或可以被线性地展开的任意CL模型的校准;具体地,用于扫描器匹配的微分模型的校准,即在对参考扫描器已经有全校准的基线模型时,基于这些扫描器的晶片测量,对独立的扫描器的模型的精细调整;和在采用多个抗蚀剂项的总和形式的线性抗蚀剂模型中的抗蚀剂参数的校准。
另外,虽然在模型校准的示例性应用中对本发明进行了描述,但是本发明的方面可以在抗蚀剂模型化、微分光学模型化和选择模型形式中应用于独立项。
图7是示出能辅助具体化和/或实现这里公开的图案选择方法的计算机系统100的示例性方框图。计算机系统100包括用于通信信息的总线102或其它通信机构,以及与总线102耦合的用于处理信息的处理器104。计算机系统100还包括耦合至总线102、用于存储由处理器104执行的指令和信息的主存储器106,例如随机存取存储器(RAM)或其它动态存储装置。主存储器106也可用来存储在将由处理器104执行的指令的执行期间的临时变量或其它中间信息。计算机系统100还包括用来存储用于处理器104的指令和静态信息的、耦合至总线102的只读存储器(ROM)108或其它静态存储装置。提供有存储装置110,如磁盘或光盘,并将其耦合至总线102,以用来存储信息和指令。
计算机系统100可通过总线102耦合至显示器112,例如用来显示信息给计算机用户的阴极射线管(CRT)或平板或触摸板显示器。包括字母数字键和其它键的输入装置114耦合至总线102,用于对处理器104进行信息和命令选择通信。另一种类型的用户输入装置是光标控制器116(如鼠标、轨迹球或光标方向键),用于与处理器104进行方向信息和命令选择通信并用于控制光标在显示器112上的移动。这种输入装置通常在两个轴线(第一轴线(如x)和第二轴线(如y))上具有两个自由度,这允许所述装置指定平面上的位置。触摸板(显示屏)显示器也可用作输入装置。
根据本发明的一个实施例中,可响应于用于执行包含在主存储器106中的一个或多个指令的一个或多个序列的处理器104,由计算机系统100执行模拟过程的部分。这样的指令可从另一计算机可读介质(如存储装置110)读入到主存储器106中。包含在主存储器106中的指令序列的执行使得处理器104执行这里所述的过程步骤。还可以采用多处理布置中的一个或多个处理器以执行包含在主存储器106中的指令序列。在可替代的实施例中,硬连线的(hardwired)电路可代替软件指令或与软件指令结合使用以实施本发明。因此,本发明的实施例并不限于任何特定的硬件电路和软件的组合。
这里使用的术语“计算机可读介质”涉及能参与向处理器104提供指令用于执行的命令的任何介质。这样的介质可采用多种形式,包括但不限于,非易失性介质、易失性介质和传输介质。非易失性介质包括(例如)光盘或磁盘,如存储装置110。易失性介质包括动态存储器,例如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括包含总线102的导线。传输介质也可采用声波或光波形式,例如在射频(RF)和红外(IR)辐射数据通信过程中产生的声波或光波。计算机可读介质的一般形式包括(例如)软盘、软碟、硬盘、磁带,任何其它磁性介质,CD-ROM、DVD,任何其它光介质,穿孔卡片、纸带,任何其它具有孔图案的物理介质,RAM、PROM和EPROM、FLASH-EPROM,任何其它存储器芯片或卡带,如下文所描述的载波,或其它任何计算机可读取的介质。
计算机可读介质的各种形式可以涉及将一个或多个指令的一个或多个序列传送至处理器104以便执行。例如,指令可能最初存在于远端计算机的磁盘上。远端计算机可将指令加载到其动态存储器中并采用调制解调器经由电话线发送指令。位于计算机系统100本地的调制解调器可接收电话线上的数据并利用红外发送器将数据转换成红外信号。耦合到总线102的红外检测器可接收加载在红外信号中的数据并将数据置于总线102上。总线102将数据传送到主存储器106,处理器104从主存储器106中获取并执行指令。被主存储器106接收的指令在其被处理器104执行之前或之后可选择地存储在存储装置110上。
计算机系统100还优选地包括耦合到总线102的通信接口118。通信接口118提供耦合至连接到局域网122的网络链接120的双向数据通信。例如,通信接口118可以是用以提供至相应类型电话线的数据通信连接的综合服务数字网(ISDN)卡或调制解调器。作为另一示例,通信接口118可以是用以提供至可兼容的LAN的数据通信连接的局域网(LAN)卡。也可采用无线链接。在任何这样的实施方式中,通信接口118发送并接收携带表示各种类型信息的数字数据流的电学的、电磁的或光学的信号。
网络链接120通常通过一个或多个网络向其它数据装置提供数据通信。例如,网络链接120可提供通过局域网122到主机124的连接或到由互联网服务提供商(ISP)126运行的数据设备的连接。ISP 126则反过来通过如今通常称为“互联网”128的全球分组数据通信网络提供数据通信服务。局域网122和互联网128都采用携带数字数据流的电学的、电磁的或光学的信号。通过各种网络的信号和网络链接120上的并通过通信接口118的信号,是传输信息的载波的示例性形式,其中通信接口118向计算机系统100加载数字数据和从计算机系统100获取数字数据。
计算机系统100可通过网络、网络链接120和通信接口118发送信息并接收数据,包括程序代码。在互联网示例中,服务器130可通过互联网128、ISP 126、局域网122和通信接口118发送应用程序所需要的代码。根据本发明,例如,一种下载的应用提供实施例的测试图案选择。接收到的代码当其被接收时可通过处理器104来执行,和/或存储在存储装置110或其它非易失性存储器中用于后续执行。以这种方式,计算机系统100可获得载波形式的应用代码。
图8示意地描述其性能可采用计算光刻术模型进行模拟和/或优化的示例性光刻投影设备,所述计算光刻术模型采用本发明的测试图案选择过程进行校准。所述设备包括:-辐射系统Ex、IL,其用于提供投影辐射束PB。在这个特定情形中,辐射系统还包括辐射源LA;-第一载物台(掩模台)MT,其设置有用于保持掩模MA(如掩模版)的掩模保持装置,并连接到用以相对于投影系统PL精确定位掩模的第一定位装置;-第二载物台(衬底台)WT,其设置有用于保持衬底W(如涂覆有抗蚀剂的硅晶片)的衬底保持装置,并连接到用以相对于投影系统PL精确定位衬底的第二定位装置;-投影系统(“透镜”)PL(如折射式的、反射式的或反射折射式的光学系统),其用于将掩模MA的被辐射部分成像到衬底W的目标部分C(如包括一个或多个管芯)上。
如这里描述的,该设备是反射型的(也就是具有反射式掩模)。然而,通常,例如,它也可是透射型的(具有透射式掩模)。可选地,该设备可采用另一种图案形成装置作为掩模使用的替换;示例包括可编程反射镜阵列或LCD矩阵。
源LA(例如汞灯或准分子激光器)产生辐射束。例如,该束直接地或在穿过诸如扩束器Ex的调节装置之后,进入照射系统(照射器)IL。照射器IL可包括用于设定所述束中的强度分布的外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)的调整装置AM。此外,它通常包括各种其它部件,例如积分器IN和聚光器CO。以这种方式,照射到掩模MA上的束PB在其横截面上具有所需的均匀性和强度分布。
应当注意到,关于图8,源LA可位于光刻投影设备的壳体内(例如,当源LA是汞灯时,通常是这种情况),但它也可远离光刻投影设备,源LA产生的辐射束被引导进入所述设备(如通过合适的定向反射镜的帮助);当源LA是准分子激光器(如基于KrF、ArF或F2产生激光)时,通常是后面的这种情况。本发明至少包含这些情形中的这两者。
束PB随后与保持在掩模台MT上的掩模MA相交。在穿过掩模MA后,束PB穿过透镜PL,该透镜PL将束PB聚焦到衬底W的目标部分C上。在第二定位装置(和干涉测量装置IF)的帮助下,衬底台WT可以被精确地移动以便(例如)将不同目标部分C定位于束PB的路径中。类似地,例如在从掩模库的机械获取掩模MA之后,或在扫描期间,可以将所述第一定位装置用于相对于所述束PB的路径精确地定位所述掩模MA。通常,可以通过图8中未明确示出的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现载物台MT、WT的移动。然而,在晶片步进机(与步进扫描工具相反)的情形中,掩模台MT可仅连接到短行程致动器,或可以是固定的。
所述的工具可以在两种不同的模式中使用:-在步进模式中,将掩模台MT保持基本静止,并且将整个掩模图像一次投影(即,单一的“闪”)到目标部分C上。然后将所述衬底台WT沿X和/或Y方向移动,使得可以用所述束PB辐射不同的目标部分C;-在扫描模式中,基本上使用相同的情形,除非给定目标部分C不在单一“闪”中曝光。替代地,掩模台MT在给定方向(所谓“扫描方向”,如y方向)上是可移动的,并具有速度v,以使得投影束PB扫描掩模图像;同时,衬底台WT沿相同或相反的方向以速度V=Mv同步地移动,其中M是透镜PL的放大倍数(通常,M=1/4或1/5)。以这种方式,在不必牺牲分辨率的情况下,可以曝光相对大的目标部分C。
在此公开的构思可模拟或数学模型化任何用于对亚波长特征成像的一般性成像系统,并且可能在能够产生越来越小的尺寸的波长的新兴的成像技术方面是特别有用的。已经使用的新兴技术包括能够采用ArF激光器产生193nm波长,甚至能够采用氟激光器产生157nm波长的EUV(极紫外)光刻术。而且,通过使用同步加速器或通过用高能电子撞击材料(固态或等离子体)以便产生该范围内的光子,EUV光刻术能够产生20-5nm范围内的波长。因为大多数材料在这个范围内是吸收性的,通过具有钼和硅的多个叠层的反射镜,可产生照射。多个叠层反射镜具有40层成对的钼和硅,其中每层的厚度是四分之一波长。采用X-射线光刻术甚至可以产生更小的波长。通常,同步加速器用来产生X-射线波长。因为大多数材料在X-射线波长下是吸收性的,所以吸收材料的薄片限定哪些特征将印刷(正抗蚀剂)或将不印刷(负抗蚀剂)。
虽然在此公开的构思可用于在衬底(如硅晶片)上成像,但是应当理解,所公开的构思可用于任何类型的光刻成像系统,例如那些用来在除硅晶片之外的衬底上成像的系统。
虽然已经参考本发明的优选的实施例对本发明进行了特别地描述,但是本领域普通技术人员将会易于明白在不偏离本发明的精神和范围的情况下可以进行形式和细节上的改变和修改。旨在随附的权利要求包括这样的变化和修改。

Claims (21)

1.一种用于计算光刻术模型校准的测试图案选择的方法,包括步骤:
识别候选的测试图案池;识别光刻模型参数组;和自动地从所述候选测试图案池中选择一组测试图案,其能够最有效地确定所述已识别的模型参数的优化值。
2.根据权利要求1所述的方法,其中,所述自动选择的步骤包括:
准备对应于所述已识别的测试图案池和模型参数的灵敏度矩阵;
使用所述灵敏度矩阵执行计算,以确定所述已识别的测试图案中的哪一个对已识别的模型参数具有最大的灵敏度;和
将所述已确定的测试图案添加到所述已选择的测试图案组。
3.根据权利要求2所述的方法,进一步包括步骤:重复所述执行计算和添加步骤直到对应于所有已识别的模型参数的测试图案已经添加到所述已选择的测试图案组。
4.根据权利要求2所述的方法,其中,所述执行计算的步骤包括:对所述灵敏度矩阵进行主分量分析。
5.根据权利要求2所述的方法,其中,所述准备所述灵敏度矩阵的步骤包括:基于对应于所述已识别的测试图案池的模拟空间图像来估计晶片测量的不确定性。
6.根据权利要求2所述的方法,其中,所述准备所述灵敏度矩阵的步骤包括:基于包括所述已识别的测试图案池的掩模和使用所述已识别的模型参数中的一些的名义值来模拟空间图像。
7.根据权利要求1所述的方法,其中,所述自动选择步骤包括:最小化与模型精度相关的价值函数。
8.根据权利要求7所述的方法,其中,所述价值函数表示特定的图案池的CD预测误差,包括全芯片图案组。
9.根据权利要求1所述的方法,其中,所述已选择的测试图案组被选择,以激发在所述光刻术模型的公式中的已知的物理和化学特性。
10.根据权利要求9所述的方法,其中,对应于所述已选择的测试图案的测量的晶片数据可以用于将所述模型校准驱动成所述优化参数值,以便实现由所述光刻术模型的公式施加的预测精度的上边界。
11.一种用于计算光刻术模型校准的测试图案选择的方法,包括步骤:
准备对应于候选测试图案池和一组模型参数的灵敏度矩阵;使用所述灵敏度矩阵来执行计算;和基于所述计算从所述候选测试图案池选择一组测试图案。
12.根据权利要求11所述的方法,其中,所述选择步骤包括:识别所述候选测试图案池中的哪一个对所述模型参数组中的一些具有最大的灵敏度;和将所述已识别的候选测试图案添加到所述测试图案组。
13.根据权利要求12所述的方法,还包括步骤:重复所述执行计算的步骤、识别步骤和添加步骤,直到对应全部所述模型参数组的测试图案已经添加到所述已选择的测试图案组为止。
14.根据权利要求11所述的方法,其中,所述执行计算的步骤包括:对所述灵敏度矩阵进行主分量分析。
15.一种用于计算光刻术模型校准的测试图案选择的方法,包括步骤:
开发对应于与光刻术模型的精度相关的价值函数的灵敏度矩阵,其中,所述灵敏度矩阵建立了候选测试图案池和所述光刻术模型的一组参数之间的关系;和
通过对所述灵敏度矩阵执行计算来从所述候选测试图案池中选择一组测试图案。
16.根据权利要求15所述的方法,其中,所述选择步骤包括:使用所述计算的结果来确定所述已识别的测试图案中的哪一个对已识别的模型参数具有最大的灵敏度;和将所述已确定的测试图案添加到所述已选择的测试图案组。
17.根据权利要求16所述的方法,还包括步骤:重复所述执行计算的步骤、确定测试图案的步骤和添加测试图案的步骤,直到对应于全部所述模型参数组的测试图案已经添加到所述已选择的测试图案组为止。
18.根据权利要求15所述的方法,其中,所述执行计算的步骤包括:对所述灵敏度矩阵进行主分量分析。
19.根据权利要求15所述的方法,其中,所述选择步骤被进行,以便最小化所述价值函数。
20.根据权利要求15所述的方法,其中,所述价值函数表示包括全芯片图案组的特定的图案池的CD预测误差。
21.一种计算机程序产品,包括一个或更多个计算机可读的储存介质,该储存介质具有使计算机选择用于校准计算光刻术模型的测试图案的计算机可执行的指令,所述指令使所述计算机执行一种方法,该方法包括步骤:
识别候选测试图案池;识别光刻术模型参数组;和自动地从所述候选测试图案池选择能够最有效地确定所述已识别的模型参数的优化值一组测试图案。
CN2009102120134A 2008-11-10 2009-11-06 用于光刻术模型校准的图案选择 Active CN101738871B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11300408P 2008-11-10 2008-11-10
US61/113,004 2008-11-10
US14081208P 2008-12-24 2008-12-24
US61/140,812 2008-12-24

Publications (2)

Publication Number Publication Date
CN101738871A true CN101738871A (zh) 2010-06-16
CN101738871B CN101738871B (zh) 2012-10-10

Family

ID=41466674

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009102120134A Active CN101738871B (zh) 2008-11-10 2009-11-06 用于光刻术模型校准的图案选择
CN2009801447289A Active CN102209935B (zh) 2008-11-10 2009-11-10 设计用于校准光刻工艺的模拟模型的测试测规的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009801447289A Active CN102209935B (zh) 2008-11-10 2009-11-10 设计用于校准光刻工艺的模拟模型的测试测规的方法

Country Status (5)

Country Link
US (5) US8694928B2 (zh)
JP (1) JP5414455B2 (zh)
CN (2) CN101738871B (zh)
NL (1) NL2003702A (zh)
WO (1) WO2010054350A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106030282A (zh) * 2013-06-03 2016-10-12 科磊股份有限公司 用于光学度量衡的自动波长或角度修剪
CN106297778A (zh) * 2015-05-21 2017-01-04 中国科学院声学研究所 数据驱动的基于奇异值分解的神经网络声学模型裁剪方法
CN109844643A (zh) * 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
CN109870882A (zh) * 2019-03-29 2019-06-11 上海华虹宏力半导体制造有限公司 监控扫描式光刻机连续景深扩展程序功能的方法
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN113260925A (zh) * 2018-12-31 2021-08-13 Asml荷兰有限公司 确定图案形成装置的光学特性的分量的子集
CN114096917A (zh) * 2019-07-10 2022-02-25 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
CN114096917B (zh) * 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
NL2007306A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US9588439B1 (en) 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
NL2008041A (en) 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
JP5708103B2 (ja) * 2011-03-18 2015-04-30 富士通セミコンダクター株式会社 設計支援方法
NL2008702A (en) * 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
TWI577523B (zh) * 2011-06-17 2017-04-11 三菱麗陽股份有限公司 表面具有凹凸結構的模具、光學物品、其製造方法、面發光體用透明基材及面發光體
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
EP2546804A1 (en) 2011-07-10 2013-01-16 Dürr Dental AG Method and tomography apparatus for reconstruction of a 3D volume
EP2570854B1 (en) * 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
KR101867290B1 (ko) * 2012-01-10 2018-07-19 삼성전자주식회사 Opc 모델 보정을 위한 테스트 패턴 선택 방법
US8806388B2 (en) * 2012-03-23 2014-08-12 Texas Instruments Incorporated Extraction of imaging parameters for computational lithography using a data weighting algorithm
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US8751980B2 (en) 2012-10-30 2014-06-10 International Business Machines Corporation Automatic wafer data sample planning and review
JP2014158012A (ja) * 2013-02-15 2014-08-28 Toshiba Corp パターン検査方法および製造管理システム
WO2014139855A1 (en) 2013-03-14 2014-09-18 Asml Netherlands B.V. Patterning device, method of producing a marker on a substrate and device manufacturing method
CN103699708A (zh) * 2013-05-14 2014-04-02 上海大众汽车有限公司 全参数化拉延模具设计方法
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
NL2013303A (en) * 2013-08-13 2015-02-16 Asml Netherlands Bv Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure.
US20150100289A1 (en) * 2013-10-09 2015-04-09 Technion Research & Development Foundation Limited Method and system for shapewise comparison
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
SG11201604641PA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
CN105874388B (zh) 2013-12-30 2019-03-15 Asml荷兰有限公司 用于量测目标的设计的方法和设备
CN105874389B (zh) 2013-12-30 2018-06-26 Asml荷兰有限公司 用于设计量测目标的方法和设备
CN106575630B (zh) * 2014-07-13 2021-05-25 科磊股份有限公司 使用叠加及成品率关键图案的度量
US9690187B2 (en) * 2014-12-05 2017-06-27 Globalfoundries Inc. Sampling for OPC model building
US10718606B2 (en) 2015-04-17 2020-07-21 Nikon Corporation Determination of customized components for fitting wafer profile
US10754256B2 (en) * 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
CN105278260B (zh) * 2015-11-20 2018-07-24 合肥芯碁微电子装备有限公司 一种pcb曝光图形正确性验证方法
WO2017102336A1 (en) * 2015-12-18 2017-06-22 Asml Netherlands B.V. Improvements in gauge pattern selection
IL259633B (en) 2015-12-22 2022-07-01 Asml Netherlands Bv A device and method for characterizing a window process
WO2017178285A1 (en) * 2016-04-15 2017-10-19 Asml Netherlands B.V. Method for adjusting actuation of a lithographic apparatus
US9817402B1 (en) * 2016-07-12 2017-11-14 The Boeing Company Application of factory automation for an airline assembly and build process
CN110446980B (zh) * 2017-03-21 2022-05-27 Asml荷兰有限公司 对象识别和比较
CN106970506B (zh) * 2017-04-10 2018-11-20 中国科学院上海光学精密机械研究所 一种基于粒子群优化算法的晶圆曝光路径规划方法
US10496783B2 (en) * 2017-04-19 2019-12-03 Mentor Graphics Corporation Context-aware pattern matching for layout processing
CN108196364B (zh) * 2018-01-04 2020-04-17 中国人民解放军国防科技大学 一种基于平面镜视场调节的光学系统失调量解算方法
JP6996677B2 (ja) * 2018-01-12 2022-01-17 Alitecs株式会社 テストパターンの抽出方法及び抽出プログラム
WO2019162346A1 (en) * 2018-02-23 2019-08-29 Asml Netherlands B.V. Methods for training machine learning model for computation lithography
WO2019238372A1 (en) * 2018-06-15 2019-12-19 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
WO2020035272A1 (en) 2018-08-14 2020-02-20 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
KR20200043585A (ko) * 2018-10-17 2020-04-28 삼성전자주식회사 반도체 패턴의 광 근접 보정 오차 최소화 방법 및 장치
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
WO2020162979A1 (en) * 2019-02-08 2020-08-13 Mentor Graphics Corporation Die yield assessment based on pattern-failure rate simulation
WO2020173654A1 (en) 2019-02-25 2020-09-03 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
CN113508339A (zh) * 2019-02-27 2021-10-15 Asml荷兰有限公司 用于模型校准的改进量规选择
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
CN114341885A (zh) * 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
CN111045289B (zh) * 2019-12-06 2021-07-06 中国科学院上海光学精密机械研究所 极紫外光刻掩模阴影效应补偿方法
KR102322886B1 (ko) * 2020-03-02 2021-11-05 인하대학교 산학협력단 산출 리소그래피를 위한 E-Beam 클러스터 구성 방법 및 장치
CN111857768B (zh) * 2020-06-23 2022-07-22 苏州浪潮智能科技有限公司 一种硬盘芯片升级方法及系统
CN112084705A (zh) * 2020-08-25 2020-12-15 华北电力大学 一种用于综合能源系统的并网协调规划方法及系统
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
CN114741977B (zh) * 2022-06-13 2022-08-16 华中科技大学 声学超材料微结构最大加工误差设计方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
ATE123885T1 (de) * 1990-05-02 1995-06-15 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP4075966B2 (ja) * 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
KR100512450B1 (ko) * 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
JP4383817B2 (ja) * 2003-10-03 2009-12-16 日本電子株式会社 電子ビーム描画における近接効果補正の検証方法
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
CN101258498B (zh) * 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP4954211B2 (ja) * 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US7433791B2 (en) * 2006-02-17 2008-10-07 Asml Masktools B.V. Method of performing multiple stage model calibration for optical imaging simulation models
CN100474115C (zh) * 2006-04-04 2009-04-01 上海微电子装备有限公司 光刻机成像光学系统像差现场测量方法
US7818151B2 (en) * 2006-05-02 2010-10-19 Asml Masktools B.V. Method, program product and apparatus for obtaining short-range flare model parameters for lithography simulation tool
US8040573B2 (en) * 2006-08-14 2011-10-18 Asml Masktools B.V. Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
US8792147B2 (en) * 2006-08-14 2014-07-29 Asml Netherlands B.V. Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
JP2008186912A (ja) * 2007-01-29 2008-08-14 Nikon Corp 収差評価方法、調整方法、露光装置、露光方法、およびデバイス製造方法
US8732625B2 (en) 2007-06-04 2014-05-20 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106030282A (zh) * 2013-06-03 2016-10-12 科磊股份有限公司 用于光学度量衡的自动波长或角度修剪
CN106030282B (zh) * 2013-06-03 2021-10-22 科磊股份有限公司 用于光学度量衡的自动波长或角度修剪的方法及光学系统
US11175589B2 (en) 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
CN106297778A (zh) * 2015-05-21 2017-01-04 中国科学院声学研究所 数据驱动的基于奇异值分解的神经网络声学模型裁剪方法
CN109844643A (zh) * 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN113260925A (zh) * 2018-12-31 2021-08-13 Asml荷兰有限公司 确定图案形成装置的光学特性的分量的子集
CN109870882A (zh) * 2019-03-29 2019-06-11 上海华虹宏力半导体制造有限公司 监控扫描式光刻机连续景深扩展程序功能的方法
CN114096917A (zh) * 2019-07-10 2022-02-25 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
CN114096917B (zh) * 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择

Also Published As

Publication number Publication date
US20140208278A1 (en) 2014-07-24
US20100122225A1 (en) 2010-05-13
JP2010117716A (ja) 2010-05-27
CN101738871B (zh) 2012-10-10
US8694928B2 (en) 2014-04-08
US8930172B2 (en) 2015-01-06
CN102209935B (zh) 2013-05-15
US10846442B2 (en) 2020-11-24
US9672301B2 (en) 2017-06-06
US20110224956A1 (en) 2011-09-15
US20180322224A1 (en) 2018-11-08
NL2003702A (en) 2010-05-11
CN102209935A (zh) 2011-10-05
JP5414455B2 (ja) 2014-02-12
US20150186557A1 (en) 2015-07-02
WO2010054350A1 (en) 2010-05-14
US10025885B2 (en) 2018-07-17

Similar Documents

Publication Publication Date Title
CN101738871B (zh) 用于光刻术模型校准的图案选择
CN102054092B (zh) 对于全芯片源的图案选择和掩模优化
CN102057329B (zh) 基于模型的过程模拟的方法
CN101738872B (zh) 用于光刻校准的方法和系统
CN101751502B (zh) 用于光刻过程窗口最大化光学邻近效应校正的方法和系统
CN102063022B (zh) 用于实现基于模型的扫描器调整方法
CN102224459B (zh) 用于优化光刻过程的方法及设备
KR20200087851A (ko) 결함 확률에 기초한 프로세스 윈도우
EP3688529B1 (en) Method of determining control parameters of a device manufacturing process
KR20060087446A (ko) 임계 치수 계산에 사용되는 레지스트 모델들의캘리브레이션을 개선하기 위한 방법, 프로그램물 및 장치
CN104395828A (zh) 基于梯度的图案和评价点选择
KR102621036B1 (ko) 디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템
CN105074575A (zh) 用于三维图案形成装置的光刻模型
CN103246174A (zh) 衬底拓扑可知的光刻模型化
CN102955370A (zh) 用于3d拓扑图形晶片的光刻模型
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
EP3822703A1 (en) Method for determining a field-of-view setting

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant