CN101755072A - 太阳能电池以及形成该太阳能电池的方法和设备 - Google Patents

太阳能电池以及形成该太阳能电池的方法和设备 Download PDF

Info

Publication number
CN101755072A
CN101755072A CN200880025273A CN200880025273A CN101755072A CN 101755072 A CN101755072 A CN 101755072A CN 200880025273 A CN200880025273 A CN 200880025273A CN 200880025273 A CN200880025273 A CN 200880025273A CN 101755072 A CN101755072 A CN 101755072A
Authority
CN
China
Prior art keywords
layer
chamber
substrate
treatment
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200880025273A
Other languages
English (en)
Inventor
崔寿永
李立伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101755072A publication Critical patent/CN101755072A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type
    • H01L31/076Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • H01L31/1824Special manufacturing methods for microcrystalline Si, uc-Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic System
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/545Microcrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Abstract

本发明实施方式大致关于提供一种用来在基板处理装置中形成改良薄膜单一或多结太阳能电池的方法与设备。实施方式之一提供一种系统,其中包含至少一处理腔室,其适以沉积一或多层用以形成一部分的太阳能电池。在一实施方式中,通过在沉积该一或多层于该基板上之前,在该处理腔室内表面执行清洁处理,来减少可在该处理区中进行处理的基板上的污染物量。此清洁处理包括沉积可捕捉在处理腔室中发现的污染物的层(如,干燥层或钝化层)。本发明其它实施方式可提供排程和/或将该清洁处理步骤放在基板处理顺序中的所需时间点,以改善整体系统的基板产出率。

Description

太阳能电池以及形成该太阳能电池的方法和设备
技术领域
本发明大致关于太阳能电池以及用来形成此太阳能电池的方法和设备。更具体地,本发明关于薄膜太阳能电池和用来形成此薄膜太阳能电池的方法和设备,包括用来控制污染和改善器件产率的步骤。
背景技术
一般用来沉积半导体材料到基板上的等离子体增强化学气相沉积(PECVD)腔室已为公知技术。这类PECVD腔室的实例已公开在第6,477,980号的美国专利以及第US2006006138公开号的美国专利申请案中,其全文以参考文献方式并入本文中。等离子体处理包括提供处理气体混合物到称为等离子体腔室的真空室中,然后供应电磁能量使处理气体被激发至等离子体状态。等离子体可将气体混合物分解成为可在适当基板上执行所需沉积的离子种类。
由这类沉积处理在适当基板上所形成的硅太阳能电池包括非晶硅层以及微晶硅层。这些层形成可吸收太阳光且结果能产生电流的p-i-n器件。在执行所需的沉积以形成p-i-n结构时,很重要的是在i-层形成的过程中,不会受到先前依序形成的p-层及n-层的污染。现有技术通常使用单独的沉积腔室来形成器件中每一所需的沉积层。这样的工艺相当缓慢且需要耗费大量时间才能完成,因此使得经由此类工艺产生的太阳能电池的制造成本居高不下。为了克服这种问题研发出具有多个PECVD腔室的设备,让基板(包括大面积基板)可在PECVD腔室间自动地传送和移转,以完成所需的沉积。即使是以这样进行沉积,产率仍然不足以达成所需的制造效率且其污染程度仍然会造成产率下降以及器件效能不良。
因此,需要一种包括多个PECVD腔室的太阳能电池制造设备,从而改善系统的整体产出率以及生产线的污染程度,以改善所形成器件的效能和处理顺序的器件产率。
发明内容
本发明大致提供一种在基板上形成太阳能电池器件的方法,包含沉积二或多层在第一基板上,其中沉积此二或多层的步骤包括在处理腔室内形成本征层在该第一基板的表面上,及形成第一掺杂层于该形成在第一基板上的本征层上,在该二或多层被沉积在该第一基板上之后,钝化(passivating)设置在该处理腔室的处理区中的腔室组件的表面,其中钝化该腔室组件表面的步骤包括沉积包含硅的钝化层在该腔室组件的表面上,沉积二或多层在第二基板上,其中沉积此二或多层的步骤包括在处理腔室内形成本征层在该第二基板的表面上,及形成第一掺杂层于该形成在第二基板上的本征层上,及在该二或多层被沉积在该第一和第二基板上之后,干燥(seasoning)该腔室组件的表面,其中干燥该腔室组件表面的步骤包括以清洁气体移除该腔室组件该表面上的一定量的材料及沉积包含有硅的干燥层在该腔室组件的该表面上。
本发明实施方式还包含提供一种在基板上形成太阳能电池器件的方法,包含在第一处理区中处理多个基板,其中在该第一处理区中处理多个基板的步骤包括沉积多个第一层在第一腔室组件及多个基板上,其中当该多个第一层中的一层是沉积在该多个基板中的一个基板上时,该第一腔室组件和该多个基板中的一基板是放置在该第一处理腔室的该处理区中,且在该多个基板已被处理后,干燥设置在该第一处理腔室的该处理区内的该第一腔室组件的表面,其中干燥该第一腔室组件表面的步骤包括以清洁气体(cleaning gas)移除一定量的至少一部分的多个第一层材料(其沉积在该第一腔室组件上)及沉积包含有硅的第二层在该第一腔室组件的该表面上,在第二处理腔室内处理该多个基板,其中在第二处理腔室内处理该多个基板的步骤包含沉积一或多第三层在第二腔室组件(其位于该第二处理腔室的处理区中)上及形成在该多个基板之一上的第一层上,及当该一或多第三层的沉积完成后,钝化设置在该处理区内的该第二腔室组件的表面,其中钝化该第二腔室组件的表面的步骤包括沉积包含有硅的第四层在该第二腔室组件的该表面上。
本发明实施方式还包含提供一种形成太阳能电池器件的方法,包含移除腔室组件的表面上一定量的沉积材料(该腔室组件位于第一处理腔室的处理区中),以清洗气体(purging gas)清洗该第一处理腔室的该处理区,沉积干燥层在该腔室组件的表面上,其中该干燥层包含硅,待该干燥层被沉积在该腔室组件上之后,将基板放置在该处理区的基板支撑件上。并在该基板的表面上沉积一或多层,以形成一部分太阳能电池器件。
本发明实施方式还提供一种用来在一或多基板上形成太阳能电池器件的方法,包含设置至少一个第一处理腔室在群集工具的传送腔室上,设置至少四个第二处理腔室在该传送腔室上,其中每一该至少一个第一处理腔室和每一该至少四个第二处理腔室是与设置在该传送腔室内的机器臂间为传送联通状态,且每一该至少一个第一处理腔室适以沉积p-型层在基板上且每一该至少四个第二处理腔室适以依序沉积本征层和n-型层在该基板上,形成p-型层在该至少一个第一处理腔室的一腔室内基板的表面上,形成本征层和n-型层在该至少四个第二处理腔室的一腔室内该基板的该表面上,并在该基板已在该至少四个第二处理腔室的一腔室内被处理完成后,钝化第二腔室组件的表面(该第二腔室组件位在该至少四个第二处理腔室的一腔室处理区内),其中钝化该第二腔室组件的该表面的步骤包含沉积包含硅的钝化层在该第二腔室组件的该表面上。
本发明也与一种方法有关,其中提供包括有多个PECVD腔室的沉积系统,其中一腔室是用来沉积p-型非晶硅层,剩下的每一腔室则是用来形成非晶或微晶结构的本征层或非必要的例如在本征硅层(i-步骤)之后沉积的n-型层(n-步骤)。在沉积完本征层与n-型层之后,执行钝化处理步骤(钝化-步骤)以减少污染。在本发明另一实施方式中,可在执行过多个连续的i-步骤/n-步骤/钝化-步骤之后,执行远程等离子体清洁处理。
附图说明
图1示出朝向光源或太阳光的多结太阳能电池的分解示意图;
图2示出图1中包含n-型非晶硅缓冲层的多结太阳能电池的分解示意图;
图3示出图1中还包含p-型微晶硅接触层的多结太阳能电池的分解示意图;
图4示出可用来沉积太阳能电池的一或多层的等离子体增强化学气相沉积(PECVD)室的截面示图;
图5示出具有多个处理腔室的处理系统的一实例的上视图;
图6为依据本发明一实施方式中一种用来清洁和干燥处理腔室表面的方法流程图;
图7A为依据本发明一实施方式在处理腔室内执行的处理顺序的流程图;
图7B为依据本发明一实施方式在处理腔室内执行的处理顺序的流程图;
图8为依据本发明一实施方式在处理腔室内执行的处理顺序的流程图;
图9为依据本发明一实施方式,一种用来钝化处理腔室表面的方法的流程图;
图10为依据本发明一实施方式在处理腔室内执行的处理顺序的流程图;
图11为依据本发明一实施方式在处理腔室内执行的处理顺序的流程图;
图12为依据本发明一实施方式在群集工具内执行的处理顺序的流程图;
图13为依据本发明一实施方式在群集工具内执行的处理顺序的流程图。
为了促进理解,尽可能应用相同的组件符号来标示附图中相同的组件。预期一实施例的组件与特征可有利地并入其它实施例而不需特别列举。
具体实施方式
本发明实施方式大致提供一种用来在基板处理装置中形成改良的薄膜型单结或多结太阳能电池的设备及方法。实施方式之一提供一种系统,其包含至少一处理腔室,该处理腔室适以沉积可形成一部分太阳能电池的一或多层。在一实施方式中,采用一种方法来减少在该处理腔室内基板的污染,其在沉积该一或多层于基板上之前,在该处理腔室的内表面执行清洁处理。此清洁处理可包括沉积例如干燥层的层,其倾向可捕捉在该处理腔室内发现的污染物,因而可确保该处理基板是干净的,且未来在该腔室中处理的基板也可达到相同的处理结果。本发明的其它处理方式可提供排程(scheduling)和/或将该清洁处理步骤放在基板处理顺序中的欲求时间点,以改善整体系统的基板产出率。
图1是朝向光源或太阳光101的多结太阳能电池100的分解示意图。太阳能电池100包含基板102,例如玻璃基板、聚合物基板、金属基板或其它适当的基板,且其上形成有多层薄膜。此太阳能电池100还包含形成在基板102上方的第一透明导电氧化物(TCO)层110,形成在该第一TCO层上方的第一p-i-n结120,形成在该第一p-i-n结120上方的第二p-i-n结130,形成在该第二p-i-n结130上方的第二TCO层140,和形成在该第二TCO层140上方的金属背层150。为了通过减少光的反射来改善光的吸收,可非必要地利用湿法、等离子体、离子、和/或机械处理,来使基板和/或一或多层形成于其上的膜层具有纹理。举例来说,在图1所示的实施例中,第一TCO层110是被纹理化处理的,且后续沉积于其上的膜层大致依循其下方表面上的形状沉积。
第一TCO层110和第二TCO层140可分别包含有氧化锡、氧化锌、氧化铟锡、锡酸镉、及其混合或其它适当的材料。需知TCO材料也可包含额外添加的掺杂和组成份。例如,氧化锌还可包括诸如铝、镓、硼或其它适当掺杂。氧化锌可包括5%原子百分比或更少的掺杂,更佳是包含2.5%原子百分比或更少的铝。在特定实施例中,基板102也可由玻璃制造商提供其上已形成有第一TCO层110的玻璃。
第一p-i-n结120可包括p-型非晶硅层122、本征型非晶硅层124(形成在该p-型非晶硅层122上方)、n-型微晶硅层126(形成在该本征型非晶硅层124上方)。在特定实施方式中,p-型非晶硅层122的厚度约为
Figure G2008800252734D00051
至约
Figure G2008800252734D00052
间。在特定实施方式中,本征型微晶硅层124的厚度约为
Figure G2008800252734D00053
至约
Figure G2008800252734D00054
间。在特定实施方式中,n-型微晶硅层126的厚度约为
Figure G2008800252734D00055
Figure G2008800252734D00056
Figure G2008800252734D00057
间。
第二p-i-n结130可包括p-型微晶硅层132、本征型微晶硅层134(形成在该p-型微晶硅层132上方)、n-型非晶硅层136(形成在该本征型微晶硅层134上方)。在特定实施方式中,p-型微晶硅层132的厚度约为至约
Figure G2008800252734D00059
间。在特定实施方式中,本征型微晶硅层134的厚度约为
Figure G2008800252734D000510
至约间。在特定实施方式中,n-型非晶硅层136的厚度约为
Figure G2008800252734D000512
至约
Figure G2008800252734D000513
间。
金属背层150可包括但不限于选自下列的材料:铝(Al)、银(Ag)、钛(Ti)、铬(Cr)、金(Au)、铜(Cu)、铂(Pt)及其合金,或其混合。也可实施其它处理来形成太阳能电池100,例如激光划片处理(laser scribing process)。也可在金属背层150上方设置其它膜层、材料、基板和/或封装,以完成该太阳能电池100。上述的太阳能电池可互相连接形成模块,之后依次连接以形成太阳能电池阵列,以产生大量电力。
在发电期间,上述p-i-n结120、130的本征层可吸收太阳光101,产生可移出太阳能电池本征硅区之外的电子-空穴对。在p-型层与n-型层之间产生的电场延伸越过本征层,导致电子朝向n-型层流动且空穴朝向p-型层流动,从而产生电流。在一实施方式中,第一p-i-n结120可包括本征型非晶硅层124且第二p-i-n结130可包括本征型微晶硅层134,因为非晶硅与微晶硅可吸收不同波长的太阳光101。因此,太阳能电池100会更有效率,因为可俘获到大部分照射到太阳能电池100上的光线。因为非晶硅的禁带宽度大于微晶硅层的禁带宽度,因此将本征型非晶硅层124和本征型微晶硅层134的位置设置成可使得太阳光101先照射到本征型非晶硅层124,接着再照射到本征型微晶硅层134。被第一p-i-n结120吸收的太阳光会继续前往第二p-i-n结130。意外的发现,此处的第一p-i-n结120和第二p-i-n结130的p-i-n层的厚度能够提供给太阳能电池较佳的效率和更低的制造成本。不受限于任何理论,发明人认为厚度较大的本征层124、134对吸收更多太阳能光线有帮助。但是,如果p-i-n结120、130中任一本征层124、134的厚度太大,将会妨碍电子流动穿过这些层的速度。
在一方面,太阳能电池100可使用设置在第一p-i-n结120和第二p-i-n结130间的金属隧道层(未示出)。当第一p-i-n结120的n-型微晶硅层126和p-型微晶硅层132具有足够的传导性可提供隧道结以容许电子轻易地自第一p-i-n结120流向第二p-i-n结130时,就不需要使用到此金属隧道层。
在一方面,一般认为第二p-i-n结130的n-型非晶硅层136,因为较耐空气与较耐氧,因此可提供较高的电池效率。空气与氧会腐蚀硅膜,因而形成会降低膜层参与电子/空穴传输的杂质。
图2是还包括形成在本征型非晶硅层124与n-型微晶硅层126之间的n-型非晶硅缓冲层125的图1的多结太阳能电池100的分解示意图。在特定实施方式中,此n-型非晶硅缓冲层125的厚度可在约
Figure G2008800252734D00061
至约间。一般相信n-型非晶硅缓冲层125有助于改善n-型微晶硅层126的生长和/或黏合。一般相信可通过添加n-型非晶硅缓冲层125来改善电池效能,因为在本征型非晶硅层124与n-型微晶硅层126间的电流会由于改善的这些层间界面而获得改善。
图3是还包括形成在第一TCO层110与p-型非晶硅层122之间的p-型微晶硅接触层121的图1的多结太阳能电池100的分解示意图。在特定实施方式中,此p-型微晶硅接触层121的厚度在约
Figure G2008800252734D00071
至约间。一般相信p-型微晶硅接触层121有助于改善p-型非晶硅层122的生长和/或黏合。一般相信因为在本征型非晶硅层124与第一TCO层110间的电流会由于改善的这些层间界面而获得改善,因此可改善电池效能。在一实施方式中,第一TCO层是含有氧化锌(ZnO)的层。太阳能电池100还可包括选用的n-型非晶硅缓冲层(未示于图3中),如图2所示(即,符号125所示)该n-型非晶硅缓冲层形成在本征型非晶硅层124与n-型微晶硅层126之间。
图4是等离子体增强化学气相沉积(PECVD)室400的一实施方式的截面示意图,其中可沉积太阳能电池(如图1-3的太阳能电100)的一或多层膜。可以使用美国加利福尼亚州圣克拉拉市应用材料有限公司提供的适当的等离子体增强化学气相沉积室。但也可使用其它设备制造商所提供的沉积腔室来实施本发明。
腔室400一般包括腔室壁402、底部404、喷头410和基板支撑件430,其共同界定出处理区406。可由阀408进出该处理区406,使得基板102可被传送进、出该腔室400。基板支撑件430包括基板接收表面432用以支撑基板,还包括耦接到举升系统436的柱434以升高或下降基板支撑件430。遮蔽框433可非必要地放置在基板102周围上方。举升梢438是可移动地设置并穿过基板支撑件430以移动基板靠近或远离该基板接收表面432。基板支撑件430还可以包括加热和/或冷却装置439,以维持基板支撑件430在所需的温度范围。基板支撑件430也可包括接地带431以于基板支撑件430外围提供RF接地。接地带的实例可参考美国专利第6,024,044号(2000年2月15日授予Law等人)、以及参考Park等人的美国专利申请第11/613,934号(2006年12月20日递交),其以参考文限内容方式并入本文中。
悬臂414将喷头410从其外围耦接至背板412。也可利用一或多个中央支撑件416将喷头410耦接至背板412,以防止喷头410下垂和/或控制喷头410的直度/曲度。连接气体源420到背板412以提供气体到背板412上并穿过多个形成在喷头410中的孔411到达基板接收表面432。连接真空泵409到腔室400以控制处理区406的压力在所需范围内。将RF源422耦接到背板412和/或喷头410上,以提供RF功率到喷头410上,使得可在喷头410与室壁402和/或基板支撑件430之间产生电场,以利用处理区406中的气体来形成等离子体。可使用各种RF频率,例如介于约0.3MHz至约200MHz间。在一实施方式中,是以13.56MHz的频率来供应RF功率。喷头的实例公开在2002年11月12日核发给White等人的美国专利6,477,980、2006年11月17日公开的Choi等人的美国专利申请案20050251990、以及2006年3月23日公开的Keller等人的美国专利申请案20060060138中,其全文以参考文献方式并入本文中。
可将远程等离子体源424(例如,感应耦合远程等离子体源)连接到气体源420与背板412之间。因此,可提供反应性清洁气体来清洗各腔室组件,利用传送清洁气体到远程等离子体源424中产生等离子体活化的清洁气体,然后再将其送回到处理腔室组件的表面上进行清洗。这些清洁气体可进一步被从RF功率源422传送到喷头410的能量所激发。适当的清洁气体包括但不限于NF3、F2、CF4、C2F6、CCl4和C2Cl6。远程等离子体源的实例公开在1998年8月4日核发给Shang等人的美国专利5,788,778中,其全文以参考文献方式并入本文中。
利用以下的沉积参数和沉积方法来形成太阳能电池器件的一或多硅层,例如以和图4类似的处理腔室来形成图1-3所示太阳能电池100的硅层。在一实施例中,在处理腔室中提供表面积约10,000cm2或更大,较佳是约40,000cm2或更大,更佳是约55,000cm2或更大的基板102。需知可以切割此基板102来形成更小的太阳能电池器件。
在一实施方式中,设定加热和/或冷却装置439来使基板支撑件的温度在沉积期间约为400℃或更低,较佳是在约100℃至约400℃间,更佳是在约150℃至约400℃间,例如约200℃。
基板接收表面432上的基板顶面与喷头410之间的距离约在400mil(0.01m)至约1200mil(0.03m)间,较佳是约在400mil(0.01m)至约800mil间。太阳能应用的玻璃基板的厚度约在40mil(0.001m)至约200mil(0.0051m)间。
在一实施例中,以控制器447来帮助控制腔室400及系统500(图5)的自动化程序,此控制器447一般包括中央处理单元(CPU)(未示出)、内存(未示出)和辅助电路(或I/O)(未示出)。CPU可以是任一种用在工业设定中用来控制各种腔室处理与硬件(即,侦测器、屏幕、流体输送硬件等)及监控系统与腔室工艺(如,基板位置、处理时间等)的计算机处理器。内存连接到CPU上,且可以是一或多种容易存取的内存,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或其它形式的数字储存、本地端或远程。可将软件指令编码储存在内存中并用来指挥CPU。辅助电路也连接到CPU中以经由传统方式来支持处理器。辅助电路可包括有快速缓冲储存区(cache)、电源、时钟电路、输入/输出电路、子系统等。可由控制器447读取的程序决定应在基板上执行何种处理。较佳是,该程序是可由控制器447读取软件,其包括可产生及储存至少处理配方顺序、基板位置信息、各控制组件移动顺序、工艺控制、处理时间、排程、排队步骤及其组合等等的信息。
对硅膜的沉积来说,一般提供硅系气体和氢系气体。适当的硅系气体包括,但不限于,硅烷(SiH4)、二硅烷(Si2H4)、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(Si2H2Cl2)及其组合。适当的氢系气体包括,但不限于,氢气(H2)。p-型硅层的p-型掺杂可包括第III族元素,例如硼或铝。较佳是,以硼做为p-型掺杂。含硼来源的实例包括三甲基硼烷(TMB或B(CH3)3)、三乙基硼烷(TEB或B(C2H5)3)、二硼烷(B2H6)及其类似物。n-型硅层的n-型掺杂可包括第V族元素,例如磷、砷或锑。较佳是,以磷做为n-型掺杂。含磷来源的实例包括磷化氢(PH3)及其类似物。掺杂一般利用载气来运送,例如氢、氩及其它适合的化合物。
沉积p-型微晶硅接触层(例如,图3的接触层121)的特定实例可包括提供由氢气与硅烷以200∶1或更高的比例组成的混合气体。硅烷的流速在约0.05sccm/L至约0.5sccm/L间。氢气的流速在约50sccm/L至约400sccm/L间。三甲基硼烷(TMB,0.5%体积浓度(于氢气中))的流速在约0.05sccm/L至约0.5sccm/L间。本公开内容中的流速以每腔室内部容积中每分钟标准立方厘米(sccm)来表示。腔室内部容积界定成处理期间气体可占据的腔室内部体积大小。举例来说,腔室400的腔室内部容积大致界定成由背板412、腔室壁402及腔室底部404组成的体积减掉其中被喷头组件(包括喷头410、悬臂414及中央支撑件416)和基板支撑组件(基板支撑件430和接地带431)所占据的体积。在喷头上提供约50mW/cm2至约700mW/cm2的RF功率。在某些组态中,喷头410的大小较佳是比基板102的尺寸大约20%。本公开内容中的RF功率以每基板面积上所供应至电极的瓦数来表示。举例来说,当提供10,385瓦的RF功率至面积约220cmx260cm的基板上时,RF功率约相当于10,385瓦/(220cmx260cm),等于180mW/cm2。将腔室内的压力维持在约1Torr至约100Torr间,较佳是约3Torr至约20Torr间,更佳是约4Torr至约12Torr间。p-型微晶硅接触层的沉积速率约为
Figure G2008800252734D00101
或更高。
p-型非晶硅层(例如,图1-3的硅层122)的特定沉积实例,可包括提供由氢气与硅烷以20∶1或更少的比例组成的混合气体。硅烷的流速在约1sccm/L至约10sccm/L间。氢气的流速在约5sccm/L至约50sccm/L间。三甲基硼烷(TMB,0.5%体积浓度(于氢气中))的流速在约1sccm/L至约10sccm/L间。甲烷的流速在约1sccm/L至约15sccm/L间。在喷头上提供约25mW/cm2至约200mW/cm2的RF功率。将腔室内的压力维持在约0.1Torr至约20Torr间,较佳是约1Torr至约4Torr间。p-型非晶硅层的沉积速率约为
Figure G2008800252734D00102
或更高。
本征型非晶硅层(例如,图1-3的硅层124)的特定沉积实例,可包括提供由氢气与硅烷以20∶1或更少的比例组成的混合气体。硅烷的流速在约0.5sccm/L至约7sccm/L间。氢气的流速在约5sccm/L至约60sccm/L间。在喷头上提供约15mW/cm2至约250mW/cm2的RF功率。将腔室内的压力维持在约0.1Torr至约20Torr间,较佳是约0.5Torr至约5Torr间。本征型非晶硅层的沉积速率约为
Figure G2008800252734D00103
或更高。
n-型非晶硅层(例如,图1-3的硅层125)的特定沉积实例,可包括提供由氢气与硅烷以20∶1或更少的比例组成的混合气体。硅烷的流速在约1sccm/L至约10sccm/L间。氢气的流速在约4sccm/L至约40sccm/L间。磷化氢(0.5%体积浓度(于氢气中))的流速在约0.1sccm/L至约1.5sccm/L间。在喷头上提供约15mW/cm2至约250mW/cm2的RF功率。将腔室内的压力维持在约0.1Torr至约20Torr间,较佳是约0.5Torr至约4Torr间。本征-型非晶硅层的沉积速率约为
Figure G2008800252734D00104
或更高。
沉积n-型微晶硅层(例如,图3的层126)的特定实例可包括提供由氢气与硅烷以100∶1或更高的比例组成的混合气体。硅烷的流速在约0.05sccm/L至约0.5sccm/L间。氢气的流速在约30sccm/L至约250sccm/L间。磷化氢(0.5%体积浓度(于氢气中))的流速在约0.1sccm/L至约0.8sccm/L间。在喷头上提供约100mW/cm2至约900mW/cm2的RF功率。将腔室内的压力维持在约1Torr至约100Torr间,较佳是约3Torr至约20Torr间,更佳是约4Torr至约12Torr间。n-型微晶硅层的沉积速率约为
Figure G2008800252734D00111
或更高。
沉积p-型微晶硅层(例如,图1-3的层132)的特定实例可包括提供由氢气与硅烷以200∶1或更高的比例组成的混合气体。硅烷的流速在约0.05sccm/L至约0.5sccm/L间。氢气的流速在约50sccm/L至约400sccm/L间。三甲基硼烷(0.5%体积浓度(于氢气中))的流速在约0.05sccm/L至约0.5sccm/L间。在喷头上提供约50mW/cm2至约700mW/cm2的RF功率。将腔室内的压力维持在约1Torr至约100Torr间,较佳是约3Torr至约20Torr间,更佳是约4Torr至约12Torr间。p-型微晶硅层的沉积速率约为或更高。
本征型微晶硅层(例如,图1-3的硅层134)的特定沉积实例,可包括提供由硅烷与氢气以1∶20至1∶200的比例组成的混合气体。硅烷的流速在约0.3sccm/L至约3sccm/L间。氢气的流速在约20sccm/L至约200sccm/L间。在特定实施例中,沉积期间可将硅烷流速往下调低,从第一流速往下调至第二流速。在特定实施例中,沉积期间可将氢气流速往下调低,从第一流速往下调至第二流速。在喷头上提供约300mW/cm2或更高的RF功率,较佳是约450mW/cm2或更高的RF功率。在特定实施例中,沉积期间可将功率密度往下调低,从第一功率密度往下调至第二功率密度。将腔室内的压力维持在约1Torr至约100Torr间,较佳是约3Torr至约20Torr间,更佳是约4Torr至约12Torr间。本征型微晶硅层的沉积速率约为
Figure G2008800252734D00113
或更高,较佳是约。用来沉积本征型微晶硅层的方法公开在2006年6月23日递交的美国专利申请案11/426,127,标题为“Methods and Apparatus for Depositinga Microcrystalline Silicon Film for Photovoltaic Device”,其全文以参考文献方式并入本文中。在特定实施例中,此微晶硅本征层中的结晶比例约为20%至80%间,较佳是在约55%至约75%间。意外地发现当微晶硅本征层中的结晶比例约70%或更低时,开路电压将上升并导致更高的电池效能。
在一种沉积n-型非晶硅层(例如,图1-3的硅层136)方法的特定实施方式中,包含以第一硅烷流速沉积非必要的第一n-型非晶硅层,并以以第二硅烷流速(比第一硅烷流速还要低)沉积第二n-型非晶硅层在第一n-型非晶硅层上。第一n-型非晶硅层可利用提供由氢气与硅烷以20∶1或更少的比例组成的混合气体来沉积。硅烷的流速在约1sccm/L至约10sccm/L间。氢气的流速在约4sccm/L至约40sccm/L间。磷化氢(0.5%体积浓度(于氢气中))的流速在约0.5sccm/L至约3.5sccm/L间。在喷头上提供约25mW/cm2至约250mW/cm2的RF功率。将腔室内的压力维持在约0.1Torr至约20Torr间,较佳是约0.5Torr至约4Torr间。第一n-型非晶硅层的沉积速率约为
Figure G2008800252734D00121
或更高。第二n-型非晶硅层可利用提供由氢气与硅烷以1∶20或更少的比例组成的混合气体来沉积。硅烷的流速在约0.2sccm/L至约2sccm/L间。氢气的流速在约1sccm/L至约10sccm/L间。磷化氢(0.5%体积浓度(于氢气中))的流速在约0.5sccm/L至约10sccm/L间。在喷头上提供约25mW/cm2至约250mW/cm2的RF功率。将腔室内的压力维持在约0.1Torr至约20Torr间,较佳是约0.5Torr至约4Torr间。第二n-型非晶硅层的沉积速率约为
Figure G2008800252734D00122
或更高。
图5为处理系统500的平面示意图,此处理系统500包含多个处理腔室531-537,例如图4的PECVD腔室400或其它能沉积硅层的适当腔室。处理系统500包括与加载锁定腔室510及与该些处理腔室531-537连接的传送腔室520。此加载锁定腔室510容许基板可在系统外的周围环境与传送腔室520及该些处理腔室531-537内的真空环境之间传送。加载锁定腔室510包括一或多个用以固持一或多基板于其中的可抽真空的区域。在基板被送入到处理系统500的期间以及基板从处理系统500被送出的期间,可将上述可抽真空的区域抽空。在一实施方式中,传送腔室520中至少有一用来在该加载锁定腔室510至该些处理腔室531-537间传送基板的真空机器臂522,同时将传送腔室520维持在真空状况。在另一实施方式中,将传送腔室520维持在接近大气压环境下且包含一定量的惰性气体。
在处理系统500的一实施方式中,将该些处理腔室531-537的一腔室设成可用来沉积第一或第二p-i-n结的p-型硅层,该些处理腔室531-537的另一腔室则设成可用来沉积第一或第二p-i-n结的本征硅层,该些处理腔室531-537的另一腔室则设成可用来沉积第一或第二p-i-n结的n-型硅层。虽然使用3腔室处理设计可能有利用控制污染,但产出率较二腔室系统低,且机器臂的使用频率太高(因为需要较多的传送步骤),且当有一腔室因工艺或硬件问题而无法运作时,就会影响整体工艺,造成腔室使用率大幅下降。
在本发明特定实施方式中,将处理系统500设计成可沉积第一p-i-n结,其包含多结太阳能电池的本征型非晶硅层,例如图1-3所示的第一p-i-n结120。在一实施方式中,将处理腔室531-537的一腔室设计成可沉积第一p-i-n结的p-型硅层,同时将剩下的处理腔室531-537的每一腔室设计成可沉积第一p-i-n结的本征型非晶硅层和n-型硅层。在一实施方式中,此第一p-i-n结的本征型非晶硅层和n-型硅层可在同一腔室内沉积而在沉积步骤之间不需执行钝化处理(讨论于下)。虽然在讨论处理系统500及其组件时,会参考其用来形成第一p-i-n结的各装置,但本发明范畴并不仅限于此,因为处理系统500可用来形成第一p-i-n结、第二p-i-n结、第一及第二p-i-n结二者,及其组合。
于处理系统500执行的基板处理顺序的一实施方式中,经由加载锁定腔室510进入处理系统500的基板通过真空机器臂传送到指定用来沉积p-型硅层的处理腔室内,或是通过真空机器臂传送到指定用来沉积本征硅层和n-型硅层的其它剩余处理腔室内,并经由真空机器臂被传送回到加载锁定腔室510中。在一实例中,如图5所示,以机器臂522将基板送进处理腔室531中(如路径A1所示),处理腔室531是用来沉积一或多p-型硅层在基板上,接着再以机器臂522将基板送进处理腔室534中(如路径A2所示),处理腔室534是用来沉积一或多本征硅层和n-型硅层,接着再将基板送回到加载锁定腔室510中(如路径A3所示),然后就可将基板从系统中移出。假设p-型硅层厚度为
Figure G2008800252734D00131
且沉积速率为每分钟
Figure G2008800252734D00132
,则沉积p-型硅层所需的时间约为0.3分钟。对厚度为
Figure G2008800252734D00133
且沉积速率为每分钟
Figure G2008800252734D00134
的本征层来说,沉积时间约需12.3分钟。假设n-型硅层厚度为且沉积速率为每分钟
Figure G2008800252734D00136
,则沉积n-型硅层所需的时间约为0.5分钟。可知如果一腔室是用来沉积p-型硅层,且有多个腔室是用来沉积本征层及n-型硅层,可通过增加可并行处理i-n层的处理腔室的数目,来实现提高产率的目地。即,从例如处理腔室531的可沉积p-型硅层的处理腔室连续加载一系列的基板并由传送腔室520操作,将每一基板传送到至少一后续处理腔室中,例如处理腔室532~537中,以形成i-n层。
在一实施方式中,可调整每一处理腔室的处理顺序和处理时间以改善基板的产出率和处理结果。在一实施方式中,第一处理腔室可沉积p-型硅层,第二处理腔室可沉积本征层和n-型硅层,可能需要调整处理时间,如,加上将基板放在第一处理腔室的基板支撑件上使其在被传送到第二处理腔室前可被加热或冷却至所需温度的时间。在此状况下,因为第一处理腔室的沉积时间一般少于第二处理腔室内的时间,可调整所增加的使基板温度平衡的时间,使得基板的产出率不致因第一腔室内所增加的时间而受到影响。在一实施方式中,系统控制器447可控制及调整处理时间、基板排队时间、和其它处理参数,来改善处理结果和系统的产出率。
在一种两腔室的设计中,在每一腔室中沉积完i-n层之后,可重复实施工艺。但是,为避免污染物被并入到后续基板的本征层中,已知每隔一段所需间隔后,可在每一专门用来沉积i-n层的腔室中实施清洁处理(例如,干燥处理600),即可改善处理顺序的器件产率。干燥处理600一般包括一或多个用来从处理腔室部件上移除先前沉积材料的步骤,以及一或多个依据本发明实施方式用来沉积材料在该处理腔室部件上的步骤。图6示出干燥处理600的实施方式,用来减少在后续处理基板102上接续形成的层上的污染。
在第一步骤或清洁处理602中,在腔室内执行至少一清洁步骤,以移除在处理腔室中多个部件表面上(即,腔室壁402、遮蔽框433、喷头410)所发现的一部分的先前沉积材料。这类清洁处理之一使用高功率远程激发源,例如美国专利第5,788,778号专利中公开的,其使用诸如NF3、F2、CF4、SF6、C2F6、CCl4、C2Cl6、卤素和/或含卤素化合物,或其类似物之类的清洁气体。与使用远程等离子体源不同的是,清洁处理602伴随有使用适当清洁气体在处理腔室400的处理区域中产生等离子体。一般来说,实施清洁处理602来移除先前沉积层及任何其它可能会影响后续沉积层与腔室组件(如,腔室壁402、底部404、喷头410、基板支撑件430)间的黏附性的污染物,以减少颗粒污染源,和/或减少后续沉积本征层因先前沉积层中所含掺杂而被污染的机率。
在接下来的清洗步骤(purging step)604中,传送气体到处理腔室的处理区中,以移除处理区中或腔室组件表面上任何不欲求的残余污染物。在一实施方式中,此清洗步骤604是通过流入清洗气体(其包含具反应性的种类,例如氢气)到PECVD处理腔室内来实施。较好是在清洗步骤604期间产生等离子体来提高处理期间反应性气体的活性及惰性气体的能量。在清洗步骤604期间生成含氢等离子体可有效地移除在先前清洁步骤中,被键结在或吸附在腔室表面上的不欲求污染物。在一实例中,以氢等离子体来移除被键结在或吸附在腔室表面上的不欲求的含氟污染物,其通过形成挥发性含HF的蒸气,而被真空抽吸系统(即,图4中的真空泵409)通过过抽真空而移除。
在下一步骤或干燥(seasoning)处理606中,在处理腔室组件表面上形成干燥层。在一实施方式中,此干燥层是非晶硅层,其通过流入诸如硅烷之类的适当气体通过沉积腔室并产生等离子体以沉积非晶硅层在处理腔室组件表面上而形成的。因此,此干燥层可阻隔留在各处理腔室部件上的残留沉积物。此干燥层一般可有效地降低和/或防止因为留在所沉积的n-型层和/或p-型层上的污染物污染本征层。在一实例中,此干燥层是利用提供由氢气与硅烷以1∶20或更少的比例组成的混合气体且腔室压在约0.1Torr至约20Torr间来沉积。硅烷的流速在约0.5sccm/L至约7sccm/L间。氢气的流速在约5sccm/L至约60sccm/L间。在喷头上提供约15mW/cm2至约250mW/cm2的RF功率。在一实例中,此干燥层的厚度超过
Figure G2008800252734D00151
,在另一实例中,此干燥层的厚度超过
Figure G2008800252734D00152
,在另一实施方式中,此干燥层的厚度约为约
Figure G2008800252734D00153
至约
Figure G2008800252734D00154
之间。
一般来说,干燥层是在处理腔室的处理区中没有放置基板的情况下实施。但是,在某些实施方式中,在一或多干燥步骤600中,在基板支撑件上放上样品基板或不使用的基板,以减少未来基板被污染以及器件效能问题。在一实施方式中,将样品基板放在基板支撑表面上,以防止干燥层被沉积在基板支撑表面上。
因此,为改善用来在第一p-i-n结120和/或第二p-i-n结130中形成一或多层的基板处理顺序中的器件效能,每隔固定期间即在群集工具(即,图5的处理系统500)的每一处理腔室内实施的沉积步骤之间实施干燥处理600。图7A示出在处理腔室中执行的处理顺序700的实例,其中先在基板上执行基板沉积处理705A,接着在处理腔室组件上执行干燥处理600。在一实例中,如图7A所示,基板沉积处理705A包括两步骤的沉积处理,其中先在基板表面上沉积本征层(即,步骤702),然后再在本征层上沉积含有掺杂的层(即,步骤704)。在一例中,此含有掺杂的层为如上所述的n-型层或p-型层。
图7B示出在处理腔室中执行的处理顺序720的实例,其中在处理腔室组件上执行干燥处理600之前,先于基板沉积处理705B中于基板上沉积单层。在一实例中,如图7B所示,基板沉积处理705B包括单一沉积处理步骤,其中在基板表面上沉积掺杂层(即,步骤722)。在一例中,此掺杂层为如上所述的n-型层或p-型层。虽然第7A和7B图分别显示两步骤的沉积处理和单一步骤的沉积处理,但本发明并不局限于此,因为在不偏离本发明精神范畴下,也可实施多步骤的沉积处理。
图8示出在处理腔室中执行的处理顺序800的实例,其中在每一沉积处理步骤之后执行的一系列的干燥处理(即,附图标记600A~600N)之后,于多个基板上执行一系列的沉积处理步骤(即,附图标记805A~805N)。如图8所示,处理顺序800包括在基板上形成一或多层,接着依序在处理腔室组件上执行N次干燥处理,其中N代表所需的基板数目。在一实例中,每一沉积处理步骤805A~805N包括在基板上形成本征层,接着形成内含掺杂的层,例如上所述的n-型层或p-型层。一般来说,干燥处理步骤600A~600N与上述的干燥处理600类似。此外,在此所述的沉积处理步骤805A~805N一般与上述的沉积处理705A~705B和/或处理实例类似。
为了提高处理系统500中的基板产出率,可在处理顺序的各部份中,以较不复杂的清洁处理(例如,图9中的钝化处理900)来取代干燥处理600。已知在沉积完i-n层之后,或在某个所需间隔之后,在每一基板102上执行钝化处理900,可提高基板的产出率,同时可保持处理腔室内的污染量在所需范围。图9示出用来减少在后续处理基板102上接续形成的层中污染物量的钝化处理900的实例。
在第一步骤或清洗步骤902中,传送气体到处理腔室的处理区中以移除处理区中或腔室组件表面上任何不欲求的残留污染物。在一实施方式中,通过流入包含有反应性种类(例如氢气)的清洗气体到PECVD处理腔室内来实施。在一实例中,清洗气体包含有诸如氩气的惰性气体。较好是在清洗步骤902期间产生等离子体来提高处理期间反应性气体的活性及惰性气体的能量。在清洗步骤902期间生成含氢等离子体可有效地移除在先前清洁步骤中,被键结在或吸附在腔室表面上的不欲求污染物。但是,在钝化处理900的某些实施方式中,清洗步骤并不是一定必要的,因此,在某些实施方式中,钝化处理900只包括下述的干燥处理904。
在接下来的干燥处理904中,在腔室组件表面上形成钝化层。在特定实施方式中,此钝化层是非晶硅层,其是通过流入诸如硅烷之类的适当气体穿过沉积腔室并产生等离子体来沉积非晶硅层在处理腔室组件表面上而形成。因此,钝化层可做为各处理腔室组件表面上残余沉积材料的阻障。此钝化层一般可有效地减少和/或防止本征层被原先留在腔室组件上的n-型层或p-型层所污染。在一实例中,此钝化层是通过提供由氢气与硅烷以1∶20或更少的比例组成的混合气体且腔室压在约0.1Torr至约20Torr间来沉积。硅烷的流速在约0.5sccm/L至约7sccm/L间。氢气的流速在约5sccm/L至约60sccm/L间。在喷头上提供约15mW/cm2至约250mW/cm2的RF功率。在一实例中,此钝化层的厚度超过
Figure G2008800252734D00171
,在另一实例中,此钝化层的厚度超过,在另一实施方式中,此钝化层的厚度约为
Figure G2008800252734D00173
至约
Figure G2008800252734D00174
之间。
在干燥处理904的一实例中,利用沉积处理期间添加会被并入至钝化层中的含有掺杂的气体而于步骤904所形成的层中加入所需类型的掺杂。在某些情况下,较好是以和处理期间基板102上所沉积的一或多层中掺杂相反类型的掺杂,来掺杂钝化层。一般相信以相反类型的掺杂(例如,施主型或受主型)来掺杂钝化层,所掺杂的掺杂原子能中和前一基板处理期间留下的任何残留污染物的影响。在一实例中,较佳是以硼掺杂来中和非晶硅钝化层中因前一沉积步骤中留下的磷(P)掺杂的影响。在一实例中,较佳是在干燥处理606中所形成的干燥层中添加掺杂。
一般来说,钝化处理900是在处理腔室处理区中并未置放任何基板的情况下实施的。但是,在某些情况下,可于一或多钝化处理900中,于基板支撑件上放置样品基板或不使用的基板,来减少未来基板被污染的机率与器件产出率的问题。在一实例中,在基板支撑表面上放置样品基板,以防止钝化层被沉积在基板支撑表面上。
图10示出在处理腔室中执行的基板处理顺序1000的实例,其中在一系列基板上执行一系列的沉积处理步骤1006,接着再于处理腔室内执行一系列的干燥处理600。如图10所示,处理顺序1000包括在N个基板上形成一或多层(即,步骤805A~805N),接着在处理腔室组件上执行干燥处理600,接着在额外的N个基板上形成一或多层(即,步骤805N+1至805N+N),接着在处理腔室组件上执行第二干燥处理600,其中N是所需的基板数目。在处理顺序1000的一实例中,在一系列沉积处理步骤1006中的每一沉积处理步骤包括,在基板上形成掺杂层,例如n-型层或p-型层。在另一实例中,在一系列沉积处理步骤1006中的每一沉积处理步骤(即,步骤805A~805N)包括,在基板上形成p-型掺杂层,其中干燥处理的频率(N)是每隔1~50个基板即执行一次。在另一实例中,在适以在基板上沉积p-型层的腔室中所执行的干燥处理的频率(N)是每隔10~50个基板即执行一次。
图11示出在处理腔室中执行的基板处理顺序1100的实例,其中在一系列基板上执行一系列的沉积处理步骤1106,接着在处理腔室内执行干燥处理600。如图11所示,处理顺序1100包括在N个基板上形成一或多层(即,步骤805A~805N),接着在处理腔室组件上执行干燥处理600,接着在额外的N个基板上形成一或多层(即,步骤805N+1至805N+N),接着在处理腔室组件上执行第二干燥处理600,其中N是所需的基板数目。在处理顺序1100的一实例中,如图11所示,较佳是在每一沉积步骤间(其中在基板上形成一或多层(即,步骤805A~805N-1、步骤805N+1~805N+N-1))执行钝化处理900。在一实施方式中,并不一定需要在每一对基板沉积步骤间执行干燥处理600之前或之后,执行钝化处理900。举例来说,如图11所示,在步骤805N和805N+N已被完成之后,只执行干燥处理600。在此种设计中,处理腔室内的污染量可被减少,且可使在每一沉积步骤后加入清洁处理来减少沉积层中污染物的产出率效应可被降至最低。一般来说,图11所示的每一钝化处理步骤(即,步骤900A、900B、900N+1)与上述的钝化处理900类似。
在处理顺序1100的一实例中,在一系列沉积处理步骤1106的沉积处理步骤(即,步骤805A~805N)中,包括在基板上形成本征层,接着形成掺杂层(如,n-型层或p-型层)。在另一实例中,处理顺序1100的一实例中,在一系列沉积处理步骤1106的每一沉积处理步骤(即,步骤805A~805N)中,包括在基板上形成本征层,接着形成n-型掺杂层,其中干燥处理的频率(N)是每隔1~20个基板即执行一次,且每间隔一处理步骤即执行钝化处理。在另一实例中,在适以在基板上沉积本征层接着再形成n-型层的腔室中所执行的干燥处理的频率(N)是每隔10~20个基板即执行一次,且每间隔一处理步骤即执行钝化处理。
图12示出在内含至少两处理腔室的群集工具中执行的基板处理顺序1200的实例,其中在一系列基板上执行一系列的沉积处理步骤,接着在适当间隔后,于每一处理腔室内执行一或个多清洁类型处理(即,干燥处理600及钝化处理900)。如图12所示,用来形成器件各个部分的处理顺序包括在第一处理腔室形成一或多层(即,步骤1205A~N),将基板传送到第二处理腔室(即,步骤1208A~N),接着在第二处理腔室内形成一或多层(即,步骤1207A~Z)在基板上。在一实例中,第一处理腔室适以执行上述的沉积处理步骤1006,第二处理腔室适以执行上述的沉积处理步骤1106。在此实例中,处理步骤1205A~1205N与图10中的处理步骤805A~805N类似,且处理步骤1207A~1207Z与图11中的处理步骤805A~805N类似。在干燥处理600前可分别在第一及第二处理腔室内处理N个基板和Z个基板。虽然图12一般被描绘成在第一及第二处理腔室内的干燥处理频率相同(亦即,N=Z),但本发明并不限于此。需知,图12所示两腔室基板处理顺序并不对处理系统500或上述清洁处理步骤实施方式可能包含的组态或腔室类型有所限制。在处理腔室内执行的干燥处理频率可随腔室内实施的沉积步骤数目、沉积在腔室内的材料量、沉积处理参数及沉积在腔室内的材料类型而有所变化。如图12所示,可重复所需数次的各处理顺序步骤,或直到已在群集工具中处理完所需数目的基板为止。
在本发明特定实施方式中,处理系统500设计成可沉积第二p-i-n结,其包含多结太阳能电池的本征型微晶硅层,如图1-3的第二p-i-n结130。在一种设计中,将一或多处理腔室531-537设计成可沉积第二p-i-n结的p-型硅层,同时将剩下的处理腔室531-537分别设计成可沉积本征硅层和n-型硅层。在特定实施方式中,在处理腔室内处理基板以形成p-型硅层的时间比在单一腔室内形成本质微晶硅层和n-型硅层的处理时间快了约4或更多倍。因此,在用来沉积第二p-i-n结的系统的特定实施方式中,p-型腔室与i-型腔室的比例是1∶4或更高,较佳是1∶6或更高。
此外,为了提高处理系统500中基板的产出率,可将执行干燥处理600和/或钝化处理900的频率,以及沉积层(即,步骤606中的干燥层与步骤904中的钝化层)厚度最佳化。一般来说,因为干燥处理600和钝化处理900一般无法同时实施,在器件基板上执行沉积处理步骤时需要用来执行此一或多步骤的时间将使处理腔室的总成本上升。因此,可依据需要用来完成这些处理的时间、处理系统内的腔室数目、系统内的腔室类型和在每一腔室内执行的沉积处理配方系数来选择执行这些处理的频率,因此可改善系统的产出率。结果,本发明所述的可提供较高产出率的沉积处理,同时控制每一处理腔室内的污染物量。
在特定实施方式中,用来沉积包含本征非晶硅层的第一p-i-n结的处理系统500的产出率约为用来沉积包含本征微晶硅层的第二p-i-n结的处理系统500的产出率的2倍或更高,因为本征微晶硅层的厚度比本征非晶硅层来得厚。因此,适以沉积包含本征非晶硅层的第一p-i-n结的单一处理系统500可与适以沉积包含本征微晶硅层的第二p-i-n结的二或多个处理系统500匹配。一旦已在系统500的基板上形成第一p-i-n结,即可将基板暴露在周围环境下并传送到第二系统中。上述关于非晶硅p-i-n结的干燥处理600和/或钝化处理900也可运用在所述的本征型微晶硅层中。或者,也可通过将一腔室用来沉积p-型硅层及将第二腔室用来处理n-型硅层,而能使用上述以及图5中的系统。在这些条件下,将通过传送腔室502来传送基板到处理腔室内,例如用来沉积p-型硅层的处理腔室531。一旦p-型硅层已沉积在基板上,即以真空机器臂522将基板传送到下一处理腔室内,例如上述用来沉积本征层的处理腔室532~536。一旦本征层已被沉积在p-型硅层上,即以真空机器臂522将基板移出并送至下一处理腔室内,例如上述用来沉积n-型层的处理腔室537。在这些条件下,需要在每一处理腔室内执行上述的干燥处理600,以减少每一处理腔室内的污染。图13示出在内含至少三个处理腔室的群集工具中执行的基板处理顺序1300的实例,其中在每一处理腔室的一系列基板上执行一系列3种不同的沉积处理步骤,接着在适当间隔后,于每一处理腔室内执行一或多个清洁类型处理(即,干燥处理600及钝化处理900)。如图13所示,用来形成器件各个部分的处理顺序包括在第一处理腔室形成第一层(即,步骤1305A~N),将基板传送到第二处理腔室(即,步骤1308A~N),接着在第二处理腔室内形成第二层(即,步骤1306A~X),将基板传送到第三处理腔室(即,步骤1309A~N),接着在第三处理腔室内形成第三层(即,步骤1307A~Z)。在干燥处理600前可分别在第一、第二及第三处理腔室内处理N个、X个和Z个基板。虽然图13一般被描绘成在第一、第二及第三处理腔室内的干燥处理频率相同(即,N=X=Z),但本发明并不限于此。
虽然本发明已参照实施方式揭示于上,但在不悖离本发明精神范畴下,仍可对本发明实施方式进行各种改良与修饰,这些改良与修饰仍为本发明权利要求的范畴。

Claims (15)

1.一种在基板上形成太阳能电池器件的方法,包含:
沉积二或多层在第一基板上,其中沉积该二或多层的步骤包括:
在处理腔室内形成本征层在该第一基板的表面上,及
形成第一掺杂层于该形成在该第一基板上的本征层上,
在沉积该二或多层于该第一基板上之后,钝化设置在该处理腔室的处理区中的腔室部件的表面,其中钝化该腔室部件的表面的步骤包括沉积包含硅的钝化层在该腔室部件的表面上,
沉积二或多层在第二基板上,其中沉积该二或多层的步骤包括:
形成本征层在该处理腔室中的第二基板的表面上;及
形成第一掺杂层于该形成在该第二基板上的本征层上;及
在沉积该二或多层于该第一和第二基板上之后,干燥该腔室部件的表面,其中干燥该腔室部件的表面的步骤包括:
以清洁气体自该腔室部件移除一定量的材料;及
沉积包含有硅的干燥层在该腔室部件的该表面上。
2.如权利要求1所述的方法,其中该掺杂层包括含有p-型硅的层或含有n-型硅的层。
3.如权利要求1所述的方法,其中该钝化层包含含有p-型或n-型非晶硅的层。
4.如权利要求1所述的方法,还包含:
在沉积该本征层于该第一或第二基板上之前,在另一处理腔室中沉积第二掺杂层于该第一或第二基板上,其中该第二掺杂层所包含的掺杂原子与该第一掺杂层中的掺杂原子为相反类型;和
在沉积该第二掺杂层于该第一或第二基板上之后,将另一处理腔室中处理区内的腔室部件的表面加以干燥,其中干燥该腔室部件的表面的步骤包含:
以清洁气体自配置于该另一处理腔室中的腔室部件移除一定量的材料;及
沉积包含有硅的干燥层于配置于该另一处理腔室中的腔室部件的表面上。
5.一种在基板上形成太阳能电池器件的方法,包含:
在第一处理腔室内处理多个基板,其中在该第一处理腔室中处理多个基板的步骤包括:
沉积多个第一层于第一腔室部件及多个基板上,其中当该多个第一层中的一层是沉积在该多个基板中的一个基板上时,该第一腔室部件和该多个基板中的一基板是位在该第一处理腔室的该处理区中,且
当该多个基板已被处理后,干燥位在该第一处理腔室的该处理区内的该第一腔室部件的表面,其中干燥该第一腔室部件的表面的步骤包括:
以清洁气体移除一定量的沉积在该第一腔室部件上的多个第一层的至少一部分,及
沉积包含有硅的第二层于该第一腔室部件的该表面上,及
在第二处理腔室内处理该多个基板,其中在第二处理腔室内处理该多个基板的步骤包含:
沉积一或多个第三层于位于该第二处理腔室的处理区中的第二腔室部件上及形成在该多个基板之一上的第一层上,及
当完成该一或多个第三层的沉积后,钝化位在该处理区内的该第二腔室部件的表面,其中钝化该第二腔室部件的表面的步骤包括沉积包含有硅的第四层在该第二腔室部件的该表面上。
6.如权利要求5所述的方法,其中该一或多个第三层包含内含本征硅的层。
7.如权利要求5所述的方法,其中干燥该第一腔室部件的表面的步骤还包含:在移除至少一部分该第一层中的多个层之后,及沉积该第二层之前,使用清洗气体来清洗该第一处理腔室内的该处理区。
8.如权利要求5所述的方法,其中沉积在该第一处理腔室或该第二处理腔室的该干燥层包含内含非晶硅的层。
9.一种形成太阳能电池器件的方法,包含:
自位于第一处理腔室的处理区中的腔室部件的表面上移除一定量的沉积材料,
以清洗气体清洗该第一处理腔室的该处理区,
沉积干燥层在该腔室部件的表面上,其中该干燥层包含硅,
待该干燥层被沉积在该腔室部件上之后,将基板放置在该处理区的基板支撑件上,及
在该基板的表面上沉积一或多层,以形成一部分太阳能电池器件。
10.如权利要求9所述的方法,还包含在沉积该干燥层之前,移除该腔室部件的表面上的材料,其通过将该表面暴露在反应性气体及RF产生等离子体下来达成,其中该反应性气体包含含氟气体。
11.如权利要求9所述的方法,其中清洗该处理区的步骤包含在该处理区内形成含氢等离子体。
12.如权利要求11所述的方法,其中该清洗气体包含氢和氩。
13.一种在一或更多基板上形成太阳能电池的方法,包含:
设置至少一个第一处理腔室在群集工具的传送腔室上;
设置至少四个第二处理腔室在该传送腔室上,其中每一该至少一个第一处理腔室和每一该至少四个第二处理腔室与该传送腔室内的机器臂之间为可传送联通,且每一该至少一个第一处理腔室适以沉积p-型层在基板上且每一该至少四个第二处理腔室适以接连沉积本征层和n-型层在该基板上;
在该至少一个第一处理腔室的一腔室中,形成p-型层在基板的表面上;
在该至少四个第二处理腔室的一腔室中,形成本征层和n-型层在该基板的该表面上;及
待该基板已在该至少四个第二处理腔室的一腔室中被处理完毕后,将设置在至少四个第二处理腔室的一腔室中的处理区内的第二腔室部件的表面加以钝化,其中钝化该第二腔室部件的该表面的步骤包含沉积包含有硅的钝化层在该第二腔室部件的该表面上。
14.如权利要求13所述的方法,还包含形成p-i-n结构在该基板上的该p-型层、本征层和该n-型层上,其中形成该p-i-n结构的步骤包含:
形成第二p-型层在于该第一处理腔室中形成的该p-型层上,以及在于该第二处理腔室中形成的该本征层和该n-型层上,其中该第二p-型层是在第三处理腔室中形成:
在第四处理腔室中,形成本征层和n-型层在该第二p-型层的该表面上。
15.如权利要求13所述的方法,其中在该第一处理腔室中的第一腔室部件的表面上执行干燥处理之前,在多个基板上执行形成该p-型层的步骤,其中该干燥处理包含:
以清洁气体移除形成在该第一腔室部件的该表面上的该些p-型层的一层的至少一部分;以及
沉积包含有硅的层在该第一腔室部件的该表面上。
CN200880025273A 2008-07-09 2008-07-10 太阳能电池以及形成该太阳能电池的方法和设备 Pending CN101755072A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/170,387 US7875486B2 (en) 2007-07-10 2008-07-09 Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US12/170,387 2008-07-09
PCT/US2008/069685 WO2010005439A1 (en) 2008-07-09 2008-07-10 Solar cells and methods and apparatuses for forming the same

Publications (1)

Publication Number Publication Date
CN101755072A true CN101755072A (zh) 2010-06-23

Family

ID=40523615

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880025273A Pending CN101755072A (zh) 2008-07-09 2008-07-10 太阳能电池以及形成该太阳能电池的方法和设备

Country Status (6)

Country Link
US (1) US7875486B2 (zh)
JP (1) JP2011527826A (zh)
KR (1) KR20110037924A (zh)
CN (1) CN101755072A (zh)
TW (1) TW201003959A (zh)
WO (1) WO2010005439A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376564A (zh) * 2010-08-16 2012-03-14 应用材料公司 用于提高氮化硅批间均匀度的非晶硅陈化作用
CN103022268A (zh) * 2011-09-22 2013-04-03 理想能源设备(上海)有限公司 硅基薄膜太阳能电池制造方法及其制造装置
CN103325887A (zh) * 2012-02-28 2013-09-25 国际商业机器公司 形成光伏器件的方法
CN104769152A (zh) * 2012-09-27 2015-07-08 太阳能公司 用于在基板上形成并保护薄膜的方法和结构

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US7956283B2 (en) * 2007-11-09 2011-06-07 Sunpreme, Ltd. Low-cost solar cells and methods for their production
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102009051347A1 (de) * 2009-10-30 2011-05-12 Sunfilm Ag Verfahren zur Herstellung von Halbleiterschichten
DE102010060297A1 (de) * 2009-11-04 2011-07-28 Oerlikon Solar Ag, Trübbach Verfahren zum Entfernen von Kontamination von einem Reaktor
WO2011084381A2 (en) * 2009-12-21 2011-07-14 Applied Materials, Inc. Cleaning optimization of pecvd solar films
WO2011097745A1 (en) * 2010-02-10 2011-08-18 Oerlikon Solar Ag, Trübbach Method for manufacturing a solar panel
CN102024676A (zh) * 2010-05-25 2011-04-20 福建钧石能源有限公司 单室反应器中制造半导体器件的方法
WO2012027857A2 (en) * 2010-09-02 2012-03-08 Oerlikon Solar Ag, Trübbach Method for manufacturing a tandem solar cell with microcrystalline absorber layer
US9017486B2 (en) * 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
ES2385871B1 (es) * 2011-01-07 2013-06-12 Universidad Politécnica De Valencia Material fotovoltaico multiunión tipo p-i-n, dispositivo cerámico fotovoltaico que lo comprende y métodos de obtención de los mismos.
US20120319157A1 (en) * 2011-06-14 2012-12-20 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device
CN102877041B (zh) * 2011-07-14 2014-11-19 中国科学院微电子研究所 薄膜沉积方法以及半导体器件制造方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6173743B2 (ja) * 2013-03-29 2017-08-02 芝浦メカトロニクス株式会社 プラズマ処理装置、およびプラズマ処理方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP2019192892A (ja) * 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN111668344B (zh) * 2020-06-29 2021-12-14 浙江晶科能源有限公司 一种太阳能电池的制作方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3990101A (en) * 1975-10-20 1976-11-02 Rca Corporation Solar cell device having two heterojunctions
US4063735A (en) 1976-03-15 1977-12-20 Wendel Dan P CB Radio highway board game apparatus
US4068043A (en) * 1977-03-11 1978-01-10 Energy Development Associates Pump battery system
US4490573A (en) 1979-12-26 1984-12-25 Sera Solar Corporation Solar cells
US4377723A (en) * 1980-05-02 1983-03-22 The University Of Delaware High efficiency thin-film multiple-gap photovoltaic device
US4388482A (en) * 1981-01-29 1983-06-14 Yoshihiro Hamakawa High-voltage photovoltaic cell having a heterojunction of amorphous semiconductor and amorphous silicon
US4400577A (en) * 1981-07-16 1983-08-23 Spear Reginald G Thin solar cells
JPS59108370A (ja) * 1982-12-14 1984-06-22 Kanegafuchi Chem Ind Co Ltd 光起電力装置
US4471155A (en) * 1983-04-15 1984-09-11 Energy Conversion Devices, Inc. Narrow band gap photovoltaic devices with enhanced open circuit voltage
US4878097A (en) * 1984-05-15 1989-10-31 Eastman Kodak Company Semiconductor photoelectric conversion device and method for making same
JPS61104678A (ja) * 1984-10-29 1986-05-22 Mitsubishi Electric Corp アモルフアス太陽電池
JPS6249672A (ja) * 1985-08-29 1987-03-04 Sumitomo Electric Ind Ltd アモルフアス光起電力素子
CA1321660C (en) * 1985-11-05 1993-08-24 Hideo Yamagishi Amorphous-containing semiconductor device with high resistivity interlayer or with highly doped interlayer
US4755475A (en) * 1986-02-18 1988-07-05 Sanyo Electric Co., Ltd. Method of manufacturing photovoltaic device
US4841908A (en) * 1986-06-23 1989-06-27 Minnesota Mining And Manufacturing Company Multi-chamber deposition system
US4776894A (en) * 1986-08-18 1988-10-11 Sanyo Electric Co., Ltd. Photovoltaic device
US4891330A (en) * 1987-07-27 1990-01-02 Energy Conversion Devices, Inc. Method of fabricating n-type and p-type microcrystalline semiconductor alloy material including band gap widening elements
JP2738557B2 (ja) * 1989-03-10 1998-04-08 三菱電機株式会社 多層構造太陽電池
JP2846651B2 (ja) * 1989-03-31 1999-01-13 三洋電機株式会社 光起電力装置
JP2719230B2 (ja) * 1990-11-22 1998-02-25 キヤノン株式会社 光起電力素子
US5256887A (en) * 1991-07-19 1993-10-26 Solarex Corporation Photovoltaic device including a boron doping profile in an i-type layer
US5419783A (en) * 1992-03-26 1995-05-30 Sanyo Electric Co., Ltd. Photovoltaic device and manufacturing method therefor
JP3070309B2 (ja) * 1992-12-07 2000-07-31 富士電機株式会社 薄膜太陽電池の製造方法
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
FR2711276B1 (fr) 1993-10-11 1995-12-01 Neuchatel Universite Cellule photovoltaïque et procédé de fabrication d'une telle cellule.
US5738731A (en) * 1993-11-19 1998-04-14 Mega Chips Corporation Photovoltaic device
AUPM483494A0 (en) * 1994-03-31 1994-04-28 Pacific Solar Pty Limited Multiple layer thin film solar cells
AUPM982294A0 (en) * 1994-12-02 1995-01-05 Pacific Solar Pty Limited Method of manufacturing a multilayer solar cell
US5677236A (en) * 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
JPH08264815A (ja) 1995-03-23 1996-10-11 Sanyo Electric Co Ltd 非晶質シリコンカーバイド膜及びこれを用いた光起電力素子
JP3223102B2 (ja) * 1995-06-05 2001-10-29 シャープ株式会社 太陽電池セルおよびその製造方法
FR2743193B1 (fr) * 1996-01-02 1998-04-30 Univ Neuchatel Procede et dispositif de depot d'au moins une couche de silicium hydrogene microcristallin ou nanocristallin intrinseque, et cellule photovoltaique et transistor a couches minces obtenus par la mise en oeuvre de ce procede
JPH09199431A (ja) * 1996-01-17 1997-07-31 Canon Inc 薄膜形成方法および薄膜形成装置
US5730808A (en) * 1996-06-27 1998-03-24 Amoco/Enron Solar Producing solar cells by surface preparation for accelerated nucleation of microcrystalline silicon on heterogeneous substrates
JPH10117006A (ja) * 1996-08-23 1998-05-06 Kanegafuchi Chem Ind Co Ltd 薄膜光電変換装置
KR100251070B1 (ko) * 1996-08-28 2000-04-15 미다라이 후지오 광기전력 소자
EP0831538A3 (en) * 1996-09-19 1999-07-14 Canon Kabushiki Kaisha Photovoltaic element having a specific doped layer
US5977476A (en) * 1996-10-16 1999-11-02 United Solar Systems Corporation High efficiency photovoltaic device
US6552414B1 (en) 1996-12-24 2003-04-22 Imec Vzw Semiconductor device with selectively diffused regions
US6121541A (en) * 1997-07-28 2000-09-19 Bp Solarex Monolithic multi-junction solar cells with amorphous silicon and CIS and their alloys
EP2251913A3 (en) * 1997-11-10 2012-02-22 Kaneka Corporation Method of Manufacturing Silicon-Based Thin Film Photoelectric Converter and Plasma CVD Apparatus Used for Such Method
JP3581546B2 (ja) * 1997-11-27 2004-10-27 キヤノン株式会社 微結晶シリコン膜形成方法および光起電力素子の製造方法
JP4208281B2 (ja) * 1998-02-26 2009-01-14 キヤノン株式会社 積層型光起電力素子
JPH11246971A (ja) * 1998-03-03 1999-09-14 Canon Inc 微結晶シリコン系薄膜の作製方法及び作製装置
US6303945B1 (en) * 1998-03-16 2001-10-16 Canon Kabushiki Kaisha Semiconductor element having microcrystalline semiconductor material
JPH11274535A (ja) * 1998-03-26 1999-10-08 Kanegafuchi Chem Ind Co Ltd シリコン系薄膜光電変換装置の製造装置
JPH11354820A (ja) * 1998-06-12 1999-12-24 Sharp Corp 光電変換素子及びその製造方法
US6077722A (en) * 1998-07-14 2000-06-20 Bp Solarex Producing thin film photovoltaic modules with high integrity interconnects and dual layer contacts
CA2341629A1 (en) * 1998-08-26 2000-03-09 Hodaka Norimatsu Photovoltaic device
EP0994515B1 (en) 1998-10-12 2007-08-22 Kaneka Corporation Method of manufacturing silicon-based thin-film photoelectric conversion device
JP4335351B2 (ja) 1999-02-26 2009-09-30 株式会社カネカ シリコン系薄膜光電変換装置の製造方法
US6335479B1 (en) * 1998-10-13 2002-01-01 Dai Nippon Printing Co., Ltd. Protective sheet for solar battery module, method of fabricating the same and solar battery module
US7235810B1 (en) * 1998-12-03 2007-06-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
JP3364180B2 (ja) * 1999-01-18 2003-01-08 三菱重工業株式会社 非晶質シリコン太陽電池
JP3046965B1 (ja) 1999-02-26 2000-05-29 鐘淵化学工業株式会社 非晶質シリコン系薄膜光電変換装置の製造方法
DE69942604D1 (de) * 1999-02-26 2010-09-02 Kaneka Corp Herstellungsverfahren für eine auf Silizium basierende Dünnfilmsolarzelle
JP3589581B2 (ja) * 1999-02-26 2004-11-17 株式会社カネカ タンデム型の薄膜光電変換装置の製造方法
EP1054454A3 (en) * 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US6380480B1 (en) * 1999-05-18 2002-04-30 Nippon Sheet Glass Co., Ltd Photoelectric conversion device and substrate for photoelectric conversion device
US6472248B2 (en) 1999-07-04 2002-10-29 Canon Kabushiki Kaisha Microcrystalline series photovoltaic element and process for fabrication of same
DE19935046C2 (de) * 1999-07-26 2001-07-12 Schott Glas Plasma-CVD-Verfahren und Vorrichtung zur Herstellung einer mikrokristallinen Si:H-Schicht auf einem Substrat sowie deren Verwendung
JP4459341B2 (ja) * 1999-11-19 2010-04-28 株式会社カネカ 太陽電池モジュール
JP2001267611A (ja) * 2000-01-13 2001-09-28 Sharp Corp 薄膜太陽電池及びその製造方法
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6690035B1 (en) * 2000-03-03 2004-02-10 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an active region of alternating layers
JP2001345272A (ja) * 2000-05-31 2001-12-14 Canon Inc シリコン系薄膜の形成方法、シリコン系薄膜及び光起電力素子
JP2002057359A (ja) * 2000-06-01 2002-02-22 Sharp Corp 積層型太陽電池
US7351993B2 (en) * 2000-08-08 2008-04-01 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
JP3490964B2 (ja) * 2000-09-05 2004-01-26 三洋電機株式会社 光起電力装置
US6566159B2 (en) * 2000-10-04 2003-05-20 Kaneka Corporation Method of manufacturing tandem thin-film solar cell
US6632993B2 (en) * 2000-10-05 2003-10-14 Kaneka Corporation Photovoltaic module
US6548751B2 (en) * 2000-12-12 2003-04-15 Solarflex Technologies, Inc. Thin film flexible solar cell
JP4229606B2 (ja) * 2000-11-21 2009-02-25 日本板硝子株式会社 光電変換装置用基体およびそれを備えた光電変換装置
TWI313059B (zh) * 2000-12-08 2009-08-01 Sony Corporatio
US6750394B2 (en) * 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US20030044539A1 (en) * 2001-02-06 2003-03-06 Oswald Robert S. Process for producing photovoltaic devices
JP4433131B2 (ja) * 2001-03-22 2010-03-17 キヤノン株式会社 シリコン系薄膜の形成方法
JP2003007629A (ja) * 2001-04-03 2003-01-10 Canon Inc シリコン系膜の形成方法、シリコン系膜および半導体素子
GB0114896D0 (en) * 2001-06-19 2001-08-08 Bp Solar Ltd Process for manufacturing a solar cell
JP4560245B2 (ja) * 2001-06-29 2010-10-13 キヤノン株式会社 光起電力素子
JP2003069061A (ja) 2001-08-24 2003-03-07 Sharp Corp 積層型光電変換素子
WO2003036657A1 (fr) * 2001-10-19 2003-05-01 Asahi Glass Company, Limited Substrat a couche d'oxyde conductrice transparente, son procede de production et element de conversion photoelectrique
US7309832B2 (en) 2001-12-14 2007-12-18 Midwest Research Institute Multi-junction solar cell device
AU2002252110A1 (en) * 2002-02-27 2003-09-09 Midwest Research Institute Monolithic photovoltaic energy conversion device
WO2003085746A1 (en) * 2002-04-09 2003-10-16 Kaneka Corporation Method for fabricating tandem thin film photoelectric converter
JP2004006537A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成方法及び装置並びに太陽電池の製造方法並びに太陽電池
JP2004071716A (ja) 2002-08-02 2004-03-04 Mitsubishi Heavy Ind Ltd タンデム型光起電力素子及びその製造方法
US20050189012A1 (en) * 2002-10-30 2005-09-01 Canon Kabushiki Kaisha Zinc oxide film, photovoltaic device making use of the same, and zinc oxide film formation process
US7402747B2 (en) * 2003-02-18 2008-07-22 Kyocera Corporation Photoelectric conversion device and method of manufacturing the device
JP4241446B2 (ja) * 2003-03-26 2009-03-18 キヤノン株式会社 積層型光起電力素子
JP2004296652A (ja) 2003-03-26 2004-10-21 Canon Inc 積層型光起電力素子
US20040231590A1 (en) * 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
JP4063735B2 (ja) 2003-07-24 2008-03-19 株式会社カネカ 積層型光電変換装置を含む薄膜光電変換モジュール
ES2405597T3 (es) 2003-07-24 2013-05-31 Kaneka Corporation Convertidor fotoeléctrico apilado
JP2005135986A (ja) 2003-10-28 2005-05-26 Kaneka Corp 積層型光電変換装置
JP4068043B2 (ja) 2003-10-28 2008-03-26 株式会社カネカ 積層型光電変換装置
JP2005123466A (ja) * 2003-10-17 2005-05-12 Sharp Corp シリコン系薄膜光電変換装置の製造方法およびその方法により製造されたシリコン系薄膜光電変換装置
JP4456107B2 (ja) * 2004-02-20 2010-04-28 シャープ株式会社 光電変換装置および光電変換装置用基板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
CN102097458B (zh) * 2004-06-04 2013-10-30 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法和设备
JP2006013403A (ja) 2004-06-29 2006-01-12 Sanyo Electric Co Ltd 太陽電池、太陽電池モジュール、その製造方法およびその修復方法
JP4025755B2 (ja) * 2004-07-02 2007-12-26 オリンパス株式会社 内視鏡
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JPWO2006057161A1 (ja) * 2004-11-29 2008-06-05 株式会社カネカ 薄膜光電変換装置用基板、及びそれを備えた薄膜光電変換装置
JP2006269607A (ja) 2005-03-23 2006-10-05 Canon Inc 光起電力素子の製造方法
JP4945088B2 (ja) 2005-04-28 2012-06-06 三洋電機株式会社 積層型光起電力装置
DE102005019225B4 (de) * 2005-04-20 2009-12-31 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Heterokontaktsolarzelle mit invertierter Schichtstrukturgeometrie
JP2006310694A (ja) 2005-05-02 2006-11-09 Kaneka Corp 集積化多接合薄膜光電変換装置
JP2006319068A (ja) 2005-05-11 2006-11-24 Kaneka Corp 多接合型シリコン系薄膜光電変換装置、及びその製造方法
US7375378B2 (en) * 2005-05-12 2008-05-20 General Electric Company Surface passivated photovoltaic devices
EP1734589B1 (en) 2005-06-16 2019-12-18 Panasonic Intellectual Property Management Co., Ltd. Method for manufacturing photovoltaic module
JP2007035914A (ja) 2005-07-27 2007-02-08 Kaneka Corp 薄膜光電変換装置
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7256140B2 (en) * 2005-09-20 2007-08-14 United Solar Ovonic Llc Higher selectivity, method for passivating short circuit current paths in semiconductor devices
US20080057220A1 (en) * 2006-01-31 2008-03-06 Robert Bachrach Silicon photovoltaic cell junction formed from thin film doping source
US7235736B1 (en) * 2006-03-18 2007-06-26 Solyndra, Inc. Monolithic integration of cylindrical solar cells
US20080047599A1 (en) * 2006-03-18 2008-02-28 Benyamin Buller Monolithic integration of nonplanar solar cells
US20070227579A1 (en) * 2006-03-30 2007-10-04 Benyamin Buller Assemblies of cylindrical solar units with internal spacing
WO2007118121A2 (en) * 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
WO2007118252A2 (en) * 2006-04-11 2007-10-18 Applied Materials, Inc. System architecture and method for solar panel formation
JP2007305826A (ja) 2006-05-12 2007-11-22 Kaneka Corp シリコン系薄膜太陽電池
US7655542B2 (en) 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080047603A1 (en) * 2006-08-24 2008-02-28 Guardian Industries Corp. Front contact with intermediate layer(s) adjacent thereto for use in photovoltaic device and method of making same
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US20080153280A1 (en) * 2006-12-21 2008-06-26 Applied Materials, Inc. Reactive sputter deposition of a transparent conductive film
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US8203071B2 (en) * 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP2008181965A (ja) 2007-01-23 2008-08-07 Sharp Corp 積層型光電変換装置及びその製造方法
AU2007346981B2 (en) * 2007-02-16 2013-08-22 Mitsubishi Heavy Industries, Ltd. Photovoltaic device and process for producing same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376564A (zh) * 2010-08-16 2012-03-14 应用材料公司 用于提高氮化硅批间均匀度的非晶硅陈化作用
US9230796B2 (en) 2010-08-16 2016-01-05 Applied Materials, Inc. A-Si seasoning effect to improve SiN run-to-run uniformity
CN102376564B (zh) * 2010-08-16 2016-04-20 应用材料公司 用于提高氮化硅批间均匀度的非晶硅陈化作用
CN105679665A (zh) * 2010-08-16 2016-06-15 应用材料公司 用于提高氮化硅批间均匀度的非晶硅陈化作用
CN105679665B (zh) * 2010-08-16 2018-07-31 应用材料公司 用于提高氮化硅批间均匀度的非晶硅陈化作用
CN103022268A (zh) * 2011-09-22 2013-04-03 理想能源设备(上海)有限公司 硅基薄膜太阳能电池制造方法及其制造装置
CN103325887A (zh) * 2012-02-28 2013-09-25 国际商业机器公司 形成光伏器件的方法
CN103325887B (zh) * 2012-02-28 2016-03-30 国际商业机器公司 形成光伏器件的方法
CN104769152A (zh) * 2012-09-27 2015-07-08 太阳能公司 用于在基板上形成并保护薄膜的方法和结构

Also Published As

Publication number Publication date
KR20110037924A (ko) 2011-04-13
US20090093080A1 (en) 2009-04-09
TW201003959A (en) 2010-01-16
US7875486B2 (en) 2011-01-25
JP2011527826A (ja) 2011-11-04
WO2010005439A1 (en) 2010-01-14

Similar Documents

Publication Publication Date Title
CN101755072A (zh) 太阳能电池以及形成该太阳能电池的方法和设备
US7582515B2 (en) Multi-junction solar cells and methods and apparatuses for forming the same
US8252624B2 (en) Method of manufacturing thin film solar cells having a high conversion efficiency
CN101836299B (zh) 用于薄膜太阳能应用的微晶硅沉积
US20080173350A1 (en) Multi-junction solar cells and methods and apparatuses for forming the same
CN101842875A (zh) 在沉积处理间实施的等离子处理
US20100269896A1 (en) Microcrystalline silicon alloys for thin film and wafer based solar applications
US20110088760A1 (en) Methods of forming an amorphous silicon layer for thin film solar cell application
CN101542745A (zh) 多接面太阳能电池及其形成方法与设备
WO2011028349A2 (en) Remote hydrogen plasma source of silicon containing film deposition
CN102272950A (zh) 用于薄膜与晶圆基太阳能应用的微晶硅合金
US20130112264A1 (en) Methods for forming a doped amorphous silicon oxide layer for solar cell devices
US20110120536A1 (en) Roughness control of a wavelength selective reflector layer for thin film solar applications
CN101803039A (zh) Nip-nip薄膜光伏结构
US20120107996A1 (en) Surface treatment process performed on a transparent conductive oxide layer for solar cell applications
WO2010023991A1 (ja) 光電変換装置の製造方法、光電変換装置、及び光電変換装置の製造システム
JPWO2010087198A1 (ja) 光電変換装置の製造方法,光電変換装置,光電変換装置の製造システム,及び光電変換装置製造システムの使用方法
CN102356474A (zh) 高效能薄膜硅太阳能电池的高品质透明导电氧化物-硅界面接触结构
Chuchvaga et al. Optimization and Fabrication of Heterojunction Silicon Solar Cells Using an Experimental-Industrial Facility AK-1000 Inline
US20110171774A1 (en) Cleaning optimization of pecvd solar films
EP2304072A1 (en) Solar cells and methods and apparatuses for forming the same
WO2012113441A1 (en) Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20100623