CN101903991A - 用于隔离间距倍增材料环的部分的方法及相关结构 - Google Patents

用于隔离间距倍增材料环的部分的方法及相关结构 Download PDF

Info

Publication number
CN101903991A
CN101903991A CN2008801214316A CN200880121431A CN101903991A CN 101903991 A CN101903991 A CN 101903991A CN 2008801214316 A CN2008801214316 A CN 2008801214316A CN 200880121431 A CN200880121431 A CN 200880121431A CN 101903991 A CN101903991 A CN 101903991A
Authority
CN
China
Prior art keywords
layer
line
semi
transistor
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2008801214316A
Other languages
English (en)
Other versions
CN101903991B (zh
Inventor
卢安·C·特兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=40752074&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN101903991(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to CN201410162977.3A priority Critical patent/CN103904085B/zh
Publication of CN101903991A publication Critical patent/CN101903991A/zh
Application granted granted Critical
Publication of CN101903991B publication Critical patent/CN101903991B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Abstract

使半导体材料的连续环的不同部分彼此电隔离。在一些实施例中,使所述环的末端与所述环的中间部分电隔离。在一些实施例中,通过间距倍增工艺来形成半导体材料的具有在其末端处连接在一起的两个支脚的环,在所述间距倍增工艺中在心轴的侧壁上形成间隔物的环。移除所述心轴且将掩蔽材料的块覆盖在所述间隔物环的至少一个末端上。在一些实施例中,掩蔽材料的所述块覆盖所述间隔物环的每一末端。将由所述间隔物及所述块界定的图案转印到半导体材料的层。所述块将所有所述环电连接在一起。沿所述环的每一支脚形成选择栅极。所述块充当源极/漏极。以断开状态偏置所述选择栅极以防止电流从所述环的支脚的中间部分流动到所述块,借此使所述中间部分与所述环的所述末端电隔离且还使环的不同支脚彼此电隔离。

Description

用于隔离间距倍增材料环的部分的方法及相关结构
对相关申请案的参考
本申请案与下列申请案有关且以引用的方式并入有下列申请案的全部内容:阿巴切夫(Abatchev)等人于2004年9月2日申请的第10/934,778号美国专利申请案(代理人案号MICRON.294A);特朗(Tran)等人于2004年8月31日申请的第10/931,771号美国专利申请案(代理人案号MICRON.295A);特朗(Tran)等人于2005年8月31日申请的第11/216,477号美国专利申请案(代理人案号MICRON.314A);及特朗(Tran)等人于2005年8月29日申请的第11/214,544号美国专利申请案(代理人案号MICRON.316A)。
技术领域
本发明大体上涉及集成电路及电子装置的制造,且更确切地说涉及制造方法及相关结构。
背景技术
由于许多因素(包括对增强的便携性、计算能力、存储器容量及能量效率的需求),集成电路的大小在不断地减小。形成集成电路的构成特征(例如,电装置及互连线)的大小也在不断地减小以促进此大小减小。
减小特征大小的趋势(例如)在例如动态随机存取存储器(DRAM)、快闪存储器、静态随机存取存储器(SRAM)、铁电(FE)存储器等的存储器电路或装置中是明显的。举一个实例,DRAM通常包括数百万或数十亿个相同电路元件,称作存储器单元。存储器单元通常由两个电装置组成:存储电容器及存取场效晶体管。每一存储器单元是可存储一位(二进制数字)数据的可寻址位置。可经由晶体管将位写入到单元且可通过感测电容器中的电荷来读取位。
在另一实例中,快闪存储器通常包括数十亿个含有可保留电荷的浮动栅极场效晶体管的快闪存储器单元。浮动栅极中的电荷的存在或不存在确定存储器单元的逻辑状态。可通过将电荷注入到单元或从单元移除电荷而将位写入到单元。快闪存储器单元可以不同架构配置来连接,每一架构配置具有用于读取位的不同方案。在“NOR”架构配置中,每一存储器单元耦合到一位线且可被个别地读取。在“NAND”架构配置中,存储器单元在单元的“串”中对准,且激活整个位线以存取单元串中的一者中的数据。
大体来说,通过减小构成存储器单元的电装置的大小及存取存储器单元的导电线的大小,可使存储器装置较小。另外,可通过在存储器装置中的给定区上装配更多存储器单元而增加存储容量。
间距的概念可用以描述例如存储器装置的集成电路中的特征的大小的一个方面。将间距定义为两个相邻特征(例如,阵列中的特征,其通常以重复图案布置)中的相同点之间的距离。所述特征通常由邻近特征之间的间隔来界定,所述间隔通常由例如绝缘体的材料来填充。因此,可将间距视为特征的宽度与在特征的一侧上将所述特征与相邻特征分开的间隔的宽度的和。应了解,间隔及特征(例如,线)通常重复以形成间隔物及特征的重复图案。
临界尺寸(CD)是用以描述特征的大小的另一术语。临界尺寸是特定电路或掩蔽方案中的特征的最小尺寸。在集成电路制造期间,控制特定结构(例如,浅沟槽隔离(STI)结构)的CD有助于通过(例如)确保可预测的电路性能来促进集成电路的连续大小减小。
特征大小的连续减小对用以形成所述特征的技术提出更大需求。举例来说,光刻在集成电路制造中通常用以图案化特征(例如,导电线)。然而,由于例如光学器件、光或辐射波长及可用光致抗蚀剂材料的因素,光刻技术可各自具有一最小间距或临界尺寸,特定光刻技术在所述最小间距或临界尺寸以下不能可靠地形成特征。因此,光刻技术的固有限制是连续特征大小减小的阻碍。
“间距加倍”或“间距倍增”是一种用于将光刻技术的能力延伸超过其最小间距的所提议方法。在图1A到图1F中说明且在颁予劳瑞(Lowrey)等人的第5,328,810号美国专利中描述间距倍增方法,所述专利的整个揭示内容以引用的方式并入本文中。参看图1A,线10的图案以光刻方式形成在光致抗蚀剂层中,所述光致抗蚀剂层覆盖可消耗材料的层20,可消耗材料的层20又覆盖衬底30。如图1B中所示,将光致抗蚀剂层中的图案转印到层20,借此形成位置固持器(placeholder)或心轴40。剥离光致抗蚀剂线10且蚀刻心轴40以增加相邻心轴40之间的距离,如图1C中所示。随后将间隔物材料的层50沉积在心轴40上,如图1D中所示。接着将间隔物60形成在心轴40的侧上。通过优先蚀刻来自水平表面70及80的间隔物材料而实现间隔物形成,如图1E中所示。接着移除剩余心轴40,从而仅留下间隔物60,间隔物60一起充当用于图案化的掩模,如图1F中所示。因此,在给定间距先前包括界定一个特征及一个间隔的图案的情形下,同一宽度现在包括两个特征及两个间隔,其中所述间隔由间隔物60界定。
当在以上实例中实际上将间距减半时,间距的此减小按照惯例被称作间距“加倍”或更大体上称作间距“倍增”。因此,按照惯例,间距“倍增”某一因子实际上涉及将所述间距减小所述因子。本文中保留常规术语。
尽管允许较小临界尺寸及间距,但随着新挑战出现,间距倍增面临持续发展,因为集成电路制造的要求改变。因此,不断需要用于形成小特征的方法及结构。
附图说明
图1A到图1F是根据现有技术间距加倍方法的用于形成导电线的一序列掩蔽图案的示意性横截面侧视图。
图2是根据本发明的实施例的经部分地形成的集成电路的示意性俯视平面图。
图3A及图3B是根据本发明的实施例的图2的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图4A及图4B是根据本发明的实施例的在集成电路的阵列区域中在光致抗蚀剂层中形成线后图3A及图3B的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图5A及图5B是根据本发明的实施例的在加宽光致抗蚀剂层中的线之间的间隔后图4A及图4B的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图6是根据本发明的实施例的在沉积间隔物材料的层后图5A及图5B的经部分地形成的集成电路的示意性横截面侧视图。
图7A及图7B是根据本发明的实施例的在间隔物蚀刻后图6的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图8A及图8B是根据本发明的实施例的在移除临时层的剩余部分以在集成电路的阵列区域中留下间隔物的图案后图7A及图7B的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图9A及图9B是根据本发明的实施例的在将光致抗蚀剂沉积在间隔物之间及间隔物上后图8A及图8B的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图10A及图10B是根据本发明的实施例的在于光致抗蚀剂中形成图案后图9A及图9B的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图11是根据本发明的实施例的在将由经图案化的光致抗蚀剂及间隔物界定的组合图案转印到下伏硬掩模层后图10A及图10B的经部分地形成的集成电路的示意性横截面侧视图。
图12是根据本发明的实施例的在将组合图案转印到主掩模层后图11的经部分地形成的集成电路的示意性横截面侧视图。
图13是根据本发明的实施例的在将组合图案转印到下伏衬底后图12的经部分地形成的集成电路的示意性横截面侧视图。
图14A及图14B是根据本发明的实施例的在将图案转印到衬底中且移除覆盖衬底的硬掩模层后图13的经部分地形成的集成电路的示意性横截面侧视图及俯视图。
图15是根据本发明的实施例的在用电介质填充沟槽及形成对应于栅极堆叠的一序列层后图14A及图14B的经部分地形成的集成电路的示意性横截面侧视图。
图16A、图16B及图16C是根据本发明的实施例的在沉积及图案化光致抗蚀剂层后图15的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图17A及图17B是根据本发明的实施例的在将图案从光致抗蚀剂层转印到所述序列栅极堆叠层后图16A及图16B的经部分地形成的集成电路的示意性横截面侧视图及俯视平面图。
图18是根据本发明的实施例的NAND快闪存储器装置的存储器单元阵列的示意图。
图19是说明根据本发明的实施例的包括存储器装置的电子装置的系统框图。
具体实施方式
间距倍增具有形成紧密间隔的线的能力,此通过使用由间隔物形成的掩模而图案化所述线来实现。因为间隔物形成在心轴的侧壁上,所以间隔物通常形成连续环。使用所述连续环来图案化衬底可在衬底中形成材料的连续环。然而,通常需要将分隔线用于各种应用中,例如存储器装置中的位线或字线。因此,为了利用使用间隔物环来图案化的紧密间隔对的线,环的末端通常经蚀刻以在物理上使环的不同支脚彼此电断开。在第7,151,040号美国专利中描述此蚀刻(称作环蚀刻)的方法及结构,所述专利的全部揭示内容以引用的方式并入本文中。
对于环蚀刻,保护性材料通常沉积在环周围及环上。保护性材料接着经图案化以暴露环末端。将暴露的环暴露于蚀刻且加以移除。随后移除保护性材料,从而留下物理上分隔的线。因此,环末端蚀刻可涉及沉积、图案化及材料移除步骤。应了解,图案化步骤可涉及执行光刻及经由掩模将保护性材料暴露于光。由于执行所述步骤所需的时间及可能在处理设备之间输送衬底以执行所述步骤所需的时间,环蚀刻可不良地增加在(例如)使用间距倍增制造集成电路的过程中所涉及的时间及复杂性。
有利地,根据本发明的一些实施例,无需执行环蚀刻而使半导体环的不同支脚电隔离。实情为,在环中形成隔离晶体管以使不同支脚彼此电隔离。在半导体材料的环上形成栅极且与栅极相邻的环区域经掺杂以形成源极及漏极区域。直接位于栅极下方的环区域充当有源区。以断开状态偏置栅极以防止电流流动穿过有源区,借此使位于栅极的任一侧上的环的部分彼此电隔离。在一些实施例中,隔离晶体管形成在环的每一支脚的末端处,每一环具有总共四个所述晶体管。隔离晶体管界定每一对晶体管之间的半导体材料的两个电隔离支脚。
在一些实施例中,通过间距倍增工艺形成半导体材料(例如,经掺杂的半导体材料)的环。间隔物环形成在衬底上的层面上。掩蔽材料的块覆盖在环中的每一者的区段上,例如,在环为在其末端处接合在一起的材料的大致平行线的实施例中,掩蔽材料的不同块覆盖在环的每一末端上。将由间隔物环及掩蔽材料的块界定的图案转印到衬底,借此形成终止于半导体材料的块处且邻接于半导体材料的块的半导体材料的线。晶体管栅极形成在半导体材料的每一线上,且邻近半导体材料的每一块。半导体材料的块及与所述块相对的栅极侧上的线的部分经掺杂以形成源极/漏极区域。块与栅极经电系接在一起且以断开状态经偏置以使半导体材料的线与块电隔离。因此,无需执行环蚀刻而使设置在块之间的每一线与其它线电隔离。
有利地,应了解,用于形成隔离晶体管的步骤通常已是用于形成其它晶体管的处理流程的一部分,例如,可使用用以形成浮动栅极晶体管及选择存储器装置中的栅极的相同图案化、掺杂及沉积步骤来形成隔离晶体管。因此,在许多实施例中,隔离晶体管的形成不将任何额外步骤引入到处理流程。此外,通过避免环蚀刻,可省略在环蚀刻中所涉及的处理步骤。因此,简化处理流程且增大处理产量。
另外,在一些实施例中,可形成具有低于用以图案化本文中所说明的各种光致抗蚀剂层的光刻方法的最小间距的间距的线。有利地,本发明的实施例允许形成具有约100纳米或更小或约50纳米或更小的间距的线。
现在将参看图式,其中通篇中相似数字指代相似部件。应了解,所述图未必按比例绘制。此外,应了解,为了易于论述及说明,仅说明有限数目的特征,包括掩模特征及经蚀刻的特征(例如,位线、字线、间隔物及存储器块)。在一些实施例中,可提供额外数目的所述特征。
在本发明的一些实施例的第一阶段中,掩模特征是通过间距倍增形成。
图2展示经部分地制造的集成电路100的一部分的俯视图。尽管本发明的实施例可用以形成任何集成电路且可应用于形成用于图案化各种衬底的掩模,但其可特别有利地应用于形成具有电装置的阵列的装置,或具有逻辑或门阵列的集成电路,所述电装置阵列包括例如DRAM、ROM或快闪存储器(包括NAND或NOR快闪存储器)的挥发性及非挥发性存储器装置的存储器单元阵列。举例来说,逻辑阵列可为具有类似于存储器阵列的核心阵列及具有支持逻辑的周边的现场可编程门阵列(FPGA)。因此,集成电路100可为(例如)存储器芯片或处理器(其可包括逻辑阵列与嵌入式存储器两者),或具有逻辑或门阵列的任何其它集成电路。
继续参看图2,中央区域102(“阵列”)由周边区域104(“周边”)环绕。应了解,在完整形成的集成电路中,阵列102将通常以导电线及电装置(包括晶体管及/或电容器)密集填充。在存储器装置中,电装置形成多个存储器单元,其可以规则网格图案在字线与位线的相交处布置。合乎需要的是,间距倍增可用以在阵列102中形成例如晶体管及/或电容器的行/列的特征,如本文中所论述。另一方面,周边104通常包含大于阵列102中的特征的特征。常规光刻而非间距倍增优选用以在周边104中图案化特征(例如,逻辑电路),因为位于周边104中的逻辑电路的几何复杂性使得使用间距倍增变得困难,而阵列图案典型的规则网格促进间距倍增。另外,周边中的一些装置由于电约束而需要较大几何形状,因而使间距倍增的优势小于用于所述装置的常规光刻。在一些情况下,周边104可含有由常规光刻与间距倍增两者界定的图案/电路。除可能在相对比例方面的差异以外,所属领域的技术人员应了解,经部分地制造的集成电路100中的周边104及阵列102区域的相对位置及数目可不同于所描绘的。
图3A展示经部分地形成的集成电路100的横截面侧视图。各种掩蔽层120到140提供在衬底110上方。层120到140将经蚀刻以形成用于图案化衬底110的掩模,如下文所论述。在所说明的实施例中,可选择性界定层120覆盖硬掩模(或蚀刻终止)层130,硬掩模层130覆盖主掩模层140,主掩模层140覆盖待经由掩模处理(例如,蚀刻)的衬底110。
基于对本文中所论述的各种图案形成及图案转印步骤的化学性质及处理条件的考虑来挑选覆盖衬底110的层120到140的材料。因为最顶部可选择性界定层120与衬底110之间的层用以将从可选择性界定层120得到的图案转印到衬底110,所以可选择性界定层120与衬底110之间的层130到140经挑选以使得其可相对于其它暴露材料而被选择性地蚀刻。应了解,在材料的蚀刻速率比周围材料的蚀刻速率大至少约2到3倍、大至少约10倍、大至少约20倍或大至少约40倍时,考虑选择性地或优先地蚀刻所述材料。因为覆盖主硬掩模层140的层120到130的目标是允许在所述层140中形成良好界定的图案,所以应了解,如果使用合适的其它材料、化学性质及/或处理条件,则可省略或替代层120到130中的一者或一者以上。举例来说,在衬底相对简单且可相对于硬掩模层130而选择性地蚀刻的情形下,可省略主硬掩模层140且可使用硬掩模层130将图案直接转印到衬底。
继续参看图3A,可选择性界定层120是光可界定的,例如,由在此项技术中已知的光致抗蚀剂(包括任何光致抗蚀剂)形成,所述光致抗蚀剂包括任何正性或负性光致抗蚀剂。举例来说,光致抗蚀剂可为与157纳米、193纳米、248纳米或365纳米波长系统、193纳米波长浸没系统、远紫外系统(包括13.7纳米波长系统)或电子束光刻系统兼容的任何光致抗蚀剂。另外,无掩模光刻(maskless lithography或masklessphotolithography)可用以界定可选择性界定层120。光致抗蚀剂材料的实例包括氟化氩(ArF)敏感光致抗蚀剂(亦即,适合于与ArF光源一起使用的光致抗蚀剂),及氟化氪(KrF)敏感光致抗蚀剂(亦即,适合于与KrF光源一起使用的光致抗蚀剂)。ArF光致抗蚀剂与利用相对短波长光(例如,193纳米波长光)的光刻系统一起使用。KrF光致抗蚀剂与较长波长的光刻系统(例如,248纳米系统)一起使用。在其它实施例中,层120及任何随后抗蚀剂层可由可通过纳米-压印光刻图案化的抗蚀剂形成,例如,通过使用模制力或机械力来图案化抗蚀剂。
在一些实施例中,用于硬掩模层130的材料包含无机材料。用于硬掩模层130的材料包括氧化硅(Si02)、硅或抗反射涂层(ARC),例如,富含硅的氮氧化硅、富含硅的氮化物或具有相对于间隔物175或其它暴露材料(图7A)的所要蚀刻选择性的膜。硬掩模层130也可包括材料的层的组合,例如,底部抗反射涂层(BARC)在介电抗反射涂层(DARC)上。为了易于描述,在所说明的实施例中,硬掩模层130是抗反射涂层,例如DARC。应了解,使用用于硬掩模层130的ARC可对于形成具有接近光刻技术的分辨率限制的间距的图案特别有利。ARC可通过使光反射最小化而增强分辨率,由此增大光刻可界定图案的边缘的精度。
继续参看图3A,本发明的实施例可利用主掩蔽层以促进将图案转印到衬底。如上文所提,在转印图案的普通方法中,将掩模与下伏衬底两者暴露于蚀刻剂,蚀刻剂可在图案转印完成之前磨损掩模。在衬底包含多种待蚀刻的不同材料的情形下,所述困难加剧。由于其相对于多种材料(包括氧化物、氮化物及硅)的优良蚀刻选择性,主掩蔽层可由无定形碳形成。
可通过使用碳氢化合物或所述化合物的混合物作为碳前驱体的化学气相沉积而形成无定形碳层。碳前驱体可包括丙烯、丙炔、丙烷、丁烷、丁烯、丁二烯及乙炔。在2003年6月3日颁予费尔贝恩(Fairbaim)等人的第6,573,030 B1号美国专利中描述用于形成无定形碳层的方法,所述专利的全部揭示内容以引用的方式并入本文中。在一些实施例中,无定形碳是一种形式的对光高透明且由于对用于光对准的光的波长透明而为此对准提供进一步改进的无定形碳。可在A.海尔波德(A.Helmbold)、D.麦斯纳(D.Meissner)的“薄固态膜(Thin Solid Films)”,283(1996)196到203中找到用于形成此透明碳的沉积技术,所述著作的全部揭示内容以引用的方式并入本文中。另外,可掺杂无定形碳。在殷(Yin)等人的第10/652,174号美国专利申请案中描述用于形成经掺杂的无定形碳的合适方法,所述专利申请案的全部揭示内容以引用的方式并入本文中。
应了解,图案转印到的“衬底”可包括单个材料的层、多个不同材料的层、其中具有不同材料或结构的区域的一层或多个层等。所述材料可包括半导体、绝缘体、导体或其组合。在所说明的实施例中,衬底由经掺杂的半导体材料(例如,含有p型掺杂剂的半导体材料)形成。
参看图4A及图4B,包含间隔或沟槽122(其由光可界定材料特征124定界)的图案形成在光可界定层120中。可通过(例如)具有248纳米或193纳米光的光刻而形成沟槽122,其中经由光罩将层120暴露于辐射且接着进行显影。在经显影后,剩余光可界定材料(所说明的实施例中的光致抗蚀剂)形成例如所说明的线124的掩模特征(仅以横截面展示)。
所得线124的间距等于线124的宽度与相邻间隔122的宽度的和。为了使得使用线124及间隔122的此图案形成的特征的临界尺寸最小化,间距可处于或接近用以图案化光可界定层120的光刻技术的限制。举例来说,对于利用248纳米光的光刻,线124的间距可为约100纳米。因此,间距可处于光刻技术的最小间距,且下文所论述的间隔物图案可有利地具有低于光刻技术的最小间距的间距。或者,因为位置及特征大小的误差容限通常随着接近光刻技术的限制而增大,所以可形成具有较大特征大小(例如,200纳米或更大)的线124以使线124的位置及大小的误差最小化。
如图5A及图5B中所示,间隔122通过蚀刻光致抗蚀剂线124而加宽,以形成经修改的间隔122a及线124a。使用各向同性蚀刻来蚀刻光致抗蚀剂线124以“收缩”或修整所述特征。合适的蚀刻包括使用含氧等离子(例如,SO2/O2/N2/Ar等离子、Cl2/O2/He等离子或HBr/O2/N2等离子)的蚀刻。选择蚀刻的程度,以使得线124a的宽度大致等于稍后形成的间隔物175(图7)之间的所要间隔,如从下文论述将了解。举例来说,线124的宽度可从约80到120纳米减小到约30到70纳米或约50到70纳米。有利地,宽度减小蚀刻允许线124a比使用用以图案化光可界定层120的光刻技术原本将可能形成的线狭窄。尽管可低于光刻技术的分辨率限制来蚀刻线124a的临界尺寸,但应了解,此蚀刻并未更改间隔122a及线124a的间距,因为所述特征中的相同点之间的距离保持相同。
接着,参看图6,将间隔物材料的层170毯覆式保形沉积在暴露表面(包括硬掩模层150及临时层140的顶部及侧壁)上。间隔物材料可为任何可充当用于将图案转印到下伏硬掩模层130的掩模的材料。间隔物材料可为(但不限于)硅、氧化硅及氮化硅。在所说明的实施例中,间隔物材料为氧化硅,其结合掩蔽堆叠的其它选定材料而提供特定优势。
用于间隔物材料沉积的方法包括原子层沉积,例如,使用以硅前驱体及随后暴露于氧前驱体或氮前驱体以分别形成氧化硅及氮化硅的自限制沉积。在一些实施例中,为了形成氧化硅,将例如六氯二硅烷(HCD)的卤化硅在与氧前驱体(例如,H2O)交替的脉冲中引入。可在相对低温度下(例如,在低于约200℃下或低于约100℃下)执行ALD,此具有防止对下伏碳基材料(例如,光致抗蚀剂层及无定形碳层)造成热损害的优势。在其它实施例中,化学气相沉积用以沉积间隔物材料,例如,使用O3及TEOS来形成氧化硅。
基于间隔物175(图7A)的所要宽度来确定层170的厚度。举例来说,在一些实施例中,层170被沉积到约20到80纳米或约40到60纳米的厚度以形成粗略类似宽度的间隔物。阶梯覆盖率为约80%或更大及/或约90%或更大。
参看图7A及图7B,氧化硅间隔物层170经受各向异性蚀刻以从经部分地形成的集成电路100的水平表面180移除间隔物材料。
参看图8A及图8B,接着移除可选择性界定层120以留下独立间隔物175。可使用有机剥离工艺来选择性地移除可选择性界定层120。
因此,已形成间距倍增掩模特征。在所说明的实施例中,间隔物175形成狭长环且具有在其末端处接合的大致平行的支脚。间隔物175的间距大概是最初由光刻形成的光致抗蚀剂线124及间隔122(图4A及图4B)的间距的一半。在光致抗蚀剂线124具有约200纳米的间距的情形下,可形成具有约100纳米或更小的间距的间隔物175。应了解,因为间隔物175形成在特征或线124b的侧壁上,所以间隔物175大体上遵循经修改的光可界定层120a中的特征或线124a的图案的轮廓,且因此在线124a之间的间隔122a中形成闭合环。
接着,在根据本发明的一些实施例的方法的第二阶段中,将掩模材料的块覆盖在间隔物175上且将所得图案转印到衬底110。
参看图9A及图9B,将掩模材料(例如,光致抗蚀剂)的层200沉积在间隔物175之间及间隔物175上。光致抗蚀剂层200可由正性或负性光致抗蚀剂形成。
参看图10A及图10B,通过光刻图案化光可界定层200以在间隔物175的环的末端处形成掩蔽材料块210、212。块210、212延伸跨越间隔物175的相对末端且与其接触。有利地,块210、212具有足够大以通过光刻图案化且无需执行间距倍增的尺寸。因此,可形成由间距倍增及非间距倍增的掩模特征形成的混合图案177。可与形成在经部分地制造的集成电路100的周边区域中的其它相对大的特征同时图案化块210、212。在第7,115,525号及第7,253,118号美国专利及由Luan C.Tran(卢恩·C.特朗)于2007年7月31日申请的第11/831,012号美国专利申请案中揭示用于组合间距倍增及非间距倍增特征的方法。所述参考案中的每一者的全部揭示内容以引用的方式并入本文中。
参看图11,将由块210、212及间隔物175界定的图案177转印到硬掩模层130。可通过(例如)各向异性地蚀刻硬掩模层130来实现图案转印。
参看图12,将图案177转印到主掩模层140。可通过(例如)各向异性地蚀刻主掩模层140来实现图案转印。参看图13,使用各向异性蚀刻将图案177转印到衬底110,其中层140充当蚀刻的掩模。
参看图14A及图14B,移除间隔物175及覆盖衬底110的掩模层130及140。间距倍增线310形成在衬底110中。在其末端中的每一者处,线310横向地接触分离的块320、322。
参看图15,用绝缘材料330(例如,氧化硅)填充由线310及块320、322界定的沟槽以用于浅沟槽隔离应用。例如用于快闪存储器的存储器单元有源区可界定在沟槽之间且浮动栅极及控制栅极可形成在有源区上。
作为形成浮动栅极及控制栅极的一部分,用于形成浮动栅极及控制栅极的层340的堆叠随后形成在线310、绝缘材料330及块320、322(图14B)上。应了解,层340的组成可跨越经部分地制造的集成电路100而变化。举例来说,在(例如)需要浮动栅极与控制栅极两者的一些区中,层340可包括适合于形成所述栅极的层。在(例如)仅需要选择栅极的其它区中,层340可包括如适合于形成选择栅极的较少层。举例来说,对于形成选择栅极,层340可包括在多晶硅层上的硅化物层,其覆盖氧化物-氮化物-氧化物(ONO)复合层,所述复合层覆盖多晶硅层。
参看图16A,掩模层350形成在层340的堆叠上。掩模层350可为由(例如)光致抗蚀剂形成的可选择性界定层350。参看图16B及图16C,随后图案化层350,借此形成狭长条带352到356。经图案化的狭长条带352到356可用以形成存储器装置中的各种特征。举例来说,条带352到355可用以形成用于调节对沿线310(其形成有源区)形成的存储器单元的读取及写入的选择栅极。条带356可用以界定字线以及浮动栅极及控制栅极。
应了解,可在单个步骤(例如,通过光刻)中或在多个单独步骤中形成条带352到356。举例来说,为了增大密度,可通过间距倍增而形成条带356。因而,本文参看图3A及图3B描述的掩蔽层的堆叠可形成在层340上。可接着如本文参看图3A到图8B所论述来处理掩蔽层的堆叠。所得间隔物环可接着经受环蚀刻,借此形成条带356。掩模层350(图16A)可随后沉积在间隔物175之间及间隔物175上。接着(例如)通过光刻(其中掩模层350由光致抗蚀剂形成)来图案化掩模层350,借此形成条带352到355(图16B及图16C)。尽管为了易于论述而未说明,但如本文中所论述,一个或一个以上额外掩蔽层可提供在掩模层350之间以促进将图案转印到层340。举例来说,ARC层可直接提供在掩模层350的下方且无定形碳层可提供在ARC层与层340之间。此一序列层将提供优势且可如上文所述用于层130及140(图3A到图13)。
参看图17A及图17B,接着将掩模层350中的图案转印到层340,借此在所述层中形成条带342到346。条带342到346可对应于所述层中的字线、浮动栅极、控制栅极及选择栅极。
应了解,掺杂(例如,用n型掺杂剂)线310的暴露部分及半导体材料的块320、322以在条带342到346的任一侧上在线310及块320、322中形成源极/漏极区域。在一些实施例中,对于线310中的每一者,特征320形成源极且特征342形成隔离晶体管的栅极。类似地,在线310的相对末端上,对于线310中的每一者,特征322形成源极且特征343形成额外隔离晶体管的栅极。
在随后处理步骤中,接触各种位线及字线且将位线及字线连接到各种辅助电路以形成存储器装置。在一些实施例中,可从位线及字线以上的层面进行接触。另外,如本文中所论述,到源极区域320、322的接点可分别电连接到栅极342、343,以便为实现操作的简单性及可靠性而将隔离晶体管的栅极及源极系接在一起。
图18说明根据本发明的一些实施例的存储器装置400中的NAND快闪存储器阵列的块。所说明的快闪存储器阵列包括位线BL0到BLM及字线WL0到WLN。位线BL0到BLM在列方向上彼此平行延伸。字线WL0到WLN在行方向上彼此平行延伸。所述NAND快闪存储器阵列还包括用于选择位线的选择晶体管402、404。辅助逻辑及其它电路(未图示)使用位线接点406连接到位线。选择晶体管402、404的行的栅极对应于特征344、345(图17B)。
每一位线包括源极到漏极串联耦合的浮动栅极晶体管串。举例来说,第二位线BL1包括串联连接的浮动栅极晶体管110。同一行中的单元的浮动栅极晶体管110的控制栅极耦合到同一字线。浮动栅极晶体管110中的每一者形成存储电荷(或缺少电荷)的存储器单元,其中所存储电荷的量可用以表示(例如)一个或一个以上状态,且其中所述一个或一个以上状态可表示一个或一个以上数字(例如,位)的数据。通过检测电荷的不存在或存在来读取位。
继续参看图18,使位线BL0到BLM在其末端处共同分流。隔离或选择晶体管412、414通过防止电流流动到位线的分流末端而使个别位线彼此电隔离。分流末端对应于块320及322(图17B)且选择晶体管412、414的栅极对应于特征342、343(图17B)。
应了解,每一对位线(其也可被视作半导体材料的环)可包括总共四个隔离晶体管。举例来说,隔离晶体管412a及412b构成接近环的一个末端的第一及第二隔离晶体管,且隔离晶体管414a及414b构成接近环的相对末端的第三及第四隔离晶体管。因此,一对隔离晶体管可用以电隔离单个位线,所述对隔离晶体管是通过环的大致平行、水平狭长部分形成。隔离晶体管将所述狭长部分中的一者的宽阔区域与所述狭长部分中的另一者的宽阔区域隔离。
如图18中所说明,选择晶体管412、414的源极/漏极区域电系接或连接到所述晶体管的栅极且以断开状态偏置。在读取及写入循环期间,栅极及所系接的源极/漏极可维持浮动或连接到接地(0V),借此将连接到隔离晶体管412、414的所有位线电隔离。在擦除操作期间,晶体管412、414可处于“接通”状态。然而,因为所有存储器单元同时被擦除,所以晶体管412、414的状态是不相关的:无需隔离个别位线,因为对NAND快闪存储器块的所有单元执行同一操作。
如上文所述,参看图19,由部分制造的集成电路100形成的完全形成的集成电路101可并入到各种系统或装置中。举例来说,可将集成电路101用作电子装置102中存储数据的存储器,所述电子装置102具有计算机处理器103、用户接口104及电源105。所述电子装置的实例包括计算机化装置,其包括利用存储器电路的任何装置,例如数据存储及检索装置(包括音乐、光及/或视频装置)。
应了解,对所说明的实施例的各种修改是可能的。举例来说,尽管以用于将材料的环共同分流的材料块来说明,但在一些实施例中可省略所述块。在所述实施例中,接触个别环末端。
然而,块有利于简化隔离晶体管的操作及改进工艺结果。通过将所有环系接在一起,排除对环的个别接入,借此简化隔离晶体管的制造及操作。此外,块可通过促进将电介质沉积到沟槽312(图14B)中而改进处理结果。已发现间距倍增环的末端相对于环的中间部分来说可相对较薄。还已发现将电介质沉积到所述相对薄的末端中可能是困难的且容易形成空隙。通过用掩蔽材料的块覆盖末端来消除所述末端,已发现沟槽的填充可得到改进,从而产生具有改进可靠性的集成电路。
在一些实施例中,可从材料的环的一个末端省略隔离晶体管。举例来说,在材料由于另一原因而被共同分流的情形下,例如,在形成环的线在一个末端处全部系接到接地的情形下,可能没有必要形成隔离晶体管以将系接到接地的末端上的线电隔离。然而,如上文所述,在环的末端处材料的块的形成具有改进由线界定的沟槽的填充的益处。因此,甚至在电隔离在一个末端处不必要的情形下,也可能需要在两个环末端处均形成块。
应了解,如本文中所使用,材料的“线”无需仅在单个方向上延伸穿过“线”的整个范围。实情为,材料的“线”是材料的狭长延伸且可在材料的线的宽阔区域上弯曲或以其它方式改变方向。
另外,在本文中所描述的步骤中的任一者中,将图案从覆盖层面转印到下伏层面涉及在下伏层面中形成大体上对应于覆盖层面中的特征的特征。例如,下伏层面中的线的路径将大体上遵循覆盖层面中的线的路径,且下伏层面中的其它特征的位置将对应于覆盖层面中的类似特征的位置。然而,特征的精确形状及大小可从覆盖层面到下伏层面不同。举例来说,视蚀刻化学性质及条件而定,形成转印的图案的特征的大小及所述特征之间的相对间隔可相对于覆盖层面上的图案而扩大或减小,而仍类似于同一初始“图案”,如可从下文描述的实施例中收缩第一抗蚀剂掩模的实例看出。因此,即使特征的尺寸有一些改变,所转印的图案仍被认为是与初始图案相同的图案。与此对比,在掩模特征周围形成间隔物可改变图案。
因此,从本文中的描述应了解,本发明包括各种实施例。举例来说,根据本发明的一些实施例,提供一种方法。所述方法包含提供具有由半导体材料形成的环的衬底。所述环由在至少一个环末端处接合的一对大致平行、水平狭长部分来界定。沿环形成第一晶体管以将狭长部分中的第一部分的宽阔区域与狭长部分中的第二部分的宽阔区域电隔离。环的一部分形成第一晶体管的有源区。
根据本发明的其它实施例,提供一种用于形成集成电路的工艺。所述工艺包含提供覆盖衬底的多个心轴。间隔物提供在心轴的侧壁处。相对于间隔物选择性地移除心轴。掩模材料的层沉积在间隔物上。掩模材料的层经图案化以形成掩模材料的第一及第二横向分离块,第一块接触间隔物中的每一者的第一末端且第二块接触间隔物中的每一者的第二末端。将由间隔物以及第一及第二块界定的第一图案转印到衬底。
根据本发明的另外其它实施例,提供一种用于形成集成电路的工艺。所述工艺包含提供覆盖衬底的第一掩模材料的多个狭长环。第二掩模材料的层提供在环上。所述层经图案化以形成第二掩模材料的块,所述块接触环中的每一者的一末端。将由环及块界定的第一图案转印到衬底。半导体材料的层随后形成在衬底上。掩模材料的另一层形成在半导体材料上。所述另一层经图案化以形成延伸跨越环中的每一者且与其接触的掩蔽材料的一个或一个以上条带。将由所述条带界定的第二图案转印到半导体材料的层以形成半导体材料的条带。半导体材料的条带电连接到由第二掩模材料的块界定的衬底特征。
根据本发明的其它实施例,提供一种集成电路。所述集成电路包含半导体材料的多个间隔开的线。半导体材料的第一块设置在与半导体材料的线相同的层面上且接触狭长条带中的每一者的第一末端。沿半导体材料的线设置第一多个晶体管栅极。所述第一多个晶体管栅极电连接到第一块。
根据本发明的另外其它实施例,提供一种包含集成电路的电装置。所述集成电路包含半导体材料的多个间隔开的线。使线的第一末端全部电互连且使线的相对末端全部电互连。提供用于防止电流从线的中间部分流动到第一末端的装置。
所属领域的技术人员还应了解,在不脱离本发明的范围的情况下,可对上文所描述的方法及结构进行各种省略、添加及修改。希望所有所述修改及改变属于由随附权利要求书界定的本发明的范围。

Claims (33)

1.一种方法,其包含:
提供具有由半导体材料形成的环的衬底,所述环由在至少一个环末端处接合的一对大致平行、水平狭长部分来界定;以及
沿所述环形成第一晶体管以将所述狭长部分中的第一部分的宽阔区域与所述狭长部分中的第二部分的宽阔区域电隔离,其中所述环的一部分形成所述第一晶体管的有源区。
2.根据权利要求1所述的方法,其中所述第一晶体管沿所述第一狭长部分设置,所述方法进一步包含沿所述第二狭长部分形成第二晶体管,所述环的一部分形成所述第二晶体管的有源区,其中所述第一晶体管及所述第二晶体管接近所述环末端而设置。
3.根据权利要求2所述的方法,其中在所述环末端的相对末端处接合所述狭长部分,所述方法进一步包含沿接近所述环末端的所述第一狭长部分形成第三晶体管及沿接近所述相对末端的所述第二狭长部分形成第四晶体管。
4.根据权利要求1所述的方法,其中提供具有所述环的所述衬底包含使用间距倍增来形成所述环。
5.根据权利要求4所述的方法,其中使用间距倍增来形成所述环包含:
在所述衬底上形成心轴;
在所述心轴的侧壁上形成间隔物;
移除所述心轴以留下独立间隔物的图案;以及
将由所述独立间隔物界定的图案蚀刻到包含所述半导体材料的层中。
6.根据权利要求1所述的方法,其中提供具有所述环的所述衬底包含提供半导体材料的多个线,其中所述线在所述环末端处各自与半导体材料的块邻接。
7.根据权利要求1所述的方法,其中形成所述第一晶体管包含掺杂所述有源区的任一侧以形成源极/漏极区域。
8.根据权利要求7所述的方法,其中形成所述第一晶体管包含直接在所述环上形成晶体管栅极,所述栅极界定在其下方的所述有源区域。
9.根据权利要求8所述的方法,其中所述环末端是源极区域且其中形成所述第一晶体管包含使所述源极区域及所述栅极共同分流。
10.一种用于形成集成电路的工艺,其包含:
提供覆盖衬底的多个心轴;
在所述心轴的侧壁处提供间隔物;
相对于所述间隔物选择性地移除所述心轴;
在所述间隔物上沉积掩模材料的层;
图案化掩模材料的所述层以形成所述掩模材料的第一及第二横向分离块,所述第一块接触所述间隔物中的每一者的第一末端且所述第二块接触所述间隔物中的每一者的第二末端;以及
将由所述间隔物以及所述第一及第二块界定的第一图案转印到所述衬底。
11.根据权利要求10所述的工艺,其中提供多个心轴包含:
提供覆盖临时层的光致抗蚀剂层;
图案化所述光致抗蚀剂层以形成光致抗蚀剂特征;以及
修整所述光致抗蚀剂特征,其中所述经修整的光致抗蚀剂特征形成所述心轴。
12.根据权利要求10所述的工艺,其中在所述心轴的侧壁处提供间隔物包含:
在所述光致抗蚀剂特征上毯覆性沉积间隔物材料的层;以及
从水平表面移除所述间隔物材料以在所述光致抗蚀剂特征的侧壁上界定间隔物。
13.根据权利要求10所述的工艺,其中将由所述间隔物以及所述第一及第二块界定的所述第一图案转印到所述衬底包含:
将由所述间隔物以及所述第一及第二块界定的所述第一图案转印到硬掩模层;以及
将所述第一图案从所述硬掩模层转印到所述衬底。
14.根据权利要求13所述的工艺,其进一步包含:
在所述间隔物与所述硬掩模层之间的层面上提供一个或一个以上额外硬掩模层;以及
在将所述第一图案转印到所述硬掩模层之前将所述第一图案转印到所述一个或一个以上额外硬掩模层。
15.根据权利要求13所述的工艺,其中由无定形碳形成所述硬掩模层。
16.根据权利要求10所述的工艺,其中在所述间隔物上沉积掩模材料的层包含沉积光致抗蚀剂的层。
17.一种用于形成集成电路的工艺,其包含:
提供覆盖衬底的第一掩模材料的多个狭长环;
在所述环上提供第二掩模材料的层;
图案化所述层以形成所述第二掩模材料的块,所述块接触所述环中的每一者的末端;
将由所述环及所述块界定的第一图案转印到所述衬底;
随后在所述衬底上形成半导体材料的层;
在所述半导体材料上形成掩模材料的另一层;
图案化所述另一层以形成延伸跨越所述环中的每一者且与其接触的掩蔽材料的一个或一个以上条带;
将由所述条带界定的第二图案转印到半导体材料的所述层以形成半导体材料的条带;以及
将半导体材料的所述条带与由所述第二掩模材料的所述块界定的衬底特征电连接。
18.根据权利要求17所述的工艺,其中转印所述第二图案界定晶体管的栅极且转印所述第一图案界定所述晶体管的源极/漏极区域。
19.根据权利要求17所述的工艺,其中转印所述第一图案界定存储器装置的位线。
20.根据权利要求19所述的工艺,其中转印所述第二图案界定所述存储器装置的字线。
21.根据权利要求17所述的工艺,其中所述集成电路是快闪存储器电路,其中转印所述第一及所述第二图案在所述快闪存储器电路的阵列区域中界定浮动栅极晶体管。
22.根据权利要求17所述的工艺,其中图案化所述层在所述快闪存储器电路的周边区域中界定特征。
23.一种集成电路,其包含:
半导体材料的多个间隔开的线;
所述半导体材料的第一块,其在与半导体材料的所述线相同的层面上且接触狭长条带中的每一者的第一末端;以及
第一多个晶体管栅极,其沿半导体材料的所述线设置,所述第一多个晶体管栅极电连接到所述第一块。
24.根据权利要求23所述的集成电路,其进一步包含:
所述半导体材料的第二块,其在与所述狭长条带相同的层面上且接触半导体材料的所述线的第二末端;以及
第二多个晶体管栅极,其沿半导体材料的所述线设置,所述第二多个晶体管栅极电连接到所述第二块。
25.根据权利要求23所述的集成电路,其中所述第一块形成包含所述第一块及所述第一多个晶体管栅极的第一多个晶体管的第一源极/漏极,且其中所述第二块形成包含所述第二块及所述第二多个晶体管栅极的第二多个晶体管的第二源极/漏极。
26.根据权利要求23所述的集成电路,其中半导体材料的所述线构成存储器装置的位线。
27.根据权利要求26所述的集成电路,其中所述第一多个晶体管栅极构成存储器装置的字线的部分。
28.一种包含集成电路的电装置,所述集成电路包含:
半导体材料的多个间隔开的线,其中所述线的第一末端全部电互连且所述线的相对末端全部电互连;以及
用于防止电流从所述线的中间部分流动到所述第一末端的装置。
29.根据权利要求28所述的电装置,其中所述线在存储器阵列中界定存储器单元。
30.根据权利要求29所述的电装置,其中所述集成电路是快闪存储器装置。
31.根据权利要求28所述的电装置,其中所述用于防止电流流动的装置包含:
接近所述第一末端的晶体管,其中所述线形成所述晶体管的有源区,且其中半导体的块在所述第一末端处将所述线电连接,其中半导体材料的所述块形成所述晶体管的源极/漏极区域。
32.根据权利要求28所述的电装置,其进一步包含用于防止电流从所述线的中间部分流动到第二末端的另一装置。
33.根据权利要求32所述的电装置,其中所述另一装置包含:
接近所述第二末端的晶体管,其中所述线形成所述晶体管的有源区,且其中半导体的块在所述第二末端处将所述线电连接,其中半导体材料的所述块形成所述晶体管的源极/漏极区域。
CN200880121431.6A 2007-12-18 2008-12-16 用于隔离间距倍增材料环的部分的方法及相关结构 Active CN101903991B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410162977.3A CN103904085B (zh) 2007-12-18 2008-12-16 用于隔离间距倍增材料环的部分的方法及相关结构

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/959,409 2007-12-18
US11/959,409 US7790531B2 (en) 2007-12-18 2007-12-18 Methods for isolating portions of a loop of pitch-multiplied material and related structures
PCT/US2008/087029 WO2009079517A2 (en) 2007-12-18 2008-12-16 Methods for isolating portions of a loop of pitch-multiplied material and related structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410162977.3A Division CN103904085B (zh) 2007-12-18 2008-12-16 用于隔离间距倍增材料环的部分的方法及相关结构

Publications (2)

Publication Number Publication Date
CN101903991A true CN101903991A (zh) 2010-12-01
CN101903991B CN101903991B (zh) 2014-06-04

Family

ID=40752074

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410162977.3A Active CN103904085B (zh) 2007-12-18 2008-12-16 用于隔离间距倍增材料环的部分的方法及相关结构
CN200880121431.6A Active CN101903991B (zh) 2007-12-18 2008-12-16 用于隔离间距倍增材料环的部分的方法及相关结构

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410162977.3A Active CN103904085B (zh) 2007-12-18 2008-12-16 用于隔离间距倍增材料环的部分的方法及相关结构

Country Status (7)

Country Link
US (6) US7790531B2 (zh)
EP (1) EP2232539B1 (zh)
JP (3) JP5561485B2 (zh)
KR (1) KR101603800B1 (zh)
CN (2) CN103904085B (zh)
TW (1) TWI503924B (zh)
WO (1) WO2009079517A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104716032A (zh) * 2013-12-12 2015-06-17 德州仪器公司 使用间隔件双重图案化印刷多个结构宽度的方法
CN105390399A (zh) * 2014-08-25 2016-03-09 三星电子株式会社 半导体装置及其制造方法
CN105489483A (zh) * 2014-10-07 2016-04-13 东京毅力科创株式会社 处理具有掩模的被处理体的方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8481417B2 (en) 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR101045090B1 (ko) * 2008-11-13 2011-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
KR101077453B1 (ko) * 2009-03-31 2011-10-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
JP4982582B2 (ja) * 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
KR101150639B1 (ko) * 2010-06-17 2012-07-03 에스케이하이닉스 주식회사 반도체 소자의 패턴 형성 방법
US8940475B2 (en) * 2010-11-23 2015-01-27 Tokyo Electron Limited Double patterning with inline critical dimension slimming
KR20120062385A (ko) * 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
US8536674B2 (en) 2010-12-20 2013-09-17 General Electric Company Integrated circuit and method of fabricating same
US9525007B2 (en) * 2010-12-28 2016-12-20 Micron Technology, Inc. Phase change memory device with voltage control elements
TWI473205B (zh) * 2011-11-24 2015-02-11 Powerchip Technology Corp 接觸窗開口的形成方法
US8802510B2 (en) * 2012-02-22 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
KR102059183B1 (ko) 2013-03-07 2019-12-24 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
JP6091940B2 (ja) * 2013-03-11 2017-03-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
KR102290460B1 (ko) * 2014-08-25 2021-08-19 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9673055B2 (en) 2015-02-04 2017-06-06 Globalfoundries Inc. Method for quadruple frequency FinFETs with single-fin removal
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10256140B2 (en) * 2016-10-20 2019-04-09 Tokyo Electron Limited Method of reducing overlay error in via to grid patterning
EP3419047A1 (en) 2017-06-22 2018-12-26 IMEC vzw A method for patterning a target layer
CN110581066A (zh) * 2018-06-07 2019-12-17 长鑫存储技术有限公司 多倍掩膜层的制作方法
US11011581B2 (en) * 2019-06-10 2021-05-18 Western Digital Technologies, Inc. Multi-level loop cut process for a three-dimensional memory device using pitch-doubled metal lines

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1450647A (zh) * 2002-04-05 2003-10-22 三菱电机株式会社 半导体装置
CN1518771A (zh) * 2002-08-23 2004-08-04 ض� 三栅极器件及其加工方法
CN1905193A (zh) * 2005-07-27 2007-01-31 株式会社东芝 半导体器件及其制造方法
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production

Family Cites Families (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237Y2 (zh) 1978-12-28 1982-10-22
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
JPS5748237A (en) 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) * 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
US4778922A (en) 1986-06-17 1988-10-18 Hoechst Celanese Corporation Process for producing N,O-diacetyl-6-amino-2-naphthol
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS63302252A (ja) 1987-05-30 1988-12-09 Nitto Kohki Co Ltd 液体加熱貯留装置
JPS6435916A (en) 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
JPS6435916U (zh) 1987-08-28 1989-03-03
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
JPH0414255A (ja) * 1990-05-07 1992-01-20 Toshiba Corp Mos型半導体装置
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5304835A (en) 1990-07-18 1994-04-19 Seiko Epson Corporation Semiconductor device
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
DE4034612A1 (de) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JP3098786B2 (ja) 1991-04-05 2000-10-16 株式会社日立製作所 半導体集積回路装置
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) * 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR0122315B1 (ko) 1993-12-27 1997-11-26 김주용 고집적 반도체 소자의 미세패턴 형성방법
KR950034748A (ko) 1994-05-30 1995-12-28 김주용 포토레지스트 패턴 형성방법
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) * 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) * 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) * 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5753548A (en) * 1996-09-24 1998-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing fluorine outgassing-induced interlevel dielectric delamination on P-channel FETS
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
TW365691B (en) 1997-02-05 1999-08-01 Samsung Electronics Co Ltd Method for etching Pt film of semiconductor device
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
KR200173196Y1 (ko) 1997-06-19 2000-03-02 에릭 발리베 모터의 브러시홀더
JP3519583B2 (ja) * 1997-09-19 2004-04-19 株式会社東芝 不揮発性半導体記憶装置およびその製造方法
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR19990027887A (ko) 1997-09-30 1999-04-15 윤종용 스페이서를 이용한 반도체장치의 미세 패턴 형성방법
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
FR2786776B1 (fr) * 1998-12-07 2001-02-16 Clariant France Sa Compositions silico-acryliques, procede de preparation et application a l'obtention de revetements resistant a l'abrasion et aux rayures
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) * 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
KR100727901B1 (ko) 1999-07-10 2007-06-14 삼성전자주식회사 마이크로 스케듈링 방법 및 운영체제 커널 장치
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) * 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) * 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) * 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP2002208646A (ja) 2001-01-10 2002-07-26 Toshiba Corp 半導体装置、半導体装置の製造方法
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
JP2002280463A (ja) * 2001-03-16 2002-09-27 Toshiba Corp 半導体装置及びその製造方法
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) * 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) * 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10204688C1 (de) 2002-02-06 2003-10-09 Infineon Technologies Ag Speicherbaustein mit verbesserten elektrischen Eigenschaften
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) * 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
US7049651B2 (en) 2003-11-17 2006-05-23 Infineon Technologies Ag Charge-trapping memory device including high permittivity strips
TWI274397B (en) 2003-11-20 2007-02-21 Winbond Electronics Corp Method for forming narrow trench structure and method for forming gate structure with narrow spacing
KR101002928B1 (ko) 2003-11-29 2010-12-27 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) * 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8486287B2 (en) 2004-03-19 2013-07-16 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
KR100546409B1 (ko) * 2004-05-11 2006-01-26 삼성전자주식회사 리세스 채널을 구비한 2-비트 소노스형 메모리 셀 및 그제조방법
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7175944B2 (en) * 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7381615B2 (en) * 2004-11-23 2008-06-03 Sandisk Corporation Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices
US7208379B2 (en) * 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
KR100596795B1 (ko) * 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
JPWO2006070474A1 (ja) * 2004-12-28 2008-06-12 スパンション エルエルシー 半導体装置の製造方法
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) * 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
JP2006237196A (ja) 2005-02-24 2006-09-07 Matsushita Electric Ind Co Ltd 半導体記憶装置
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
CN100555579C (zh) 2005-03-28 2009-10-28 美光科技公司 集成电路制造
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7325215B2 (en) 2005-08-31 2008-01-29 Lsi Logic Corporation Timing violation debugging inside place and route tool
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100842576B1 (ko) * 2005-09-08 2008-07-01 삼성전자주식회사 휴대 단말기의 안테나 장치
US7244638B2 (en) * 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
US7294888B1 (en) 2005-09-30 2007-11-13 Xilinx, Inc. CMOS-compatible non-volatile memory cell with lateral inter-poly programming layer
KR101200938B1 (ko) * 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7271063B2 (en) * 2005-10-13 2007-09-18 Elite Semiconductor Memory Technology, Inc. Method of forming FLASH cell array having reduced word line pitch
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
KR100672123B1 (ko) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
JP2007273859A (ja) * 2006-03-31 2007-10-18 Renesas Technology Corp 半導体装置およびその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
KR100763538B1 (ko) 2006-08-29 2007-10-05 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 미세 패턴의 형성방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7838948B2 (en) * 2007-01-30 2010-11-23 Infineon Technologies Ag Fin interconnects for multigate FET circuit blocks
JP4950702B2 (ja) * 2007-03-01 2012-06-13 株式会社東芝 半導体記憶装置の製造方法
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP2009054956A (ja) * 2007-08-29 2009-03-12 Toshiba Corp 半導体メモリ
US8221852B2 (en) 2007-09-14 2012-07-17 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using titanium-based precursors
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
DE102008007029B4 (de) * 2008-01-31 2014-07-03 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Betrieb einer elektronischen Schaltung mit körpergesteuertem Doppelkanaltransistor und SRAM-Zelle mit körpergesteuertem Doppelkanaltransistor
US8884966B2 (en) 2011-08-24 2014-11-11 Hewlett-Packard Development Company, L.P. Visualizing a scatter plot using real-time backward rewrite
JP5806974B2 (ja) 2012-05-17 2015-11-10 日本電信電話株式会社 近隣情報検索装置及び方法及びプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1450647A (zh) * 2002-04-05 2003-10-22 三菱电机株式会社 半导体装置
CN1518771A (zh) * 2002-08-23 2004-08-04 ض� 三栅极器件及其加工方法
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
CN1905193A (zh) * 2005-07-27 2007-01-31 株式会社东芝 半导体器件及其制造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104716032A (zh) * 2013-12-12 2015-06-17 德州仪器公司 使用间隔件双重图案化印刷多个结构宽度的方法
CN104716032B (zh) * 2013-12-12 2019-06-21 德州仪器公司 使用间隔件双重图案化印刷多个结构宽度的方法
CN105390399A (zh) * 2014-08-25 2016-03-09 三星电子株式会社 半导体装置及其制造方法
CN105390399B (zh) * 2014-08-25 2020-08-28 三星电子株式会社 半导体装置及其制造方法
CN105489483A (zh) * 2014-10-07 2016-04-13 东京毅力科创株式会社 处理具有掩模的被处理体的方法

Also Published As

Publication number Publication date
US20100289070A1 (en) 2010-11-18
US10497611B2 (en) 2019-12-03
US20090152645A1 (en) 2009-06-18
JP2011507308A (ja) 2011-03-03
JP2015122516A (ja) 2015-07-02
TW200937573A (en) 2009-09-01
JP5561485B2 (ja) 2014-07-30
KR101603800B1 (ko) 2016-03-25
US20150123185A1 (en) 2015-05-07
US20180211868A1 (en) 2018-07-26
JP2014060438A (ja) 2014-04-03
WO2009079517A2 (en) 2009-06-25
CN103904085B (zh) 2017-06-27
US7790531B2 (en) 2010-09-07
US9666695B2 (en) 2017-05-30
JP5719911B2 (ja) 2015-05-20
TWI503924B (zh) 2015-10-11
US8390034B2 (en) 2013-03-05
US9941155B2 (en) 2018-04-10
CN103904085A (zh) 2014-07-02
EP2232539A2 (en) 2010-09-29
US8932960B2 (en) 2015-01-13
KR20100113087A (ko) 2010-10-20
EP2232539A4 (en) 2011-03-09
CN101903991B (zh) 2014-06-04
EP2232539B1 (en) 2016-02-17
US20130171784A1 (en) 2013-07-04
WO2009079517A3 (en) 2009-10-01
US20170250110A1 (en) 2017-08-31

Similar Documents

Publication Publication Date Title
CN101903991B (zh) 用于隔离间距倍增材料环的部分的方法及相关结构
CN101529557B (zh) 用于将间距倍增大于2的因数的单个间隔物工艺及相关中间ic结构
CN101512726B (zh) 高效的间距倍增工艺
CN100576447C (zh) 相对于光刻部件间距减小的图案
US9048194B2 (en) Method for selectively modifying spacing between pitch multiplied structures
TWI386975B (zh) 半導體結構,形成多線條之方法,以及以單一光遮罩形成高密度結構與低密度結構之方法
KR100921588B1 (ko) 포토리소그래피의 피쳐들에 관련된 감소된 피치를 갖는패턴들
CN101292327B (zh) 形成间距倍增接点的方法
US7972926B2 (en) Methods of forming memory cells; and methods of forming vertical structures
US20130105937A1 (en) Simplified pitch doubling process flow
US20110129991A1 (en) Methods Of Patterning Materials, And Methods Of Forming Memory Cells
CN101772832A (zh) 包括紧密间距触点的半导体结构及其形成方法
KR20100076317A (ko) 반도체 소자의 패턴 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant