CN101995546A - Automatic test system and method of programmable logic device on basis of boundary scan - Google Patents

Automatic test system and method of programmable logic device on basis of boundary scan Download PDF

Info

Publication number
CN101995546A
CN101995546A CN2010105450552A CN201010545055A CN101995546A CN 101995546 A CN101995546 A CN 101995546A CN 2010105450552 A CN2010105450552 A CN 2010105450552A CN 201010545055 A CN201010545055 A CN 201010545055A CN 101995546 A CN101995546 A CN 101995546A
Authority
CN
China
Prior art keywords
test
chip
instruction
software
jtag
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010105450552A
Other languages
Chinese (zh)
Other versions
CN101995546B (en
Inventor
王伶俐
王颖
周学功
童家榕
包杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fudan University
Original Assignee
Fudan University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fudan University filed Critical Fudan University
Priority to CN 201010545055 priority Critical patent/CN101995546B/en
Publication of CN101995546A publication Critical patent/CN101995546A/en
Application granted granted Critical
Publication of CN101995546B publication Critical patent/CN101995546B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

The invention belongs to the field of electronic technology, in particular to an automatic test system and method of a programmable logic device on the basis of boundary scan. The test method comprises the following steps: generating a chip configuration file; downloading and configuring an FPGA (field programmable gate array) chip; generating and loading a test vector; comparing test results; building a corresponding test system; and completely realizing automation. In the invention, the test vector of an item to be tested is automatically generated by a user through software, and the on-line test of the hardware function of a user circuit is realized by combining JTAG (joint test action group) automatic downloading test software. Scripted test environment converts a series of complex manual test operation into full automatic software flow so as to greatly improve test speed and accuracy.

Description

Programmable logic device (PLD) Auto-Test System and method based on boundary scan
Technical field
The invention belongs to electronic technology field, be specifically related to a kind of automatic test approach of programming device.
Background technology
Field programmable gate array (Field Programmable Gate Array, FPGA), it is at programmable logic array (Programmable Array Logic, PAL), generic array logic (Generic Array Logic, GAL), (Complex Programmable Logic Device CPLD) waits the product that further develops on the basis of programming device to CPLD.It is at first as special IC (Application Specific Integrated Circuit, ASIC) approach of prototype functional verification and occurring, both solve the deficiency of custom circuit, overcome the limited shortcoming of original programming device gate circuit number again.
Because the FPGA design cycle is short, Time To Market is fast, non-repetition engineering cost (Non-Recursive Engineering, NRE) low characteristics, have dynamically reconfigurable characteristic in addition, thereby in fields such as commercial communication, consumer electronics product, automobile, medical treatment, obtained to use widely.
Yet along with the explosive growth of fpga chip complicacy and density, the challenge that chip testing is faced is also increasing.Traditional method of testing is that chip is placed on the special exploitation motherboard, bit stream file is downloaded in the chip, produce the input signal of appointment then by signal generator, act on the port on the chip, be whether the signal of external each output pins of observation such as LED lamp of chip meets expection, the correctness of artificial judgment bit stream file by CRO coupling or mother matrix again.This method efficient is very low, can not do batch testing, can only observe the signal situation of change of limited output pin roughly, and is easy to take place mistake.
Second kind of method of testing is to use commercial on-line debugging software, as the SignalTap of altera corp and the ChipScope of Xilinx company etc.The supporting use of design software of the general and product company of this type of debugging software, can catch with the display chip system in the state [1] of live signal, but these debugging softwares are only supported the chip that own company produces, and whole flow process also must be carried out in corresponding supporting design software.This method versatility is not high, can not be used to test self-designed fpga chip and autonomous design software flow process.
The third method is to use commercial test macro, as the Agilent 93000 Pin Scale test machines [2] of Agilent Technologies.This method has high universalizable, high accuracy, but its corresponding software kit is comparatively complicated, and learning cost is higher, and the hardware support kit price is very expensive, does not meet the requirement of testing fast at lower cost.
In order to overcome the various shortcoming of above method, the present invention has realized a kind of based on JTAG(Joint Test Action Group) the automatic download test method of [3] boundary scan technique, satisfied mass test request fast and accurately.
List of references
[1]Altera,?“Design?Debugging?Using?the?SignalTap?II?Embedded?Logic?Analyzer”,?2009;
[2]Verigy,?V93000?Technical?Documentation?Center,?“Verigy?V93000?SmarTest?6.5.3?Help?Documentation”,?2010;
[3]IEEE?Standards,?Piscataway,?N.J.,?“ANSI/IEEE?Std?1149.1-1990?Standard Test?Access Port?and?Boundary-Scan?Architecture”,?1993。
Summary of the invention
The object of the present invention is to provide a kind of energy to satisfy mass test request fast and accurately, and low programmable logic device (PLD) Auto-Test System and the method for learning cost.
Programmable logic device (PLD) automatic test approach provided by the invention is a kind of based on JTAG(Joint Test Action Group) the automatic download test method of [3] boundary scan technique.Specifically comprise generation, the download configuration fpga chip of chip configuration file, the generation and the loading of test vector, and test result relatively waits, and made up corresponding detection system, all realize robotization, make things convenient for the user that design circuit is carried out simulation hardware, improve the efficient and the accuracy of test greatly.Specifically be described below:
1. based on the jtag boundary scanning technique
The jtag test method provides very high test coverage, in any case simultaneously complicated circuit only needs simple 4 ports (if comprise asynchronous reset signal TRST then be 5 ports) can realize test, this interface is known as TAP(Test Access Port again), this scheme has alleviated the problem that chip does not have enough physical addresss to be used to visit greatly.These TAP ports are respectively: test clock TCK(input), test mode selects the TMS(input), serial test data input TDI(input), serial test data output TDO(output).
The core of jtag test is the TAP controller, and its effect is that the tms signal of serial input is deciphered, and makes border scanning system enter corresponding work mode, and produces needed each control signal under this pattern.In the IEEE1149.1 standard, the decoding state of TMS list entries and TAP controller has been provided constitutional diagram as shown in Figure 1, the value of 0 or 1 numeral TMS on the arrow limit.
After system powers on, the TAP controller enters (Test-Logic-Reset) state that resets, the mode of operation of JTAG at first will be set, need the update instruction register for this reason, concrete state exchange flow process is as follows: " free time " (Run-Test/Idle), " selection data register " (Select-DR-Scan), " selection instruction register " (Select-IR-Scan), " catch instruction " (Capture-IR), " instruction shift " (Shift-IR), (Exit1-IR), " instruction comes into force " (Update-IR) gets back to " free time " state at last " to finish 1 "." catching instruction " state in said process, old director data is loaded in the middle of the order register; Enter into " instruction shift " state then, under this state,, a new specific instruction can be pushed in the middle of the order register by the driving of TCK; Again to " instruction comes into force " state, be input to instruction in the order register just now with decoded and make instruction formally effective, specify TDI, TDO port be connected with specific data register (as shown in Figure 2); At last, enter into " free time " state, wait for the next round control flow.
The test data register group that is shown in Figure 2, mainly comprise boundary scan register (Boundary Scan Register), bypass register (Bypass Register), device identification register (Identification Register), configuration register (Config Register) and order register (Instruction Register).Boundary scan register is a most important work register in the boundary scan testing, and it finishes the input of test vector, the essential operation of tests such as output latch and displacement (Fig. 2 make a circle outward register be boundary scan register); Bypass register is exactly one one bit register in fact, and it gets up the boundary scan chain short circuit of this chip, is mainly used in the series connection test of multicore sheet, and whether the basic function that also can be used for testing JTAG is normal; The device identification register is one 32 a standard register, and its content comprises information such as the version number, device model, manufacturer of this chip, and whether can be used for test chip working properly; Configuration register is mainly used in the configuration download and the retaking of a year or grade of chip, and it is as the impact damper of 32 bus datas, and the also string when string when being used for realizing disposing and conversion and retaking of a year or grade is changed; Order register promptly is used for selecting the register of mode of operation, selects one of above-mentioned test data register group to be connected between TDI and the TDO according to specific order code.
Visit by the selected data register of specific instruction, need be starting point still with " free time ", enter " selection data register " successively, " catch data " (Capture-DR), " data shift " (Shift-DR), (Exit1-DR), " data come into force " (Update-DR) gets back to " free time " state at last " to finish 1 ".To have selected the boundary scan register link is example, " catching data " state in said process, and current chip pin output state data are caught in the data register; Enter into " data shift " state then, under this state, by the driving of TCK, can be in the middle of data register with a new test vector data push, old pin output state data are exported from the TDO port simultaneously; To " data come into force " state, the test vector that was input to just now in the data register will formally be loaded on the chip pin by latch again; At last, get back to " free time " state, finish a loading of taking turns test vector.
2. based on the programmable logic device (PLD) Auto-Test System of JTAG
In order to realize above-mentioned automatic test approach, the present invention has at first proposed a cover and has been used for the system of test automatically.System forms as shown in Figure 3, and be divided into the hardware and software two large divisions: hardware components comprises the computing machine that is used to move automatic testing software, and programmable chip test board to be measured; Software section comprises composing software, test vector generator, serial ports Control Software and the regression test system that they are combined.Wherein:
Described composing software matches with chip to be measured, and it is used for user's project project file is compiled into the chip configuration file, and this document is downloaded to fpga chip just can become chip configuration the needed function of user.
Described test vector generator, use the perl script language compilation, it carries out emulation by call traditional simulation software (ModelSim instrument) under command line environment to user's design to be measured, and the waveform recording file conversion that generates after the emulation is become needed test vector form.In this way, can generate the test vector of various projects like clockwork, the coverage rate of test vector also can effectively be guaranteed.
Described serial ports Control Software uses C Plus Plus to write, and the general chained library control serial ports that it uses Windows XP operating system to provide makes it transmit and receive data by certain format.Because the TAP port of JTAG has 4, is respectively TCK, TMS, TDI, TDO, and the data of serial ports output have 8, and we stipulate that corresponding relation is as follows:
The serial ports port B7 B6 B5 B4 B3 B2 B1 B0
The TAP port TDO ? ? TMS ? TCK TDI ?
Described regression test system, the irrelevant TCL script of usage platform is realized, can seamlessly call above-mentioned all test subsystems.By setting up regression testing policy, make things convenient for the user to carry out the system testing of many versions, do not introduce new mistake or cause other codes to produce mistake with the modification of confirming each version.Automatic regression test will significantly reduce the cost in stages such as system testing, maintenance upgrade.
3. based on the programmable logic device (PLD) automatic test approach of JTAG
In order to finish the purpose of full-automatic test, concrete steps of the present invention following (as shown in Figure 4):
(1). use TCL script environment to call the perl script that is used to generate a series of documents automatically.This script can call composing software user's project source program is compiled into the bit stream file that is used for the download configuration fpga chip; Call the test vector file that test vector generator generates prescribed form simultaneously.
(2). the serial ports of PC is connected to the jtag test port (TAP) of FPGA, and the jtag port of operation serial ports Control Software control chip changes the state of its TAP controller, makes it to move respectively following test pattern:
A) test bypass.Send the Bypass instruction, this instruction is connected to bypass register between TDI and the TDO port, is used to test whether operate as normal of JTAG.
Detailed process is: control JTAG state machine enters " instruction shift " state earlier, send Bypass order code (being " 1111 " in the test chip), control state machine enters " data shift " state again, one group of data that input is fixing, read the output of TDO again, and whether consistent with software approach inspection input with the output result.If two groups of data consistents then show the JTAG operate as normal.
B) test identification code.Send the IDCode instruction, this instruction is connected to the device identification register between TDI and the TDO port, is used for whether operate as normal of test chip.
Detailed process is: control JTAG state machine enters " instruction shift " state earlier, send IDCode order code (being " 1001 " in the test chip), control state machine enters " data shift " state again, import random one group 32 data, read 32 outputs of TDO again, the data of exporting under the normal condition should be consistent with predefined device identification sign indicating number in the chip.If two groups of data consistents then show the chip operate as normal.
C) configuring chip.Send the ConfigIn instruction, this instruction is connected to configuration register between TDI and the TDO port, is used to download the chip configuration file.
Detailed process is: control JTAG state machine enters " instruction shift " state earlier, send ConfigIn order code (being " 0101 " in the test chip), control state machine enters " data shift " state again, then read in the chip configuration file that step (1) generates, be downloaded to fpga chip by the TDI port, so far the function of chip has been configured and has finished.
D) test vector loads and compares.Send the Extest instruction, this instruction is connected to boundary scan register between TDI and the TDO port, is used for carrying out testing authentication according to test vector.
Detailed process is: control JTAG state machine enters " instruction shift " state earlier, send Extest order code (being " 0000 " in the test chip), control state machine enters " data shift " state again, then read in step (1) and generate test vector file, load successively by TDI and respectively to organize test vector, and, compare with expected results from the output result that TDO reads chip.All consistent as comparative result, then test is passed through, otherwise is defective.
(3). the statistical test result generates waveform and relatively reports.
Use TCL script environment to read in the next project in the tabulation to be measured automatically and repeat above process.
Description of drawings
The boundary scan state machine that Fig. 1 ieee standard 1149.1-1990 formulates.
Fig. 2 boundary scan register group.
Fig. 3 Auto-Test System is formed.
Fig. 4 automatic test approach process flow diagram.
Embodiment
For the testing requirement of analog subscriber, we have chosen various example to be measured and have amounted to 105, and wherein pure combinational circuit example amounts to 51, and the sequential circuit example amounts to 56; On function, comprise simple totalizer, multiplier, comparer, encryption and decryption logic, also comprise application such as complicated singing, VGA port are controlled, communication.In addition, a fpga chip that test board is selected for use is supported the jtag boundary scanning technique, and supporting with it composing software is arranged, and satisfies test request.
Only need import an order under command line environment during test, just can carry out comprehensively, compile, download, test and add up all 105 examples, whole process need not manually-operated, greatly makes things convenient for the user to carry out repeated test.Empirical tests, most examples all can pass through by disposable test, and the example of test crash can relatively be reported the problem of finding existence by checking waveform, the relevant issues of manual amendment's source file finally also can be tested and be passed through.
With simple totalizer project adder4 is example, and its source program comprises adder4.v and adder4_test.v, and the former is the behavioral scaling net meter file, and the latter is a simulation document.During operation regression test system, at first can call perl script and generate bit stream file adder4.bit and the test vector file adder4.txt that is used for configuring chip automatically.Then can call the serial ports Control Software and carry out jtag test, after carrying out the test of bypass test and identification code successively, bit stream file is downloaded to FPGA, read in test vector file and load test vector by boundary scan chain, read behind the result of test with the expected results that simulates relatively, obtain relatively report file adder4.rpt of waveform at last.
In whole testing process, the user only needs simply to import an order just can finish whole testing process automatically.And if use old-fashioned pure manual test, not only efficient is extremely low, and various mistakes very easily take place; Again with respect to the SignalTap of altera corp and the ChipScope of Xilinx company, though these instrument tests accurately, but in test process, still need certain manual operations, for large batch of test assignment, the user still needs to expend a large amount of time and efforts and operates, and it is applicable to the chip of company's production separately; Last Agilent 93000 Pin Scale test machines for Agilent Technologies, though its test accuracy, versatility and speed are all high, but also need the user to be ready to bit stream file and test vector in advance, the supporting software of this machine uses threshold high in addition, needs the cost plenty of time to learn its using method.
When using Auto-Test System that the present invention proposes and method, reality finds, this cover instrument not only is very easy to the simulation hardware test of user to the engineering code, also can be used in fpga chip itself is carried out hardware testing as the test of interconnection traversal, quite have practicality.

Claims (6)

1. based on the programmable logic device (PLD) Auto-Test System of boundary scan, it is characterized in that comprising the hardware and software two large divisions: hardware components comprises the computing machine that is used to move automatic testing software, and programmable chip test board to be measured; Software section comprises composing software, test vector generator, serial ports Control Software and the regression test system that they are combined; Wherein:
Described composing software matches with chip to be measured, and it is used for user's project project file is compiled into the chip configuration file, and this document is downloaded to fpga chip, and chip configuration is become the needed function of user;
Described test vector generator by call simulation software under command line environment, carries out emulation to user's design to be measured, and the waveform recording file conversion that generates after the emulation is become needed test vector form;
Described serial ports Control Software, the general chained library control serial ports that uses Windows XP operating system to provide makes it transmit and receive data by certain format; Corresponding to 4 TAP port: TCK, TMS, TDI, the TDO of JTAG, the data of serial ports output have 8, and its corresponding relation is as follows:
The serial ports port B7 B6 B5 B4 B3 B2 B1 B0 The TAP port TDO ? ? TMS ? TCK TDI ?
Described regression test system, the irrelevant TCL script of usage platform realizes that it seamlessly calls above-mentioned all test subsystems; By setting up regression testing policy, realize that the user carries out the system testing of many versions, do not introduce new mistake or cause other codes to produce mistake with the modification of confirming each version.
2. programmable logic device (PLD) automatic test approach based on boundary scan is characterized in that concrete steps are as follows:
(1) use TCL script environment to call the perl script that is used to generate a series of documents automatically, this script calls composing software user's project source program is compiled into the bit stream file that is used for the download configuration fpga chip; Call the test vector file that test vector generator generates prescribed form simultaneously;
(2) serial ports of PC is connected to the jtag test port of FPGA, the jtag test port of operation serial ports Control Software control chip changes the state of its TAP controller, makes it to move respectively following test pattern:
A), the test bypass sends the Bypass instruction, this instruction is connected to bypass register between TDI and the TDO port, is used to test whether operate as normal of JTAG;
B), the test identification code sends the IDCode instruction, this instruction is connected to the device identification register between TDI and the TDO port, is used for whether operate as normal of test chip;
C), configuring chip sends the ConfigIn instruction, this instruction is connected to configuration register between TDI and the TDO port, is used to download the chip configuration file;
D), test vector loads and relatively send the Extest instruction, this instruction is connected to boundary scan register between TDI and the TDO port, is used for carrying out testing authentication according to test vector;
(3) statistical test result generates waveform and relatively reports;
(4) use TCL script environment reads in the next project in the tabulation to be measured automatically, and repeats above process.
3. method according to claim 2, the concrete steps that it is characterized in that described test bypass are: control JTAG state machine enters " instruction shift " state earlier, send the Bypass order code, control state machine enters " data shift " state again, one group of data that input is fixing, read the output of TDO again, and whether consistent with software approach inspection input with the output result; If two groups of data consistents then show the JTAG operate as normal.
4. method according to claim 2, the concrete steps that it is characterized in that described test identification code are: control JTAG state machine enters " instruction shift " state earlier, send the IDCode order code, control state machine enters " data shift " state again, import random one group 32 data, read 32 outputs of TDO again, if the default identification code of these group data and chip internal is consistent then show the chip operate as normal.
5. method according to claim 2, the concrete steps that it is characterized in that described configuring chip are: control JTAG state machine enters " instruction shift " state earlier, send the ConfigIn order code, control state machine enters " data shift " state again, then read in the chip configuration file that step (1) generates, be downloaded to fpga chip by the TDI port.
6. method according to claim 2, it is characterized in that described test vector loads and concrete steps relatively are: control JTAG state machine enters " instruction shift " state earlier, send the Extest order code, control state machine enters " data shift " state again, then read in step (1) and generate test vector file, load successively by TDI and respectively to organize test vector, and read the output result of chip from TDO, compare with expected results, all consistent as comparative result, then test is passed through, otherwise is defective.
CN 201010545055 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan Expired - Fee Related CN101995546B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 201010545055 CN101995546B (en) 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 201010545055 CN101995546B (en) 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan

Publications (2)

Publication Number Publication Date
CN101995546A true CN101995546A (en) 2011-03-30
CN101995546B CN101995546B (en) 2013-02-27

Family

ID=43785959

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 201010545055 Expired - Fee Related CN101995546B (en) 2010-11-16 2010-11-16 Automatic test system and method of programmable logic device on basis of boundary scan

Country Status (1)

Country Link
CN (1) CN101995546B (en)

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102353867A (en) * 2011-06-08 2012-02-15 伟创力电子技术(苏州)有限公司 Interconnection test equipment and method
CN103455672A (en) * 2013-08-29 2013-12-18 上海北大方正科技电脑系统有限公司 Automatic regression method of FPGA (Field Programmable Gate Array) simulation test cases
WO2014000693A1 (en) * 2012-06-29 2014-01-03 Shanghai Xinhao Microelectronics Co. Ltd. Arithmetic logic unit testing system and method
CN103796009A (en) * 2014-01-14 2014-05-14 北京空间机电研究所 FPGA quality diagnostic test system
CN103914580A (en) * 2012-12-31 2014-07-09 复旦大学 Method for FPGA (field programmable gate array) circuit bit stream simulation
CN104076272A (en) * 2013-03-28 2014-10-01 意法半导体公司 Dual master JTAG method, circuit, and system
CN104515947A (en) * 2014-12-12 2015-04-15 中国电子科技集团公司第五十八研究所 Rapid configuration and test method for programmable logic device in system programming
CN104569794A (en) * 2014-12-31 2015-04-29 北京时代民芯科技有限公司 FPGA on-line tester based on boundary scan structure and testing method thereof
CN104698314A (en) * 2015-03-05 2015-06-10 中国空间技术研究院 Device-level automatic testing platform and testing method for SRAM type FPGA
CN104796687A (en) * 2014-01-21 2015-07-22 昊阳天宇科技(深圳)有限公司 Testing device for frequency mixer of distributed cable mode termination system
CN105372582A (en) * 2015-12-14 2016-03-02 浪潮(北京)电子信息产业有限公司 Generation method and system of module-level boundary scan chains
CN105487035A (en) * 2016-01-25 2016-04-13 深圳市同创国芯电子有限公司 Verifying method and apparatus for FPGA boundary scan system
CN105807208A (en) * 2016-04-25 2016-07-27 航天科工防御技术研究试验中心 Multi-port-based asynchronous composite test method
CN106154144A (en) * 2016-06-23 2016-11-23 湖北航天技术研究院计量测试技术研究所 The generation method of CPU element test graphics vector
CN106597250A (en) * 2016-11-24 2017-04-26 深圳市紫光同创电子有限公司 Programmable logic device (PLD) test method and device
CN106841974A (en) * 2016-12-13 2017-06-13 深圳市紫光同创电子有限公司 A kind of FPGA test platforms and method
CN107391334A (en) * 2017-08-01 2017-11-24 上海航天控制技术研究所 Digital simulation method based on complete autonomous Row control
CN108829592A (en) * 2018-06-01 2018-11-16 天津芯海创科技有限公司 The quickly verification method of access register and list item, device and verifying equipment
CN109857024A (en) * 2019-02-01 2019-06-07 京微齐力(北京)科技有限公司 The unit performance test method and System on Chip/SoC of artificial intelligence module
CN110045266A (en) * 2019-04-23 2019-07-23 珠海欧比特宇航科技股份有限公司 A kind of chip universal testing method and device
CN110632499A (en) * 2019-09-23 2019-12-31 珠海格力电器股份有限公司 Test vector generation method based on test object and storage medium
CN111337820A (en) * 2020-04-24 2020-06-26 江西联智集成电路有限公司 Digital chip scan chain test method, device, equipment and medium
CN111366841A (en) * 2020-04-07 2020-07-03 华北水利水电大学 FPGA programmable logic unit test equipment and use method
CN111522330A (en) * 2020-05-13 2020-08-11 航天科工防御技术研究试验中心 FPGA device testing method and system and electronic equipment
CN111965530A (en) * 2020-04-30 2020-11-20 京微齐力(北京)科技有限公司 JTAG-based FPGA chip automatic test method
CN111983438A (en) * 2020-08-31 2020-11-24 中国电子科技集团公司第五十八研究所 On-line programming test method for FPGA
CN112526328A (en) * 2020-10-28 2021-03-19 深圳市紫光同创电子有限公司 Boundary scan test method
CN112965458A (en) * 2021-02-01 2021-06-15 杭州和利时自动化有限公司 Control logic simulation debugging method and device for control system and readable storage medium
CN113376514A (en) * 2021-06-09 2021-09-10 深圳米飞泰克科技有限公司 FPGA chip testing method, device, system and storage medium
CN113533936A (en) * 2021-07-13 2021-10-22 上海矽昌微电子有限公司 Chip scan chain test method and system
CN113933627A (en) * 2021-10-08 2022-01-14 网易有道信息技术(北京)有限公司 Method for automatically testing and verifying electronic product and related product
CN114325333A (en) * 2021-12-30 2022-04-12 江苏集萃智能集成电路设计技术研究所有限公司 High-efficiency normalized SOC (system on chip) system level verification method and device
CN116224042A (en) * 2023-04-28 2023-06-06 北京壁仞科技开发有限公司 Method, system, apparatus and medium for generating test vector for testing device under test
CN116718900A (en) * 2023-08-03 2023-09-08 上海灵动微电子股份有限公司 Digital IP test system and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030233207A1 (en) * 2002-06-17 2003-12-18 Samsung Electronics Co., Inc. Apparatus and method for testing a computer system by utilizing FPGA and programmable memory module
WO2004070395A2 (en) * 2003-02-10 2004-08-19 Koninklijke Philips Electronics N.V. Testing of integrated circuits
US7031868B2 (en) * 2003-09-15 2006-04-18 Rambus, Inc. Method and apparatus for performing testing of interconnections

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030233207A1 (en) * 2002-06-17 2003-12-18 Samsung Electronics Co., Inc. Apparatus and method for testing a computer system by utilizing FPGA and programmable memory module
WO2004070395A2 (en) * 2003-02-10 2004-08-19 Koninklijke Philips Electronics N.V. Testing of integrated circuits
US7031868B2 (en) * 2003-09-15 2006-04-18 Rambus, Inc. Method and apparatus for performing testing of interconnections

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102353867A (en) * 2011-06-08 2012-02-15 伟创力电子技术(苏州)有限公司 Interconnection test equipment and method
CN103513177B (en) * 2012-06-29 2018-05-01 上海芯豪微电子有限公司 Arithmetic unit tests system and test method
US9702932B2 (en) 2012-06-29 2017-07-11 Shanghai Xinhao Micro Electronics Co., Ltd. Arithmetic logic unit testing system and method
WO2014000693A1 (en) * 2012-06-29 2014-01-03 Shanghai Xinhao Microelectronics Co. Ltd. Arithmetic logic unit testing system and method
CN103513177A (en) * 2012-06-29 2014-01-15 上海芯豪微电子有限公司 Testing system and testing method of arithmetic device
CN103914580A (en) * 2012-12-31 2014-07-09 复旦大学 Method for FPGA (field programmable gate array) circuit bit stream simulation
CN103914580B (en) * 2012-12-31 2017-07-11 复旦大学 A kind of method for the emulation of FPGA circuitry bit stream
CN104076272B (en) * 2013-03-28 2017-04-12 意法半导体公司 Dual master JTAG method, circuit, and system
CN104076272A (en) * 2013-03-28 2014-10-01 意法半导体公司 Dual master JTAG method, circuit, and system
CN103455672B (en) * 2013-08-29 2016-09-14 上海北大方正科技电脑系统有限公司 A kind of FPGA emulation testing use-case automatization homing method
CN103455672A (en) * 2013-08-29 2013-12-18 上海北大方正科技电脑系统有限公司 Automatic regression method of FPGA (Field Programmable Gate Array) simulation test cases
CN103796009B (en) * 2014-01-14 2016-01-20 北京空间机电研究所 A kind of FPGA quality diagnosis test macro
CN103796009A (en) * 2014-01-14 2014-05-14 北京空间机电研究所 FPGA quality diagnostic test system
CN104796687A (en) * 2014-01-21 2015-07-22 昊阳天宇科技(深圳)有限公司 Testing device for frequency mixer of distributed cable mode termination system
CN104515947A (en) * 2014-12-12 2015-04-15 中国电子科技集团公司第五十八研究所 Rapid configuration and test method for programmable logic device in system programming
CN104569794A (en) * 2014-12-31 2015-04-29 北京时代民芯科技有限公司 FPGA on-line tester based on boundary scan structure and testing method thereof
CN104569794B (en) * 2014-12-31 2017-08-25 北京时代民芯科技有限公司 A kind of FPGA In-circiut testers and method of testing based on boundary-scan architecture
CN104698314A (en) * 2015-03-05 2015-06-10 中国空间技术研究院 Device-level automatic testing platform and testing method for SRAM type FPGA
CN105372582A (en) * 2015-12-14 2016-03-02 浪潮(北京)电子信息产业有限公司 Generation method and system of module-level boundary scan chains
CN105372582B (en) * 2015-12-14 2018-05-25 浪潮(北京)电子信息产业有限公司 A kind of generation method and system of module level boundary scan chain
CN105487035B (en) * 2016-01-25 2018-02-16 深圳市紫光同创电子有限公司 The verification method and device of FPGA border scanning systems
CN105487035A (en) * 2016-01-25 2016-04-13 深圳市同创国芯电子有限公司 Verifying method and apparatus for FPGA boundary scan system
CN105807208A (en) * 2016-04-25 2016-07-27 航天科工防御技术研究试验中心 Multi-port-based asynchronous composite test method
CN106154144A (en) * 2016-06-23 2016-11-23 湖北航天技术研究院计量测试技术研究所 The generation method of CPU element test graphics vector
CN106597250A (en) * 2016-11-24 2017-04-26 深圳市紫光同创电子有限公司 Programmable logic device (PLD) test method and device
CN106841974A (en) * 2016-12-13 2017-06-13 深圳市紫光同创电子有限公司 A kind of FPGA test platforms and method
CN107391334A (en) * 2017-08-01 2017-11-24 上海航天控制技术研究所 Digital simulation method based on complete autonomous Row control
CN108829592A (en) * 2018-06-01 2018-11-16 天津芯海创科技有限公司 The quickly verification method of access register and list item, device and verifying equipment
CN108829592B (en) * 2018-06-01 2021-11-05 天津芯海创科技有限公司 Method and device for verifying quick access register and table entry and verification equipment
CN109857024A (en) * 2019-02-01 2019-06-07 京微齐力(北京)科技有限公司 The unit performance test method and System on Chip/SoC of artificial intelligence module
CN110045266A (en) * 2019-04-23 2019-07-23 珠海欧比特宇航科技股份有限公司 A kind of chip universal testing method and device
CN110632499A (en) * 2019-09-23 2019-12-31 珠海格力电器股份有限公司 Test vector generation method based on test object and storage medium
CN110632499B (en) * 2019-09-23 2021-04-23 珠海格力电器股份有限公司 Test vector generation method based on test object and storage medium
CN111366841A (en) * 2020-04-07 2020-07-03 华北水利水电大学 FPGA programmable logic unit test equipment and use method
CN111337820A (en) * 2020-04-24 2020-06-26 江西联智集成电路有限公司 Digital chip scan chain test method, device, equipment and medium
CN111965530A (en) * 2020-04-30 2020-11-20 京微齐力(北京)科技有限公司 JTAG-based FPGA chip automatic test method
CN111522330A (en) * 2020-05-13 2020-08-11 航天科工防御技术研究试验中心 FPGA device testing method and system and electronic equipment
CN111983438A (en) * 2020-08-31 2020-11-24 中国电子科技集团公司第五十八研究所 On-line programming test method for FPGA
CN112526328A (en) * 2020-10-28 2021-03-19 深圳市紫光同创电子有限公司 Boundary scan test method
CN112965458A (en) * 2021-02-01 2021-06-15 杭州和利时自动化有限公司 Control logic simulation debugging method and device for control system and readable storage medium
CN113376514A (en) * 2021-06-09 2021-09-10 深圳米飞泰克科技有限公司 FPGA chip testing method, device, system and storage medium
CN113533936A (en) * 2021-07-13 2021-10-22 上海矽昌微电子有限公司 Chip scan chain test method and system
CN113933627A (en) * 2021-10-08 2022-01-14 网易有道信息技术(北京)有限公司 Method for automatically testing and verifying electronic product and related product
CN114325333A (en) * 2021-12-30 2022-04-12 江苏集萃智能集成电路设计技术研究所有限公司 High-efficiency normalized SOC (system on chip) system level verification method and device
CN116224042A (en) * 2023-04-28 2023-06-06 北京壁仞科技开发有限公司 Method, system, apparatus and medium for generating test vector for testing device under test
CN116224042B (en) * 2023-04-28 2023-08-29 北京壁仞科技开发有限公司 Method, system, apparatus and medium for generating test vector for testing device under test
CN116718900A (en) * 2023-08-03 2023-09-08 上海灵动微电子股份有限公司 Digital IP test system and method
CN116718900B (en) * 2023-08-03 2023-11-10 上海灵动微电子股份有限公司 Digital IP test system and method

Also Published As

Publication number Publication date
CN101995546B (en) 2013-02-27

Similar Documents

Publication Publication Date Title
CN101995546B (en) Automatic test system and method of programmable logic device on basis of boundary scan
CN105224345B (en) A kind of programmable logic device remote update system and its method
CN101038325B (en) Method and device for testing chip
US6823497B2 (en) Method and user interface for debugging an electronic system
US7356786B2 (en) Method and user interface for debugging an electronic system
US6931572B1 (en) Design instrumentation circuitry
US20070198959A1 (en) Hardware-based HDL code coverage and design analysis
US20050125754A1 (en) Hardware debugging in a hardware description language
US20040153926A1 (en) Method and apparatus for testing asynchronous set/reset faults in a scan-based integrated circuit
US6970815B1 (en) Method of discriminating between different types of scan failures, computer readable code to cause a display to graphically depict one or more simulated scan output data sets versus time and a computer implemented circuit simulation and fault detection system
CN101083507B (en) IEEE1149.1 protocol based universal test IP method
Abdelatty et al. Fault: Open-source EDA’s missing DFT toolchain
Aleksejev et al. FPGA-based synthetic instrumentation for board test
Payakapan et al. A case study: Leverage IEEE 1687 based method to automate modeling, verification, and test access for embedded instruments in a server processor
Grosso et al. Software-based testing for system peripherals
Siripokarpirom et al. Hardware-assisted simulation and evaluation of IP cores using FPGA-based rapid prototyping boards
Lagadec et al. Software-like debugging methodology for reconfigurable platforms
Barr et al. End-to-end testing for boards and systems using boundary scan
Zadegan Reconfigurable On-Chip Instrument Access Networks: Analysis, Design, Operation, and Application
Ray Soc instrumentations: Pre-silicon preparation for post-silicon readiness
GUPTA Automation Development for Integration Methodology Enhancement for DFT-RTL
Mohamed Pre-Silicon Verification and Post-Silicon Validation Methodologies
Shi et al. Verification of Acceptance Filter Module Design based on UVM
Bernardi et al. Hardware-accelerated path-delay fault grading of functional test programs for processor-based systems
CN109801664A (en) FPGA configuration memory test method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130227

Termination date: 20201116