CN102160158B - 具有无源栅极的晶体管及其制造方法 - Google Patents

具有无源栅极的晶体管及其制造方法 Download PDF

Info

Publication number
CN102160158B
CN102160158B CN200980136187.5A CN200980136187A CN102160158B CN 102160158 B CN102160158 B CN 102160158B CN 200980136187 A CN200980136187 A CN 200980136187A CN 102160158 B CN102160158 B CN 102160158B
Authority
CN
China
Prior art keywords
grid
transistor
passive
row
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980136187.5A
Other languages
English (en)
Other versions
CN102160158A (zh
Inventor
沃纳·云林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN102160158A publication Critical patent/CN102160158A/zh
Application granted granted Critical
Publication of CN102160158B publication Critical patent/CN102160158B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明揭示一种具有晶体管(204、244、286)的装置,所述晶体管包括源极、漏极、在所述源极与所述漏极之间延伸的沟道区、接近所述沟道区安置的栅极(196、238、284)及在所述沟道区对面与所述栅极(196、238、284)相对地安置的导电部件(197、240、264)。所述导电部件(197、240、264)可不重叠所述源极、所述漏极或所述源极与所述漏极两者。

Description

具有无源栅极的晶体管及其制造方法
技术领域
本发明的实施例一般来说涉及电子装置,且更具体来说在某些实施例中涉及非对称鳍状晶体管。
背景技术
在许多类型的电子装置中,晶体管用于选择性地传导电流。晶体管通常包括源极、漏极及栅极。栅极控制在源极与漏极之间流动的电流。晶体管的特征通常在于阈值栅极电压,低于所述阈值栅极电压,晶体管被视为处于关断状态中,而高于所述阈值栅极电压,晶体管被视为处于接通状态中。因此,为在晶体管关断时阻止电流的流动,晶体管的栅极电压保持低于阈值电压。然而,一些晶体管以相反方式起作用-在次阈值栅极电压下传导电流且在较高栅极电压下阻碍电流。
当晶体管处于关断状态中时,仍可泄漏一些电流。晶体管内的电场可形成导电路径,电流经由所述导电路径逸出。通常,当晶体管关断时,栅极电压不同于漏极电压。此电压差可形成相对强的电场,因为栅极的一部分经常接近漏极的一部分安置。所得电场可致使电荷载子在栅极与晶体管形成于其上的衬底之间流动,此即称作“栅极诱导的漏极泄漏”(GIDL)的现象。
附图说明
图1到25图解说明根据本发明实施例的用于形成晶体管阵列的工艺的实例;
图26到33图解说明根据本发明实施例的用于形成晶体管阵列的工艺的第二实例;及
图34到45图解说明根据本发明实施例的用于形成晶体管阵列的工艺的第三实例。
具体实施方式
图1图解说明用于形成晶体管阵列的工艺的实施例中的第一步骤。所述工艺可以获得衬底110开始。衬底110可包括半导电材料,例如单晶硅或多晶硅、砷化镓、磷化铟或具有半导体性质的其它材料。或者或另外,衬底110可包括电子装置可构造于其上的非半导体主体,例如,例如塑料或陶瓷工作表面的主体。术语“衬底”涵盖各种制造阶段中的这些结构,包含未经处理的整个晶片、经部分处理的整个晶片、经完全处理的整个晶片、经切割晶片的一部分或经封装电子装置中的经切割晶片的一部分。
衬底110可包括上部经掺杂区112及下部经掺杂区114。上部经掺杂区112的深度在衬底110的实质区域上可大体均匀,且可不同于下部经掺杂区114地对上部经掺杂区112进行掺杂。举例来说,上部经掺杂区112可包含n+材料且下部经掺杂区114可包含p-材料,或反之亦然。
接下来,可在衬底110上形成数个膜,如图2所图解说明。可在上部经掺杂区112上形成垫氧化物116。垫氧化物116可具有小于约
Figure BPA00001330274900021
的厚度,例如,小于或等于约可适用。可借助各种技术形成垫氧化物116。举例来说,可通过将衬底110暴露于氧气来生长垫氧化物116(例如,在扩散炉中),或可借助原子层沉积(ALD)、化学气相沉积(CVD)或其它工艺来沉积垫氧化物116。可借助(举例来说)CVD在垫氧化物116上形成终止主体(例如,一层)118。终止主体118可包括氮化物(例如氮化硅),且其可具有小于约
Figure BPA00001330274900023
的厚度,例如,约可适用,但像本文中所描述的其它结构一样,终止主体118并不限于这些尺寸或材料。可在终止主体118上形成牺牲主体120。牺牲主体120可由多晶硅制成且其可具有在约
Figure BPA00001330274900025
与约
Figure BPA00001330274900026
之间的厚度,例如,约
Figure BPA00001330274900027
可适用。可借助CVD或其它适当工艺形成牺牲主体120。可在牺牲主体120上形成下部遮蔽主体122。下部遮蔽主体122可由氧化物制成且其可具有在约
Figure BPA00001330274900028
与约
Figure BPA00001330274900029
之间的厚度,例如,约
Figure BPA000013302749000210
可适用。可借助CVD、旋涂电介质工艺或其它工艺形成下部遮蔽主体122。最终,可在下部遮蔽主体122上形成上部遮蔽主体124。上部遮蔽主体124可由借助CVD或其它工艺形成的碳或其它材料制成,且其可具有在约与约
Figure BPA000013302749000212
之间的厚度,例如,约可适用。
接下来,可形成列掩模126,如图3所图解说明。(术语“列”并非是指衬底110上除不同于随后引入的行延伸的方向的方向以外的任一特定水平方向。)列掩模126可包含线图案,其界定具有宽度128的已遮蔽区及具有宽度130的已暴露区。宽度128及130可彼此大体相等且各自大体等于被称作“F”的光刻分辨率限度(例如,光学光刻分辨率限度或最小特征大小)。列掩模126可具有大体等于2F的间距132(例如,图案越过其重复的距离)。由列掩模126形成的线可大体笔直、彼此大体平行且可大体沿Y方向延伸。这些线在Y方向上可大体连续且大体均匀。在其它实施例中,由列掩模126形成的线可具有其它形状,例如,其可波动(例如,上下、左右或两者均有),其可在Y方向上宽度不同,或其可由多个较短区段形成。
在形成列掩模126之后,可形成列硬掩模134,如图4所图解说明。可通过大体各向异性地蚀刻(例如,借助定向等离子蚀刻)上部遮蔽主体124的安置于未被列掩模126覆盖的区下方的部分及下部遮蔽主体122的安置于未被列掩模126覆盖的区下方的部分而形成列硬掩模134。在一些实施例中,所述蚀刻可终止于牺牲主体120上或牺牲主体120中。
接下来,可移除列掩模126,并可在列硬掩模134的侧壁上形成列间隔件136,如图5所图解说明。列间隔件136可通过以下步骤形成:沉积大体保形膜(例如,在垂直结构与水平结构两者上均具有大体均匀厚度的膜),且然后各向异性地蚀刻所述膜以将其从水平表面移除,从而将抵靠大体垂直表面安置的材料留在衬底110上。列间隔件136可由氧化物制成,且其可具有小于100nm(例如,小于或等于约36nm)的宽度138。列间隔件136可使由列硬掩模134暴露的区变窄为小于或等于约F的宽度140,例如,等于或小于约3/4F、1/2F或1/4F。
接下来,如图6所图解说明,可形成列隔离沟槽142。可通过各向异性地蚀刻列间隔件136之间的已暴露区形成列隔离沟槽142。列隔离沟槽142可具有对应于(例如,大体等于或与其成比例)宽度140的宽度141。列隔离沟槽142可大体沿Y方向延伸且可彼此大体平行且大体笔直。列隔离沟槽142的横截面形状在Y方向上可大体均匀。在一些实施例中,列隔离沟槽142可具有在约与约之间(例如,约)的深度144。
在形成列隔离沟槽142之后,可用电介质146部分地或完全地填充列隔离沟槽142,如图7所图解说明。电介质146可由各种材料(例如氧化物)制成,且可用各种衬里膜(未显示)给电介质146加衬里,例如氧化物衬里及氮化物衬里。可包含所述衬里以增强特性或防止电介质146与下伏材料在下游处理期间的不期望的交互。应了解,可通过任何常规技术安置或生长所述衬里。可借助各种工艺(例如高密度等离子CVD工艺)形成电介质146。在一些实施例中,在形成电介质146之前,可向列隔离沟槽142的底部植入或扩散掺杂剂(未显示),所述掺杂剂经选择以进一步电隔离列隔离沟槽142的相对侧上的结构。
接下来,可平面化衬底110,如图8所图解说明。平面化衬底110可包括蚀刻衬底110或借助化学机械平面化(CMP)对所述衬底进行抛光。平面化可包括移除上部遮蔽主体124及下部遮蔽主体122两者,且平面化可终止于牺牲主体120上或牺牲主体120中。另外,可移除电介质146的上部部分。
接下来,可部分地或完全地移除牺牲主体120,如图9所图解说明。移除牺牲主体120可包括借助选择性地蚀刻牺牲主体120的蚀刻(即,借助对牺牲主体120具有选择性的蚀刻)来湿式蚀刻或干式蚀刻衬底110而不移除已暴露电介质146的实质部分。如果蚀刻移除材料而不移除实质量的暴露于衬底上的其它类型的材料,那么称所述蚀刻对所述材料“具有选择性”。在移除牺牲主体120之后,由电介质146形成的大体垂直突出部148可从衬底110延伸。
接下来,可在电介质146的大体垂直突出部148的侧壁上形成第二列间隔件150,如图10所图解说明。如同先前所描述的列间隔件136一样,可通过以下步骤形成第二列间隔件150:在衬底110上沉积大体保形膜且各向异性地蚀刻所述膜直到将所述膜从水平表面大体移除为止,从而将垂直表面上的材料留在衬底110上。第二列间隔件150可由与电介质146相同的材料(例如,氧化物)制成,或其可由不同材料制成。第二列间隔件150可具有小于或等于100nm的宽度151,例如,小于或等于约36nm。间隔件150可界定邻近间隔件150之间的宽度154,宽度154小于或等于约1F、3/4F、1/2F或1/4F。
在形成第二群组的列间隔件150之后,可形成装置内沟槽152,如图11所图解说明。可通过各向异性地蚀刻第二列间隔件150之间的已暴露区形成装置内沟槽152。装置内沟槽152可彼此大致平行且平行于列隔离沟槽142,且其可大体沿Y方向延伸。装置内沟槽152可具有不仅小于列隔离沟槽142的深度144(图6)且又大于上部经掺杂区112的深度的深度154。
接下来,可形成电介质156,如图12所图解说明。电介质156可形成有产生覆盖层158的厚度,从而增加填充装置内沟槽152的可能性。举例来说,电介质156可具有小于约
Figure BPA00001330274900041
的厚度,例如,小于或等于约电介质156可包括或主要由四乙酯原硅烷(TEOS)(例如,由CVD TEOS)或其它适当电介质材料形成。
在形成电介质156之后,可通过加热衬底110以从电介质156驱出挥发性化合物来使电介质156致密化。在形成电介质156之后,可平面化衬底110,如图13所图解说明。可借助CMP、回蚀工艺(例如,通过沉积牺牲平面化材料,且然后蚀刻穿过所述牺牲平面化材料并蚀刻到下伏结构中)或其它适当工艺平面化衬底110。终止主体118(图2)可用作平面化终止件,从而阻止从上部经掺杂区112及垫氧化物116移除材料。在平面化之后,可移除来自终止主体118的保留在衬底110上的材料(例如,借助终止于垫氧化物116中或上部经掺杂区112中的湿式蚀刻)。
接下来,可形成行掩模160,如图14所图解说明。行掩模160可大致垂直于列掩模126(图4)。可借助光致抗蚀剂来形成行掩模160或其可为硬掩模,举例来说,且可通过光学光刻或其它光刻工艺(例如,纳米压印光刻或电子束光刻)来图案化行掩模160。举例来说,可通过图案化形成于衬底110上的无定形碳的主体来形成行掩模160。所述无定形碳可形成有小于约
Figure BPA00001330274900043
的厚度(例如,小于或等于约
Figure BPA00001330274900044
的厚度)。行掩模160可界定具有宽度162的已遮蔽区及具有宽度164的已暴露区。已遮蔽宽度162可大于已暴露宽度164,举例来说,大出多于约F、3/4F或1/2F。在一些实施例中,可借助次光学光刻工艺(例如,侧壁间隔件工艺、抗蚀剂回流工艺、湿式蚀刻底切工艺或其它线宽度薄化工艺)来形成行掩模160。行掩模160可界定具有间距166的线图案。在其它实施例中,所述图案可被其它结构中断。由行掩模160形成的线可大致笔直、彼此大致平行且可沿大约X方向水平延伸且沿大约Z方向垂直延伸。在其它实施例中,行掩模160的已遮蔽区可在宽度上变化,侧到侧波动或上下波动,或其可被分段。
接下来,可形成浅行沟槽168,如图15所图解说明。浅行沟槽168相对于随后描述的深行沟槽(图17)为浅的。可借助以近似相同的速率蚀刻上部经掺杂区112、下部经掺杂区114、电介质146及电介质156的干式蚀刻形成浅行沟槽168。浅行沟槽168可具有深度170,所述深度大于装置内沟槽152(图11)的深度且小于列隔离沟槽142(图11)的深度。举例来说,深度170可小于约
Figure BPA00001330274900045
例如,等于或小于约
Figure BPA00001330274900047
在形成浅行沟槽168之后,可形成另一行掩模172,如图16所图解说明。行掩模172可为借助光学光刻或其它光刻技术形成的软掩模或硬掩模。行掩模172可界定已暴露宽度174及已遮蔽宽度176。宽度174及176可分别近似等于宽度164及162(图14)。行掩模172可部分地或大致完全地安置于浅行沟槽168(图15)上方,从而延伸到浅行沟槽168中且重叠浅行沟槽168(图15)。行掩模172可在X方向上大致平行于行掩模160(图14)延伸且可具有大致均匀的宽度。在其它实施例中,行掩模172可侧到侧波动,上下波动,在宽度上变化,或被分段。行掩模172可形成以间距178重复的图案,或所述图案可被其它结构中断。
接下来,可形成深行沟槽180,如图17所图解说明。可借助以大体相同的速率蚀刻上部经掺杂区112、下部经掺杂区114、电介质146及电介质156的干式蚀刻形成深行沟槽180。深行掩模180可具有深度182,所述深度大于装置内沟槽152(图11)的深度、大于浅行沟槽168(图15)的深度170且小于列隔离沟槽142(图11)的深度。举例来说,深度182可小于约
Figure BPA00001330274900051
例如,等于或小于约
Figure BPA00001330274900052
在形成深行沟槽180之后,可移除行掩模172,从而留下图18所图解说明的结构。可借助燃烧或其它适当工艺移除行掩模172。所得衬底110可包括浅行沟槽168中以使浅行沟槽168与深行沟槽180交替的图案定位于每一对深行沟槽180之间的一者。深行沟槽180可比浅行沟槽168深大于或等于约
Figure BPA00001330274900053
Figure BPA00001330274900054
Figure BPA00001330274900055
的深度184。浅行沟槽168及深行沟槽180可界定鳍状行186,所述鳍状行在Z方向上从衬底110大致垂直地升起且在X方向上大致水平地延伸。
可在行沟槽168及180中形成栅极电介质188,如图19所图解说明。可沉积、生长或以其它方式形成栅极电介质188,且栅极电介质188可大致或完全覆盖上部经掺杂区112及下部经掺杂区114的已暴露部分。栅极电介质188可包含各种电介质材料,例如氧化物(例如,二氧化硅)、氧氮化物或像二氧化铪、二氧化锆及二氧化钛的高介电常数材料。栅极电介质188可具有小于约
Figure BPA00001330274900056
的厚度,例如,等于或小于约的厚度。
接下来,可形成栅极材料190及保护材料192,如图20所图解说明。栅极材料190可包括导电材料,例如经掺杂的多晶硅或一种或一种以上金属(例如,Ti、TiN或Ru)。保护材料192可包括形成于栅极材料190上的高纵横比工艺(HARP)氧化物。栅极材料190可小于约厚(例如,小于或等于约
Figure BPA00001330274900059
厚),且保护材料192可小于厚(例如,等于或小于约)。这些材料190及192可大体保形地形成于衬底110上。
如图21所图解说明,可各向异性地蚀刻保护材料192以形成侧壁间隔件。可借助大体对保护材料192具有选择性的干式蚀刻来蚀刻保护材料192。在蚀刻之后,可暴露栅极材料190的安置于水平表面上的部分。举例来说,可暴露接近鳍状行186的顶部以及浅行沟槽168及深行沟槽180的底部两者安置的栅极材料190。在其它实施例中,接近深行沟槽180的底部的保护材料192的一部分或大致全部保留在衬底110上。
接下来,可蚀刻栅极材料190,如图22所图解说明。可干式蚀刻或湿式蚀刻栅极材料190的已暴露部分,例如,借助SC1蚀刻达小于约10分钟(例如,等于或小于约5分钟)。可蚀刻栅极材料190直到安置于鳍状行186的任一侧上的栅极材料190被分离,从而在鳍状行186的侧上留下导电侧壁间隔件。可移除栅极材料190的接近浅行沟槽168及深行沟槽180的底部安置的部分,或可将所述部分留在衬底110上。
为形成单独间隔件而蚀刻栅极材料190可形成每一鳍状行186的一个侧上的无源栅极194的前体及每一鳍状行186的另一侧上的有源栅极196。术语“有源”是指与经选择性地通电以接通晶体管的组件相关的结构,且术语“无源”是指与当晶体管接通及当晶体管关断两者时均以大体相同电压通电的组件相关的结构。无源栅极194的前体可形成于深行沟槽180中,且有源栅极196可形成于浅行沟槽168中。邻近鳍状行186及其相关联栅极196及194可关于每一深行沟槽180大致反射对称,使得无源栅极194的前体安置于鳍状行186的邻近侧上且有源栅极196安置于邻近鳍状行186的远侧上,或反之亦然。
接下来,可在衬底110上形成行掩模198,如图23所图解说明。行掩模198可为借助光学光刻或其它光刻技术图案化的软掩模或硬掩模。行掩模198可与浅行沟槽168(图22)大致对准且安置于其中且可重叠鳍状行186的顶部的一部分。行掩模198还可包括由未经显影的光致抗蚀剂形成的凹入部分200。举例来说,可借助正性光致抗蚀剂(即,当暴露于光时硬化的抗蚀剂)形成行掩模198,且深行沟槽180中的凹入部分200可不接收足够光来对行掩模198的所述部分进行显影,因为光并不穿透到深行沟槽180的底部。因此,所述光致抗蚀剂的一部分可保留在深行沟槽180的底部中。
接下来,可使无源栅极194的前体凹入以形成无源栅极197,如图24所图解说明。可借助对无源栅极197具有大体选择性的蚀刻使无源栅极197凹入。举例来说,可借助湿式蚀刻(例如上文所描述的SC1蚀刻)使无源栅极197凹入。可使无源栅极197凹入到上部经掺杂区112下面一距离202。距离202可大于或等于约
Figure BPA00001330274900061
Figure BPA00001330274900062
Figure BPA00001330274900063
Figure BPA00001330274900064
Figure BPA00001330274900065
无源栅极197在凹入之后可重叠装置内沟槽152的一部分。在使无源栅极197凹入之后,可(例如)借助燃烧或其它适当工艺移除行掩模198。
图25图解说明借助上述工艺形成的晶体管204的实施例。晶体管204可包括具有较短侧208及较长侧210的非对称鳍206。多个非对称鳍206可为先前所描述的鳍状行186(图18)中的每一者的一部分。非对称鳍206可包括由对应于装置内沟槽152(图11)的凹槽216分离的一对支腿212及214。支腿212及214的远端部分可由上部经掺杂区112形成,且支腿212及214的下部部分可由下部经掺杂区214形成。有源栅极196可接近非对称鳍206的较短侧208安置,从而重叠上部经掺杂区212及下部经掺杂区214两者,包括下部经掺杂区214的在支腿212与214之间的凹槽216下面延伸的一部分。无源栅极197可接近非对称鳍206的较长侧210安置。无源栅极197可与凹槽216而不重叠上部经掺杂区112。
在操作中,晶体管204可控制在支腿212与214之间流动的电流。可根据有源栅极196的电压VGA控制所述电流。施加到有源栅极196的电压VGA的范围可分为两个类别:高于晶体管204的阈值电压的电压及低于所述阈值电压的电压。当VGA高于所述阈值电压时,从有源栅极196发出的电场可在下部经掺杂区212中建立导电沟道,所述导电沟道在支腿212与214之间延伸。在其它实施例中,晶体管204可响应于小于所述阈值电压的VGA而接通。所得导电沟道沿一个支腿212或214大体垂直向下延伸、在凹槽216下方大体水平延伸且然后沿另一支腿212或214大体垂直向上延伸返回。施加到支腿212及214的电压VS与电压VD的差可驱动电流通过所述沟道,且所述电流可沿任一方向流动,此取决于VS及VD的相对值。所述沟道可安置于较短侧208而非接近无源栅极197定位的较长侧210上。
无源栅极197可调整晶体管204的阈值电压。举例来说,在当有源栅极196升高到高于阈值电压时接通的晶体管中,无源栅极197可处于低于所述阈值电压的电压,例如,小于下部经掺杂区214的电压的电压。从无源栅极197发出的电场可降低关于有源栅极196的阈值电压,据信此使GIDL减少。举例来说,当晶体管204关断时,所述无源栅极可在约-3V与约0V之间,且所述有源栅极可在约0V与约100mV之间。VS与VD之间的电压差可为约2.5V或更小。
当晶体管接通时(例如,当从耦合到支腿212或214的存储器装置读取或向耦合到支腿212或214的存储器装置写入时),无源栅极197的电压可保持静态。大致所有无源栅极197(图24)的电压可连接到在晶体管204的操作期间保持大体恒定的共用电压源。
在其它实施例中,晶体管204可响应于VGA降低到低于阈值电压而接通。在这些实施例中,VGP可为正,借此当晶体管204关断时使阈值电压升高且使GIDL减少。
图26到33图解说明用于形成晶体管阵列的工艺的另一实施例。如图26所图解说明,所述工艺可包括获得(例如,制造或向制造厂订购来制造)借助上文参照图1到13所描述的步骤形成的衬底218。
在获得衬底218之后,所述工艺可包括在衬底218上形成行掩模220,如图26所图解说明。行掩模220可为借助光学光刻或其它光刻技术形成的硬掩模或软掩模。举例来说,行掩模220可为借助次光学光刻技术(例如侧壁间隔件工艺、抗蚀剂回流工艺或湿式蚀刻底切工艺)形成的掩模。行掩模220可包括具有宽度222的已遮蔽区及具有宽度224的已暴露区。已遮蔽宽度222可约等于或小于F、1/2F或3/4F。所述已遮蔽区及已暴露区可以周期226重复,或所述图案可被其它结构中断。行掩模220可大致沿X方向、大致垂直于电介质146延伸。行掩模220可大致笔直,具有大致均匀的宽度222及224,且大体平行。在其它实施例中,行掩模220可侧到侧波动,可上下波动,可在宽度222及224上变化,或可被分段。
接下来,如图27所图解说明,可形成行沟槽228。可借助以大致类似的速率蚀刻已暴露材料的湿式蚀刻或干式蚀刻形成行沟槽228。行沟槽228的深度可比装置内沟槽152深,且不像列隔离沟槽142一样深。所述蚀刻可形成鳍状行230,鳍状行230大致在X方向上延伸且大致在Y方向上从衬底218升起。
在形成行沟槽228之后,可形成栅极电介质232,如图28所图解说明。可借助各种工艺及材料(例如上文参照图19所描述的那些工艺及材料)形成栅极电介质232。
接下来,可在衬底218上形成栅极材料234及保护材料236,如图29所图解说明,且可形成无源栅极238及有源栅极240,如图30所图解说明。可借助类似于上文参照图20到22所描述的材料及工艺的材料及工艺形成这些材料234与236及结构238与240。
在形成有源栅极238及无源栅极240之后,可在衬底218上形成有源栅极掩模242,如图31所图解说明。有源栅极掩模242可为借助光学光刻或其它光刻技术形成的软掩模或硬掩模。有源栅极掩模242可覆盖有源栅极238的一部分或大致全部,同时使无源栅极240的一部分或大致全部被暴露。
接下来,可使无源栅极240凹入,如图32所图解说明。可借助干式蚀刻或湿式蚀刻使无源栅极240凹入。可使无源栅极240的顶部凹入到上部经掺杂区112下面,或可使无源栅极240凹入到有源栅极238的顶部下面且随后处理(例如,清洁步骤)可进一步使无源栅极240凹入到上部经掺杂区112下面。
最终,可移除有源栅极掩模242,如图33所图解说明。可通过燃烧或其它工艺移除有源栅极掩模242。
在移除有源栅极掩模242之后,衬底218可包括类似于上文针对图24及25所描述的晶体管204的多个晶体管244。晶体管244(图33)及晶体管204(图24)可具有差别。举例来说,在此实施例中,鳍状行230中的每一者可沿大体相同方向定向,其中有源栅极238面向邻近鳍状行230上的无源栅极240,而先前实施例可包括沿不同交替方向定向的鳍状行186(图23)。
在操作中,如同先前所描述的晶体管204(图25)一样,无源栅极240可调整晶体管244的阈值电压,且有源栅极238可控制通过晶体管244的电流。可调整所述阈值电压以使GIDL减少。
图34到45图解说明用于形成晶体管阵列的工艺的另一实例。如图34所图解说明,所述工艺可包括获得衬底246,像上文借助图1到13所图解说明的步骤所生产的衬底。
在获得衬底246之后,所述工艺可包括形成牺牲主体248,如图34所描绘。牺牲主体248可包括具有在约
Figure BPA00001330274900081
与约
Figure BPA00001330274900082
之间的组合厚度的一个或多个膜,例如,牺牲主体248可为具有约
Figure BPA00001330274900083
的厚度的氧化物膜。在其它实施例中,根据本技术的包括牺牲主体248的各种实施例,其它材料(例如氮化物膜)或其它厚度的材料可用作牺牲主体248。
接下来,可形成行掩模250,如图35所图解说明。行掩模250可为借助光学光刻或其它光刻技术形成的硬掩模或软掩模。举例来说,行掩模250可为借助次光学光刻技术(例如上文所描述的那些技术中的一者或多者)形成的掩模。行掩模250可包括具有宽度252的已遮蔽区及具有宽度254的已暴露区。已遮蔽宽度252可约等于或小于F、1/2F或3/4F。所述已遮蔽区及已暴露区可以周期256重复,或所述图案化可被其它结构中断。行掩模250可大致在X方向上、大致垂直于电介质146(图8)延伸。行掩模250可大致笔直,具有大致均匀的宽度252及254,且大体平行。在其它实施例中,行掩模250可侧到侧波动,可上下波动,可在宽度252及254上变化,或可被分段。
接下来,可形成无源栅极沟槽258,如图36所描绘。举例来说,可借助各向异性干式蚀刻从衬底246蚀刻无源栅极沟槽258。在垂直于X方向的横截面中,无源栅极沟槽258可为大体矩形或梯形。或者,无源壁沟槽可具有带有某一其它形状的横截面。在一个实施例中,无源栅极沟槽258可比装置内沟槽152深且比列隔离沟槽142(图11)浅。无源栅极沟槽258的侧壁形成无源壁260,所述无源壁可各自形成随后形成的鳍的第一壁或侧。
在形成无源栅极沟槽258之后,可形成无源栅极电介质262,如图37所图解说明。可借助各种工艺及电介质材料(例如上文参照图19所描述的那些工艺及电介质材料)形成无源栅极电介质262。
接下来,可构造无源栅极264,如图38所描绘。无源栅极264可至少部分地或大致完全地安置于无源栅极沟槽258中。举例来说,无源栅极264可包括导电材料,例如p-加上经掺杂多晶硅、导电金属或或其它适当功函数材料。可沉积所述导电材料且然后对其进行回蚀,借此使所述导电材料凹入到衬底246及牺牲主体248的表面下面。可使无源栅极264凹入到上部经掺杂区112下面,以使得无源栅极264与下部经掺杂区114而不重叠上部经掺杂区112。举例来说,可使无源栅极264凹入到上部经掺杂区112下面一距离266,所述距离大于或等于约
接下来,可在无源栅极264上面形成保护插塞268,如图39所描绘。保护插塞268可包括氮化硅或其它适当材料。可沉积保护插塞268并对其进行回蚀或回抛光直到其与牺牲主体248的表面大体在同一平面内或凹入到牺牲主体248的表面下面。保护插塞268可充当用于在保护插塞268的任一侧上形成自对准的鳍的参考结构。
在形成保护插塞268之后,可移除牺牲主体248,如图40所图解说明。可借助对牺牲主体248具有选择性的湿式蚀刻或干式蚀刻移除牺牲主体248。在此阶段处,保护插塞268可在衬底246的表面上面突出。
随后,可形成鳍掩模间隔件270,如图41所描绘。鳍掩模间隔件270可包括具有经选择以大体确定随后形成的鳍的宽度的厚度的氧化物或其它适当材料。为使鳍掩模间隔件270成形,其组件材料或若干组件材料可保形地沉积于保护插塞268上方且借助(举例来说)各向异性蚀刻对其进行间隔件回蚀。在所述间隔件回蚀之后,鳍掩模间隔件270的宽度可大体确定鳍宽度。
接下来,使用鳍掩模间隔件270作为自对准的硬掩模,可各向异性地蚀刻或以其它方式形成有源栅极沟槽272,如图42所描绘。有源栅极沟槽272可不与无源栅极沟槽258(图36)同时形成,例如,在无源栅极沟槽258之后形成,且在一些实施例中,在无源栅极250之后形成。
有源栅极沟槽272可沿X方向延伸、大致平行于无源栅极沟槽258(现至少部分地填充有用以形成无源栅极264的导电材料)且插入于其之间地延伸。有源栅极沟槽272的侧壁可形成鳍274的有源壁278。有源栅极沟槽272可比无源栅极沟槽258(图36)深、与无源栅极沟槽258一样深或不如无源栅极沟槽258深。
鳍掩模间隔件270可促进具有鳍宽度276的鳍274的形成,鳍宽度276小于用于图案化衬底246上的其它特征的设备的分辨率限度。举例来说,鳍宽度276可小于193纳米光刻工艺或157纳米光刻工艺的分辨率限度,所述两种工艺中的任一者可包括浸润式光刻步骤或双重图案化。举例来说,鳍宽度276可小于
Figure BPA00001330274900101
Figure BPA00001330274900102
Figure BPA00001330274900103
Figure BPA00001330274900104
Figure BPA00001330274900106
Figure BPA00001330274900107
Figure BPA00001330274900108
Figure BPA000013302749001011
Figure BPA000013302749001012
在制造期间,无源栅极264可以机械方式支撑鳍274。举例来说,在一些实施例中,在移动及/或浸润于液体中期间,鳍274内的应力可由于无源栅极264限制鳍274的移动而为有限的。因此,在一些实施例中,可制造极薄、高纵横比的鳍274。举例来说,鳍274的纵横比(即,鳍高度280与鳍宽度276的比率)可大于20∶1、15∶1、10∶1、9∶1、8∶1、7∶1、6∶1、5∶1、4∶1或3∶1。然而,应注意,本技术不限于具有无源栅极的实施例、其中鳍274被支撑的实施例或具有薄、高纵横比的鳍的实施例。
此外,由于可在保护插塞268的侧上形成鳍掩模间隔件270,因此鳍掩模间隔件270可与无源栅极264自对准。在其它实施例中,可根据本技术的实施例采用其它自对准、次光学光刻、直接图案化或直接对准技术。
鳍274可具有沿其在y方向上的长度的至少实质部分延伸(例如,通过一个、两个、五个或更多晶体管长度)的大体均匀横截面,例如大体矩形横截面、大体梯形横截面或其它横截面形状。当然,在一些实施例中,所述鳍横截面可沿鳍在y方向上的长度而变化。举例来说,鳍宽度276可变化或鳍高度280可变化。鳍宽度276可在Z方向上大体均匀,或鳍宽度276可沿鳍高度280变窄或扩展。在一些实施例中,有源壁262及无源壁260(图38)可在Z方向上沿鳍高度280朝向或远离彼此大体倾斜或弯曲(即,鳍宽度276可逐渐变小)。
接下来,可在有源沟槽260中形成有源栅极电介质282,如图43所描绘。可借助各种工艺及电介质材料(例如上文参照图19所描述的那些工艺及电介质材料)形成有源栅极电介质282。
在形成有源栅极电介质282之后,可形成有源栅极284,如图44所图解说明。可通过以下步骤形成有源栅极284:毯覆沉积导电材料(例如,氮化钛、经掺杂的多晶硅或其它导电材料)并对所述材料进行间隔件蚀刻以形成有源栅极284。所述有源栅极可紧挨有源壁278(图42)安置且可在X方向上大致平行于鳍274(图42)延伸。在其它实施例中,可借助上文参照图20到22所描述的步骤形成有源栅极284。
接下来,可移除保护插塞268及鳍掩模间隔件270(图41)以暴露晶体管286阵列,如图45所图解说明。可借助对这些材料具有选择性的蚀刻、借助CMP或借助其它工艺移除保护插塞268及鳍掩模间隔件270。两个晶体管286安置于无源栅极264的每一侧上,且无源栅极264在晶体管286行之间延伸。可以类似于上文针对图25所描述的晶体管204的方式操作晶体管286。通过晶体管286的电流由有源栅极284的断面部分所显示的箭头288图解说明。类似地,可加偏压于无源栅极264以调整晶体管286的阈值电压且使GIDL减少,如上文所描述。
在一些实施例中,晶体管286(或先前所描述的晶体管204或244)可用于存取电容器、浮动栅极或其它易失性或非易失性存储器组件。举例来说,数字线可连接到晶体管286的一个支腿,且电容器可连接到另一支腿,或反之亦然。在此实施例中,有源栅极284可用作字线。在操作中,此实施例可通过接通晶体管286存取存储器组件。举例来说,有源栅极284可连接到电压源且所述数字线耦合到感测放大器,或反之亦然。或者,晶体管286可用于某一其它类型的电子装置中。举例来说,晶体管286可用于微处理器、数字信号处理器、可编程存储器装置或专用集成电路(仅举几例)中。
尽管易于对本发明作出各种修改及替代形式,但具体实施例已以实例方式显示于所述图式中且已详细地描述于本文中。然而,应理解,并不打算将本发明限定于所揭示的特定形式。相反,本发明将涵盖归属于以上所附权利要求书所界定的本发明精神及范围内的所有修改、等效内容及替代方案。

Claims (25)

1.一种具有晶体管的装置,其包含:
所述晶体管,其包含:
源极;
漏极;
沟道区,其在所述源极与所述漏极之间及下面延伸,其中所述源极和所述漏极垂直地安置在所述沟道上面;
栅极,其安置在所述晶体管的第一大体垂直侧壁上、接近所述沟道区;及
导电部件,其安置在所述晶体管的第二大体垂直侧壁上、在所述沟道区对面与所述栅极相对,其中所述导电部件不重叠所述源极、所述漏极或所述源极与所述漏极两者,其中所述导电部件的顶部在所述源极及所述漏极下面。
2.根据权利要求1所述的装置,其中所述导电部件不重叠所述源极或所述漏极。
3.根据权利要求1所述的装置,其中所述导电部件通过电介质与所述沟道隔离。
4.根据权利要求1所述的装置,其中所述晶体管包含:
从衬底大体垂直升起的第一支腿;及
从所述衬底大体垂直升起的第二支腿。
5.根据权利要求4所述的装置,其中所述源极接近所述第一支腿的远端部分安置且所述漏极接近所述第二支腿的远端部分安置。
6.根据权利要求1所述的装置,其中所述导电部件及所述栅极由晶体管行共享。
7.根据权利要求6所述的装置,其中所述导电部件耦合到由其它晶体管行共享的其它导电部件。
8.根据权利要求6所述的装置,其中所述栅极不耦合到由其它晶体管行共享的栅极。
9.一种用于制造晶体管的方法,其包含:
在半导电材料中形成上部经掺杂区;
由所述半导电材料形成部件,其中所述部件从衬底大体垂直升起;
接近所述部件形成有源栅极;及
接近所述部件形成无源栅极,其中所述无源栅极安置在所述上部经掺杂区下面,且其中所述有源栅极沿所述部件的第一侧大体垂直延伸,所述无源栅极沿所述部件的第二侧大体垂直延伸。
10.根据权利要求9所述的方法,其中形成所述部件包含形成鳍。
11.根据权利要求10所述的方法,其中形成所述鳍包含形成一对支腿。
12.根据权利要求9所述的方法,其中由在此相同步骤或若干相同步骤期间沉积的相同材料或若干相同材料形成所述有源栅极及所述无源栅极。
13.根据权利要求9所述的方法,其中形成所述部件包含:
在所述部件的一侧上形成第一沟槽;
在所述第一沟槽上方形成第一掩模;及
在所述部件的相对侧上形成第二沟槽,其中所述第二沟槽比所述第一沟槽深。
14.根据权利要求13所述的方法,其中形成所述无源栅极包含:
在所述有源栅极及所述第一沟槽上方形成第二掩模;及
使所述无源栅极凹入到所述上部经掺杂区下面。
15.根据权利要求14所述的方法,其中在使所述无源栅极凹入时接近所述第二沟槽的底部安置所述第二掩模的一部分。
16.根据权利要求9所述的方法,其中形成所述无源栅极包含:
形成覆盖所述有源栅极的掩模;及
蚀刻所述无源栅极。
17.根据权利要求9所述的方法,其中在所述部件的所述第二侧之前形成所述部件的所述第一侧。
18.根据权利要求17所述的方法,其中在形成所述部件的所述第二侧之前沿所述部件的所述第一侧形成所述无源栅极。
19.根据权利要求17所述的方法,其中在所述有源栅极之前形成所述无源栅极。
20.一种具有晶体管阵列的装置,其包含:
晶体管阵列,所述阵列包含:
多个晶体管行,每一行包含:
多个鳍,其具有经掺杂远端部分;
第一栅极,其沿所述多个鳍的第一侧大体垂直延伸;及
第二栅极,其沿所述多个鳍的第二侧大体垂直延伸,其中所述第二栅极不重叠所述经掺杂远端部分。
21.根据权利要求20所述的装置,其中所述多个鳍中的每一鳍包含两个支腿。
22.根据权利要求20所述的装置,其中所述第一栅极不重叠所述经掺杂远端部分。
23.根据权利要求20所述的装置,其中所述多个晶体管行中的所述晶体管行与邻近晶体管行反射对称。
24.根据权利要求20所述的装置,其中所述多个晶体管行中的所述晶体管行与邻近晶体管行旋转对称。
25.根据权利要求20所述的装置,其中所述第二栅极在所述多个晶体管行之中的邻近晶体管行之间延伸。
CN200980136187.5A 2008-09-15 2009-08-21 具有无源栅极的晶体管及其制造方法 Active CN102160158B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/210,305 2008-09-15
US12/210,305 US8148776B2 (en) 2008-09-15 2008-09-15 Transistor with a passive gate
PCT/US2009/054646 WO2010030493A2 (en) 2008-09-15 2009-08-21 Transistor with a passive gate and methods of fabricating the same

Publications (2)

Publication Number Publication Date
CN102160158A CN102160158A (zh) 2011-08-17
CN102160158B true CN102160158B (zh) 2013-05-22

Family

ID=41591602

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980136187.5A Active CN102160158B (zh) 2008-09-15 2009-08-21 具有无源栅极的晶体管及其制造方法

Country Status (5)

Country Link
US (1) US8148776B2 (zh)
KR (1) KR101626178B1 (zh)
CN (1) CN102160158B (zh)
TW (1) TWI456759B (zh)
WO (1) WO2010030493A2 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7808042B2 (en) * 2008-03-20 2010-10-05 Micron Technology, Inc. Systems and devices including multi-gate transistors and methods of using, making, and operating the same
US7996163B2 (en) * 2008-09-15 2011-08-09 Caterpillar Inc. Method and apparatus for detecting a short circuit in a DC link
TW201036142A (en) * 2009-03-16 2010-10-01 Nanya Technology Corp Manufacturing method of supporting structure for stack capacitor in semiconductor device
US8101486B2 (en) * 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
JP5638218B2 (ja) * 2009-10-15 2014-12-10 三菱電機株式会社 半導体装置およびその製造方法
US8334196B2 (en) 2010-11-01 2012-12-18 Micron Technology, Inc. Methods of forming conductive contacts in the fabrication of integrated circuitry
US8921899B2 (en) 2010-11-19 2014-12-30 Micron Technology, Inc. Double gated 4F2 dram CHC cell and methods of fabricating the same
US9553193B2 (en) 2010-11-19 2017-01-24 Micron Technology, Inc. Double gated fin transistors and methods of fabricating and operating the same
US8293602B2 (en) 2010-11-19 2012-10-23 Micron Technology, Inc. Method of fabricating a finFET having cross-hair cells
US8294511B2 (en) 2010-11-19 2012-10-23 Micron Technology, Inc. Vertically stacked fin transistors and methods of fabricating and operating the same
US8815677B2 (en) * 2011-06-14 2014-08-26 Intermolecular, Inc. Method of processing MIM capacitors to reduce leakage current
KR101612657B1 (ko) * 2011-12-22 2016-04-14 인텔 코포레이션 게이트 정렬 컨택트를 포함하는 반도체 구조 및 그 제조 방법
US8796085B2 (en) * 2012-10-12 2014-08-05 Viktor Koldiaev Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US9093335B2 (en) * 2012-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Calculating carrier concentrations in semiconductor Fins using probed resistance
JP5961563B2 (ja) * 2013-01-25 2016-08-02 株式会社豊田中央研究所 半導体装置の製造方法
US9076870B2 (en) * 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9805934B2 (en) * 2013-11-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of contact/via hole with self-alignment
US9171855B2 (en) * 2013-12-30 2015-10-27 Globalfoundries Singapore Pte. Ltd. Three-dimensional non-volatile memory
US9331158B2 (en) * 2014-09-15 2016-05-03 Qualcomm, Incorporated Transistor devices and methods
US9934970B1 (en) * 2017-01-11 2018-04-03 International Business Machines Corporation Self aligned pattern formation post spacer etchback in tight pitch configurations
US10643906B2 (en) * 2017-12-15 2020-05-05 Micron Technology, Inc. Methods of forming a transistor and methods of forming an array of memory cells
US10818665B2 (en) 2018-08-24 2020-10-27 Micron Technology, Inc. Array of recessed access devices and an array of memory cells individually comprising a capacitor and a transistor
CN112956030A (zh) 2018-10-09 2021-06-11 美光科技公司 包含具有增加阈值电压的晶体管的半导体装置及其相关方法与系统
US20200212045A1 (en) * 2018-12-26 2020-07-02 Kamal M. Karda Vertical 2-transistor memory cell
KR20210064593A (ko) 2019-11-26 2021-06-03 삼성전자주식회사 반도체 장치
CN113451318B (zh) * 2020-03-24 2023-06-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3885861A (en) 1972-10-02 1975-05-27 Hughes Aircraft Co Liquid crystal digital reticle
US5196910A (en) 1987-04-24 1993-03-23 Hitachi, Ltd. Semiconductor memory device with recessed array region
US5160987A (en) 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5109256A (en) 1990-08-17 1992-04-28 National Semiconductor Corporation Schottky barrier diodes and Schottky barrier diode-clamped transistors and method of fabrication
US6791131B1 (en) 1993-04-02 2004-09-14 Micron Technology, Inc. Method for forming a storage cell capacitor compatible with high dielectric constant materials
US5864181A (en) 1993-09-15 1999-01-26 Micron Technology, Inc. Bi-level digit line architecture for high density DRAMs
JPH07263576A (ja) 1994-03-25 1995-10-13 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6831322B2 (en) 1995-06-05 2004-12-14 Fujitsu Limited Semiconductor memory device and method for fabricating the same
JP3853406B2 (ja) 1995-10-27 2006-12-06 エルピーダメモリ株式会社 半導体集積回路装置及び当該装置の製造方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
WO1997028532A1 (en) 1996-02-01 1997-08-07 Micron Technology, Inc. Digit line architecture for dynamic memory
US5688709A (en) 1996-02-14 1997-11-18 Lsi Logic Corporation Method for forming composite trench-fin capacitors for DRAMS
US5793033A (en) 1996-03-29 1998-08-11 Metanetics Corporation Portable data collection device with viewing assembly
US7064376B2 (en) 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5821513A (en) 1996-06-26 1998-10-13 Telxon Corporation Shopping cart mounted portable data collection device with tethered dataform reader
US6285045B1 (en) 1996-07-10 2001-09-04 Fujitsu Limited Semiconductor device with self-aligned contact and its manufacture
JP3941133B2 (ja) 1996-07-18 2007-07-04 富士通株式会社 半導体装置およびその製造方法
US6130144A (en) 1997-01-02 2000-10-10 Texas Instruments Incorporated Method for making very shallow junctions in silicon devices
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5925918A (en) 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US6130551A (en) 1998-01-19 2000-10-10 Vantis Corporation Synthesis-friendly FPGA architecture with variable length and variable timing interconnect
US6097212A (en) 1997-10-09 2000-08-01 Lattice Semiconductor Corporation Variable grain architecture for FPGA integrated circuits
US6137128A (en) 1998-06-09 2000-10-24 International Business Machines Corporation Self-isolated and self-aligned 4F-square vertical fet-trench dram cells
US5858829A (en) 1998-06-29 1999-01-12 Vanguard International Semiconductor Corporation Method for fabricating dynamic random access memory (DRAM) cells with minimum active cell areas using sidewall-spacer bit lines
TW388125B (en) 1998-08-19 2000-04-21 Vanguard Int Semiconduct Corp Method for fabricating dynamic random access memory (DRAM) cells with minimum active cell areas
DE19842704C2 (de) 1998-09-17 2002-03-28 Infineon Technologies Ag Herstellverfahren für einen Kondensator mit einem Hoch-epsilon-Dielektrikum oder einem Ferroelektrikum nach dem Fin-Stack-Prinzip unter Einsatz einer Negativform
TW380316B (en) 1998-10-15 2000-01-21 Worldwide Semiconductor Mfg Manufacturing method for fin-trench-structure capacitor of DRAM
US6100129A (en) 1998-11-09 2000-08-08 Worldwide Semiconductor Manufacturing Corporation Method for making fin-trench structured DRAM capacitor
US6426175B2 (en) 1999-02-22 2002-07-30 International Business Machines Corporation Fabrication of a high density long channel DRAM gate with or without a grooved gate
US6690056B1 (en) 1999-04-06 2004-02-10 Peregrine Semiconductor Corporation EEPROM cell on SOI
US6667506B1 (en) 1999-04-06 2003-12-23 Peregrine Semiconductor Corporation Variable capacitor with programmability
KR100325472B1 (ko) 1999-04-15 2002-03-04 박종섭 디램 메모리 셀의 제조 방법
US6468849B1 (en) 1999-06-11 2002-10-22 Texas Instruments Incorporated Methods and devices for optimized digital and analog CMOS transistor performance in deep submicron technology
JP4074051B2 (ja) 1999-08-31 2008-04-09 株式会社東芝 半導体基板およびその製造方法
DE19946719A1 (de) 1999-09-29 2001-04-19 Infineon Technologies Ag Grabenkondensator und Verfahren zu seiner Herstellung
US6282113B1 (en) 1999-09-29 2001-08-28 International Business Machines Corporation Four F-squared gapless dual layer bitline DRAM array architecture
JP3457236B2 (ja) 1999-11-05 2003-10-14 茂徳科技股▲ふん▼有限公司 深いトレンチキャパシター蓄積電極の製造方法
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
WO2001061738A1 (en) 2000-02-15 2001-08-23 Steag Cvd Systems Ltd. Dram capacitor with ultra-thin nitride layer
US6548363B1 (en) 2000-04-11 2003-04-15 Taiwan Semiconductor Manufacturing Company Method to reduce the gate induced drain leakage current in CMOS devices
JP3983960B2 (ja) 2000-07-14 2007-09-26 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法および半導体集積回路装置
KR100466689B1 (ko) 2000-08-28 2005-01-24 인터내셔널 비지네스 머신즈 코포레이션 콤팩트형 이중 포트 동적 랜덤 액세스 메모리 아키텍쳐 시스템 및 그 제조 방법
US6509226B1 (en) 2000-09-27 2003-01-21 International Business Machines Corporation Process for protecting array top oxide
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6967147B1 (en) 2000-11-16 2005-11-22 Infineon Technologies Ag Nitrogen implantation using a shadow effect to control gate oxide thickness in DRAM semiconductor
US6258659B1 (en) 2000-11-29 2001-07-10 International Business Machines Corporation Embedded vertical DRAM cells and dual workfunction logic gates
US6576944B2 (en) 2000-12-14 2003-06-10 Infineon Technologies Ag Self-aligned nitride pattern for improved process window
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6809368B2 (en) 2001-04-11 2004-10-26 International Business Machines Corporation TTO nitride liner for improved collar protection and TTO reliability
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US7190060B1 (en) 2002-01-09 2007-03-13 Bridge Semiconductor Corporation Three-dimensional stacked semiconductor package device with bent and flat leads and method of making same
US6865100B2 (en) 2002-08-12 2005-03-08 Micron Technology, Inc. 6F2 architecture ROM embedded DRAM
US6927462B2 (en) 2002-08-28 2005-08-09 Infineon Technologes Richmond, Lp Method of forming a gate contact in a semiconductor device
US6670682B1 (en) 2002-08-29 2003-12-30 Micron Technology, Inc. Multilayered doped conductor
DE10241171A1 (de) * 2002-09-05 2004-03-18 Infineon Technologies Ag Wort- und Bitleitungsanordnung für einen FINFET-Halbleiterspeicher
DE10248722A1 (de) 2002-10-18 2004-05-06 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Kondensator und Herstellungsverfahren
KR100498476B1 (ko) 2003-01-11 2005-07-01 삼성전자주식회사 리세스 채널 mosfet 및 그 제조방법
DE10302128B3 (de) 2003-01-21 2004-09-09 Infineon Technologies Ag Pufferverstärkeranordnung
US6845033B2 (en) 2003-03-05 2005-01-18 International Business Machines Corporation Structure and system-on-chip integration of a two-transistor and two-capacitor memory cell for trench technology
JP2004281782A (ja) 2003-03-17 2004-10-07 Toshiba Corp 半導体装置及びその製造方法
US6794254B1 (en) 2003-05-15 2004-09-21 Taiwan Semiconductor Manufacturing Company Embedded dual-port DRAM process
US7099216B2 (en) 2003-09-05 2006-08-29 International Business Machines Corporation Single cycle read/write/writeback pipeline, full-wordline I/O DRAM architecture with enhanced write and single ended sensing
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
DE10361695B3 (de) 2003-12-30 2005-02-03 Infineon Technologies Ag Transistorstruktur mit gekrümmtem Kanal, Speicherzelle und Speicherzellenfeld für DRAMs sowie Verfahren zur Herstellung eines DRAMs
US6998666B2 (en) 2004-01-09 2006-02-14 International Business Machines Corporation Nitrided STI liner oxide for reduced corner device impact on vertical device performance
DE102004006520B4 (de) 2004-02-10 2010-05-12 Qimonda Ag Verfahren zur Herstellung einer DRAM-Speicherzellenanordnung mit Trenchkondensatoren und Stegfeldeffekttransistoren (FinFET) sowie DRAM-Speicherzellenanordnung
US7176092B2 (en) * 2004-04-16 2007-02-13 Taiwan Semiconductor Manufacturing Company Gate electrode for a semiconductor fin device
US7564105B2 (en) 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
DE102004021052B3 (de) 2004-04-29 2005-12-29 Infineon Technologies Ag Verfahren zur Herstellung von Trench-DRAM-Speicherzellen und Trench-DRAM-Speicherzellenfeld mit Stegfeldeffekttransistoren mit gekrümmtem Kanal (CFET)
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
DE102004031385B4 (de) 2004-06-29 2010-12-09 Qimonda Ag Verfahren zur Herstellung von Stegfeldeffekttransistoren in einer DRAM-Speicherzellenanordnung, Feldeffekttransistoren mit gekrümmtem Kanal und DRAM-Speicherzellenanordnung
US7132333B2 (en) 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
JP2006054431A (ja) 2004-06-29 2006-02-23 Infineon Technologies Ag トランジスタ、メモリセルアレイ、および、トランジスタ製造方法
DE102004043857B3 (de) 2004-09-10 2006-03-30 Infineon Technologies Ag DRAM-Zellenpaar und DRAM-Speicherzellenfeld mit Stack- und Trench-Speicherzellen sowie Verfahren zur Herstellung eines DRAM-Speicherzellenfeldes
DE102004043858A1 (de) 2004-09-10 2006-03-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle, einer Speicherzellenanordnung und Speicherzellenanordnung
KR100585161B1 (ko) 2004-10-02 2006-05-30 삼성전자주식회사 다중채널 트랜지스터 소자 제조 방법 및 이에 의한 소자
EP1812964A1 (en) * 2004-11-10 2007-08-01 Gil Asa Transistor structure and method of manufacturing thereof
US7476920B2 (en) 2004-12-15 2009-01-13 Infineon Technologies Ag 6F2 access transistor arrangement and semiconductor memory device
US7254074B2 (en) 2005-03-07 2007-08-07 Micron Technology, Inc. Open digit line array architecture for a memory array
US7316953B2 (en) 2005-05-31 2008-01-08 Nanya Technology Corporation Method for forming a recessed gate with word lines
KR100608380B1 (ko) 2005-06-01 2006-08-08 주식회사 하이닉스반도체 메모리 소자의 트랜지스터 및 그 제조방법
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7151023B1 (en) 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
US8188551B2 (en) * 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070058468A1 (en) 2005-09-12 2007-03-15 Promos Technologies Pte.Ltd. Singapore Shielded bitline architecture for dynamic random access memory (DRAM) arrays
KR100653712B1 (ko) 2005-11-14 2006-12-05 삼성전자주식회사 핀펫에서 활성영역과 실질적으로 동일한 상면을 갖는소자분리막이 배치된 반도체 장치들 및 그 형성방법들
US7402856B2 (en) 2005-12-09 2008-07-22 Intel Corporation Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same
US8716772B2 (en) 2005-12-28 2014-05-06 Micron Technology, Inc. DRAM cell design with folded digitline sense amplifier
KR100734304B1 (ko) 2006-01-16 2007-07-02 삼성전자주식회사 트랜지스터의 제조방법
KR100720238B1 (ko) 2006-01-23 2007-05-23 주식회사 하이닉스반도체 반도체 소자 및 그의 제조 방법
US20070176253A1 (en) 2006-01-31 2007-08-02 Peng-Fei Wang Transistor, memory cell and method of manufacturing a transistor
TWI294640B (en) 2006-02-16 2008-03-11 Nanya Technology Corp Alignment mark and alignment method for the fabrication of trench-capacitor dram devices
TWI277153B (en) 2006-03-21 2007-03-21 Promos Technologies Inc Semiconductor device with recessed channel and method of fabricating the same
US7573108B2 (en) 2006-05-12 2009-08-11 Micron Technology, Inc Non-planar transistor and techniques for fabricating the same
JP2008010503A (ja) * 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法
JP4445521B2 (ja) * 2007-06-15 2010-04-07 株式会社東芝 半導体装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines

Also Published As

Publication number Publication date
TWI456759B (zh) 2014-10-11
WO2010030493A2 (en) 2010-03-18
TW201021210A (en) 2010-06-01
US20100066440A1 (en) 2010-03-18
KR20110057235A (ko) 2011-05-31
CN102160158A (zh) 2011-08-17
KR101626178B1 (ko) 2016-05-31
WO2010030493A3 (en) 2011-04-07
US8148776B2 (en) 2012-04-03

Similar Documents

Publication Publication Date Title
CN102160158B (zh) 具有无源栅极的晶体管及其制造方法
KR101316959B1 (ko) 전기적 절연을 제공하는 방법 및 전기적 절연을 포함하는 반도체 구조물
CN101952958B (zh) 包括鳍式晶体管的系统及装置以及其使用、制作及操作方法
US4795721A (en) Walled slot devices and method of making same
JP5391423B2 (ja) 解像度以下のケイ素フィーチャおよびそれを形成するための方法
TWI396276B (zh) 形成資料格及資料格連結之方法
RU2153210C2 (ru) Полупроводниковое запоминающее устройство с высокой степенью интеграции и способ изготовления полупроводникового запоминающего устройства
JP5551213B2 (ja) 半導体装置の製造方法
TWI407570B (zh) 半導體結構及形成半導體結構及快閃記憶體單元之方法
KR20090017045A (ko) 수직 실린더형 트랜지스터의 제조방법 및 이에 의해 제조된수직 실린더형 트랜지스터
US20200127004A1 (en) Memory Arrays And Methods Used In Forming A Memory Array
CN110600380A (zh) 一种半浮栅晶体管的制备方法
US6235575B1 (en) Semiconductor device and method for manufacturing same
US11031467B2 (en) Field effect transistor based on vertically integrated gate-all-round multiple nanowire channels
KR101095825B1 (ko) 반도체 소자 및 그 제조 방법
US11569240B2 (en) Semiconductor structure and manufacturing method thereof
JP4122230B2 (ja) オン抵抗が低減された二重拡散型電界効果トランジスタ
US6566187B1 (en) DRAM cell system and method for producing same
KR20040074347A (ko) 콘택 패드를 포함하는 반도체 장치 및 이의 제조 방법
TWI471947B (zh) 電晶體元件及其製造方法
KR100517219B1 (ko) 동적이득메모리셀을갖는dram셀장치및그의제조방법
JP2005516381A (ja) 半導体基板内に狭いトレンチを形成する方法
US20050156224A1 (en) Method to make minimal spacing between floating gates in split gate flash
KR101087918B1 (ko) 반도체 소자 및 그 제조 방법
TWI813363B (zh) 半導體結構及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant