CN102209935A - 用于光刻校准的参数敏感和正交测规设计的方法和系统 - Google Patents

用于光刻校准的参数敏感和正交测规设计的方法和系统 Download PDF

Info

Publication number
CN102209935A
CN102209935A CN2009801447289A CN200980144728A CN102209935A CN 102209935 A CN102209935 A CN 102209935A CN 2009801447289 A CN2009801447289 A CN 2009801447289A CN 200980144728 A CN200980144728 A CN 200980144728A CN 102209935 A CN102209935 A CN 102209935A
Authority
CN
China
Prior art keywords
parameter
susceptibility
pattern
gauge
model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801447289A
Other languages
English (en)
Other versions
CN102209935B (zh
Inventor
叶军
曹宇
邵文晋
冯函英
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Brion Technologies Inc
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102209935A publication Critical patent/CN102209935A/zh
Application granted granted Critical
Publication of CN102209935B publication Critical patent/CN102209935B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Abstract

根据本发明的方法提供计算有效的用于设计测规图案的方法,该测规图案用于校准在模拟过程中使用的模型,并且最小化模型参数之间的简并,因而最大化用于参数校准的图案覆盖。更具体地,本发明涉及设计测规图案的方法,该方法用最小数量的测规和在用于成像具有多个特征的目标设计的光刻工艺校准中相应的测量,实现参数变化的完全覆盖。根据一些方面,根据本发明的方法包括转化模型参数空间的空间(基于CD敏感性或ΔTCC),然后迭代地识别与该新的空间中已有的测规的CD敏感性最正交的方向,以及确定最敏感的具有优化的辅助特征布置的线宽/节距组合,其导致沿模型参数空间内的该方向最敏感的CD变化。

Description

用于光刻校准的参数敏感和正交测规设计的方法和系统
相关申请的交叉引用
本申请要求于2008年11月10日递交的美国专利申请第61/113,004号以及2008年12月24日递交的美国专利申请第61/140,812号的优先权,并且这里通过参考使每一个申请的全文并入本文。
技术领域
本发明大体涉及设计用于与光刻工艺相关的校准的测规图案,更具体地,涉及用于设计校准图案组的计算有效的方法,其中单个图案对不同参数变化具有显著不同的响应并且也对参数变化非常敏感,因而具有对抵抗校准中的随机测量误差的鲁棒性。
背景技术
例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,掩模包含对应IC的单层的电路图案,并且该图案被成像到已经涂覆有辐射敏感材料(抗蚀剂)的衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。通常,单个晶片将包含通过投影系统一次连续地被照射的相邻目标部分的整个网络。在一种类型的光刻投影设备中,通过将整个掩模图案一次曝光到目标部分上来照射每个目标部分;这种设备通常称为晶片步进机。在替换的实施例中,通常称为步进-和-扫描设备,通过沿给定的参照方向(“扫描”方向)在投影束下渐进地扫描掩模图案、同时同步地沿与该方向平行或反向平行的方向扫描衬底台来辐射每一个目标部分。因而,通常投影系统将具有放大因子M(通常<1),扫描衬底台的速度V将是扫描掩模台的速度的M倍。这里描述的有关光刻装置的更多的信息可以参考例如美国专利第6046792号。
在使用光刻投影设备的制造过程中,掩模图案被成像到至少由辐射敏感材料(例如抗蚀剂)部分地覆盖的衬底上。在成像步骤之前,衬底可以经过多种工序,例如涂底料、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经过其它工序,例如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检验。这一系列的工序被用作对器件(例如IC)的单层进行图案化的基础。然后,这样的图案化层可以经过多种处理,例如蚀刻、离子注入(例如,掺杂)、金属化、氧化、化学-机械抛光等,所有这些处理用于完成对一个单层的处理。如果需要几层,则对于每个新的层必须重复整个工序或其变体。最后,在衬底(即,晶片)上将形成器件的阵列。然后,这些器件通过例如划片(dicing)或切割等技术彼此分割开,然后独立的器件可以安装到连接到插脚等的载体上。
为了简化起见,下文中投影系统有时被称为“透镜”;然而,这个术语应该被广义地解释为包括各种类型的投影系统,包括例如折射式光学系统、反射式光学系统和反射折射式系统。辐射系统还可以包括根据用于引导、成形或控制投影辐射束的这些设计类型中的任意类型来操作的部件,并且这些部件在下文中还可以被统称为或单独地称为“透镜”。而且,光刻设备可以是具有两个或更多个衬底台(和/或两个或更多个掩模台)的类型。在这种“多台”的装置中,附加的台可以并行地使用,或者可以在一个或更多个台上执行预备步骤的同时使用一个或更多个其它的台进行曝光。例如,在US专利5,969,441中描述了双台光刻设备。
上面提及的光刻掩模包括对应于将要被集成到硅晶片上的电路部件的几何图案。用来形成这种掩模的图案使用CAD(计算机辅助设计)程序来生成,这种过程通常被称为EDA(电子设计自动化)。大多数CAD程序依照一组预定的设计规则以便产生功能化掩模。这些规则通过工艺和设计限制来设定。例如,设计规则限定电路器件(例如栅极、电容等)或互连线之间的间隔容许量,使得确保电路器件或线不会彼此以不希望的方式相互作用/影响。通常,设计规则限制被称为“临界尺寸”(CD)。电路的临界尺寸可以被定义成线或孔的最小宽度或两条线或两个孔之间的最小间隔。因此,CD决定所设计的电路的总的尺寸和密度。当然,集成电路制造的目标之一是在晶片上(通过掩模)忠实地复制原始电路设计。
正如所指出的,微光刻术是半导体集成电路制造中的主要步骤,其中形成在半导体晶片衬底上的图案限定了半导体器件的功能元件,例如微处理器、存储芯片等。类似的光刻技术还用在平板显示器、微电子机械系统(MEMS)和其它器件的制造中。
随着半导体制造工艺持续进步,在电路元件的尺寸持续地减小的同时,每个器件的功能元件(例如晶体管)的数量在过去几十年中已经遵照通常被称作为“摩尔定律”的趋势稳定地增加。在目前的技术状态下,上升沿器件的关键层使用已知如扫描器的光学光刻投影系统进行制造,其使用来自深紫外激光光源的照射将掩模图像投影到衬底上,产生具有100nm以下的尺寸,也就是小于投影光波长一半的各个电路特征。
依照分辨率公式CD=k1×λ/NA,这种印刷尺寸小于光投影系统的经典分辨率极限的特征的过程通常被称为低-k1(low-k1)光刻术,其中λ是所采用的辐射的波长(目前大多数情况是248nm或193nm),NA是投影光学系统的数值孔径,CD是“临界尺寸”(通常是所印刷的最小特征尺寸),以及k1是经验分辨率因子。通常,k1越小,越难以在晶片上复制与电路设计者设计的形状和尺寸相符的图案以便获得特定的电功能性和性能。为了克服这些困难,对投影系统和掩模设计实施复杂的精细的微调步骤。这些步骤包括(例如)但不限于NA和光学相干性设置的优化、定制的照射方案、使用相移掩模、掩模布局中的光学邻近效应校正,或其它通常称为“分辨率增强技术”(RET)的方法。
作为一个重要的示例,光学邻近效应校正(OPC,有时称为“光学和过程校正”)解决晶片上所印刷的特征的最终尺寸和位置将不仅仅是掩模上对应的特征的尺寸和位置的函数的问题。应该注意的是,这里术语“掩模”和“掩模版”可以可互换地使用。因为在通常的电路设计上具有小的特征尺寸和高的特征密度,所以给定特征的特定边缘的位置将一定程度上受到存在或不存在其它邻近特征的影响。这些邻近效应源自一个特征和另一特征的微小量的光耦合。类似地,邻近效应可能源自曝光后烘烤(PEB)、抗蚀剂显影以及通常紧随光刻曝光后的蚀刻期间的扩散和其它化学效应。
为了确保依照给定目标电路设计的需要在半导体衬底上形成特征,在能够成功地制造高端器件之前,需要使用复杂的数值模型预测邻近效应,和需要将校正或预变形应用到掩模的设计。C.Spence在Proc.SPIE,Vol.5751,pp 1-14(2005)上的文章“Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design”提供了目前“基于模型的(model-based)”光学邻近效应校正过程的概述。在一般的高端设计中,几乎每个特征边缘都需要一些修正以便获得充分接近目标设计的印刷图案。这些修正可以包括边缘位置或线宽的平移或偏斜,以及应用并不为了印刷本身但将会影响所关联的主要特征的性质的“辅助”特征。
假定通常在芯片设计中存在数百万特征,将基于模型的OPC应用到目标设计需要良好的过程模型和相当多的计算资源。然而,通常应用OPC不是“精密科学”,而是不总是能解决布局中所有可能缺陷的经验的、迭代的过程。因而,OPC后的设计(也就是,在应用所有通过OPC和所有其它RET的图案修正后的掩模布局)需要通过设计检验(也就是使用经过校准的数值过程模型进行精密的全芯片模拟)进行校验,以便最小化掩模组的制造中形成设计缺陷的可能性。这是由制造以几百万美元量级运行的高端掩模组的巨大成本,和一旦实际掩模被制造而导致的重新制作或重新修改实际掩模的周转时间的影响所驱动的。
OPC和全芯片RET校验两者都可以基于在例如美国专利第7,003,758号和来自Proc.SPIE,Vol.5754,405(2005)上的Y.Cao等人的名称为“Optimized Hardware and Software For Fast,Full Chip Simulation”的文章中描述的数值建模(即,计算光刻术)系统和方法。
正如上面所述,OPC和RET都需要精确地描述光刻工艺的鲁棒的模型。因此需要用于这种光刻模型的校准过程来实现在过程窗口上是可用的、鲁棒的并且精确的模型。目前,通过在晶片上实际印刷特定数量的一维和/或二维测规图案并且在印刷的图案上实施测量来完成校准。更具体地,那些一维测规图案是具有变化的节距和CD的线-间距图案,并且二维测规图案通常包括线-末端、接触点以及随机地选择的SRAM(静态随机存取存储器)图案。然后这些图案被成像到晶片上并且测量最终的晶片CD或接触孔(还已知为通孔或通过芯片的通孔)能量。原始的测规图案和它们的晶片测量结果随后被结合地用以确定最小化模型预测结果和晶片测量结果之间的差异的模型参数。
上面描述的以及现有技术中使用的模型校准过程在图3中示出。在现有技术的模型校准(图3)中,工艺从设计布局302开始,其可以包括测规和其他测试图案,并且还可以包括OPC和RET特征。接下来,在步骤304中使用设计生成掩模布局,其可以是标准形式(例如GDSII或OASIS)。然后采用两个分开的路线,用于模拟和测量。
在模拟路线中,在步骤308中掩模布局和模型306用于生成模拟的抗蚀剂图像。模型306提供用于计算光刻技术的光刻工艺的模型,并且校准工艺旨在使得模型306尽可能精确,使得计算光刻技术带来同样的精确度。然后,在步骤310中使用模拟的抗蚀剂图像确定预测的临界尺寸(CDs)、轮廓等。
在测量路线中,使用掩模布局304形成物理掩模(即掩模版),其随后在步骤312中被成像到晶片上。用于成像晶片的光刻工艺(例如NA、焦距、剂量、照射源等)与在模型306中想要收集的相同。随后,在步骤314中对实际的成像后的晶片执行测量(例如使用量测工具等),这得出测量的CD、轮廓等。
在步骤316中,在步骤314得到的测量结果和步骤310的预测结果之间进行比较。如果所述比较确定预测结果与测量结果在预定的误差阈值范围内匹配,则模型被认为在步骤318中成功地被校准。否则,对模型306进行改变,并且重复步骤308、310以及316直到使用模型306生成的预测结果与测量结果在预定阈值范围内匹配。
发明人已经注意到,例如那些包含在设计布局302内的测规图案的设计可以极大地影响模型306的精确性和/或需要用以成功地完成校准过程的时间。不幸的是,常规技术没有包含有关如何确定用于校准的测规图案的设计或类型的系统研究。例如,没有有关选择线-间距图案的节距和CD或测规的数量的理论指导。在目前的实际应用中,测规图案的选择还是比较任意的-它们通常通过经验选择或随机地从实际的电路图案中选择。这种测规图案对于校准通常是不完全的或过于完全的,或两者。例如,选择的测规图案都没有有效地将模型参数中的某些模型参数之间区分开,因此由于测量的不精确而难以确定参数值。另一方面,许多图案可能会得出对不同参数变化的非常相似的响应,因此它们中的一些是多余的并且对这些多余的图案的晶片测量浪费了资源。
发明内容
本发明涉及用于设计用来校准在模拟过程中使用的光刻工艺模型的测规图案的计算有效的方法。根据一些方面,本发明涉及设计测规图案的方法,其对参数变化极为敏感,并因此相对于用于成像具有多个特征的目标设计的光刻工艺校准中的随机测量误差是鲁棒的。在一些实施例中,该工艺包括识别最敏感的具有优化的辅助特征布置的线宽/节距组合,其导致相对于参数变化的最敏感CD改变。另一工艺包括设计具有两个主特征的测规。两个主特征的CD之间的差异相对于参数变化极为敏感,因而相对于随机的测量误差和偏置的任何测量误差是鲁棒的(robust)。在还一过程中,图案被设计成导致最敏感的强度。
根据另一方面,本发明包括用于设计测规的方法,该测规最小化上述的简并,并因此最大化用于模型校准的图案覆盖。更具体地,本发明涉及设计测规图案的方法,该方法用最小数量的测规和在用于模拟具有多个特征的目标设计的成像的光刻工艺模型的校准中的相应测量来实现参数变化的完全覆盖。根据一些方面,根据本发明的方法包括将模型参数空间转化为新的空间(基于CD敏感性或ΔTCC),然后重复地或迭代地识别与该新的空间中已有的测规的CD敏感性最正交的方向,以及确定最敏感的具有优化的辅助特征布置的线宽/节距组合,其导致沿模型参数空间内的该方向最敏感的CD的变化。
附图说明
在结合附图阅读下面的本发明的具体实施例的说明之后,本领域技术人员对本发明的这些和其他方面和特征将变得清楚,其中:
图1是示出通常的光刻投影系统的示例性方框图;
图2是示出光刻模拟模型的功能模块的示例性方框图;
图3是示出现有技术的光刻校准工艺的示例性方框图;
图4是根据本发明的实施例的用于设计参数敏感测规的示例性方法的流程图;
图5示出根据本发明的实施例的用于生成辅助特征的坐标系统;
图6示出根据本发明实施例的辅助特征布置的示例;
图7是根据本发明的实施例的在没有任何辅助特征的情况下和具有辅助特征的情况下的线间距图案的CD敏感性之间的对比示例图;
图8是根据本发明的实施例的二维辅助特征布置的示例图;
图9是根据本发明的实施例的基于大的图案组的CD敏感性设计正交测规的示例方法的流程图;
图10是根据本发明的实施例的基于ΔTCC的用于设计正交测规的示例性方法的流程图;
图11是示出可以帮助实施本发明的测规设计方法的计算机系统的方框图;
图12示意地示出适于与本发明的测规设计方法一起使用或应用该方法的光刻投影设备。
具体实施方式
下面将参照附图详细描述本发明,所述附图为本发明的说明性的示例以便本领域技术人员实践本发明。要注意的是,下面的附图和示例并不意味着将本发明的范围限制到单个实施例,相反通过互换所述的或所示的元件的一部分或全部可以得出其他实施例。而且,在使用已知的部件部分地或全部地实施本发明的特定元件的情况下,将仅描述已知部件的那些对理解本发明是必要的部分,并且这些已知部件的其他部分的详细描述将被省略以便不会使本发明变得不清楚。正如本领域技术人员清楚的,如果这里没有具体指出,描述为被软件实施的实施例不应该限制于此,而可以包括在硬件中实施的实施例,或在软件和硬件的组合中实施的实施例,并且反之亦然。在本说明书中,如果这里没有明确地指出,示出单个部件的实施例不应该看作是限制;相反,本发明应该包括其他包含多个相同部件的实施例,并且反之亦然。而且,如果这里没有明确地提出,申请人不希望在说明书或这里提出的权利要求中的任何术语表示不普遍的或特定的含义。而且,本发明包含这里通过示例方式提出的已知部件的现在和将来已知的等价物。
在讨论本发明之前,提供有关将要被校准的整体模拟和成像工艺的简要描述。图1示出示例性的光刻投影系统10。主要部件是:光源12,其可以是深紫外准分子激光器源;限定部分相干性(用σ表示)且可以包括特定源成形光学元件14、16a以及16b的照射光学元件;掩模或掩模版18;以及将掩模版图案的图像形成到晶片平面22上的投影光学元件16c。位于光瞳平面处的可调节的滤波器或孔20可以限制入射到晶片平面22上的束角度的范围,其中最大可能角度限定投影光学元件的数值孔径NA=sin(θmax)。
在光刻模拟系统中,这些主要系统部件可以通过分开的功能模块进行描述,如图2所示。参照图2,功能模块包括:设计布局模块26,其限定目标设计;掩模布局模块28,其限定如何基于目标设计以多边形的形式布局掩模;掩模模型模块30,其对在模拟过程中将要使用的不正常的和色调连续(continuous-tone)的掩模的物理性质进行建模;光学模型模块32,其限定光刻系统的光学部件的性能;以及抗蚀剂模型模块34,其限定在给定工艺中使用的抗蚀剂的性能。正如所知的,模拟过程的结果在结果模块36中产生例如预定的轮廓和CD。
更具体地,应该说明的是,在光学模型模块32中收集的照射和投影光学元件的性质包括但不限于NA-σ(σ)设置以及任何特定照射源形状,其中σ(或sigma)是照射器的外径范围(extent)。涂覆在衬底上的光致抗蚀剂层的光学性质,即折射系数、膜厚度、传播和偏振效应,也被收集作为光学模型模块32的一部分,而抗蚀剂模型模块34描述在抗蚀剂曝光、PEB以及显影期间发生的化学处理的效果,以便预测例如形成在衬底晶片上的抗蚀剂特征的轮廓。掩模模型模块30收集如何在掩模版上布局目标设计特征,并且还包括掩模的详细物理性质的表征,例如在美国专利第7,587,704号中描述的。模拟的目的是精确地预测例如边缘布置和临界尺寸(CD),其随后可以与目标设计对比。目标设计通常被限定为预-OPC掩模布局,并且将以标准数字化文件格式设置,例如GDSII或OASIS。
通常,光学和抗蚀剂模型之间的连接是在抗蚀剂层内的模拟的空间图像强度,其通过光到衬底上的投影、在抗蚀剂界面的折射以及在抗蚀剂膜堆叠中的多次反射产生。通过光子吸收,光强度分布(空间图像强度)被转化为潜在的“抗蚀剂图像”,其进一步通过扩散过程和不同的加载效应进行修正。对全芯片应用足够快的有效的模拟方法通过二维空间(和抗蚀剂)图像近似在抗蚀剂堆叠中的实际的三维强度分布。
因此从上文应该清楚,模型表达式描述了整个过程的全部已知的物理和化学,并且每个模型参数优选地对应不同的物理或化学效应。模型表达式因此设定有关模型用于模拟整个光刻工艺的好坏程度的上限。然而,有时模型参数可能由于测量值和读取误差而不精确,并且在系统内可能存在其他缺陷。在模型参数的精确校准的情况下,可以完成极度精确的模拟。换句话说,现在的模型的校准的精确度很可能是精确度的理论上限的较大的倍数。
存在多种表示模型参数的方法。一种有效的光刻模型的实现可以使用下面的形式,其中图像(这里是标量形式,但是其可以扩展以包括偏振矢量效应)被表示为在光瞳平面上整个信号振幅的傅里叶和。根据标准霍普金斯理论,空间图像(AI)强度可以通过下面的关系式限定:
I(x)=∑k|A(k)∑k′M(k′-k)P(k′)exp(-jk′x)|2
=∑kA(k)2{∑k′k″M(k′-k)P(k′)M*(k″-k)P*(k″)exp(-j(k′-k″)x)}
=∑k′k″[∑kA(k)2P(k+k′)P*(k+k″)]M(k′)M*(k″)exp(-j(k′-k″)x)
=∑k′k″TCCk′,k″M(k′)M*(k″)exp(-j(k′-k″)x)
(等式1)
其中I(x)是在图像平面内的点x处的空间图像强度(为了标记简单,使用由单个变量表示的二维坐标),k表示在源平面上的点,A(k)是来自点k的源振幅,k’和k”是光瞳平面上的点,M是掩模图像的傅里叶变换,P是光瞳函数(M*和P*分别是M和P的复共轭)。
前面推导的一个重要的方面是求和级数(将对所有k的求和移动到内部)和指数(用k+k′替代了k′,并且用k+k″替代了k″)的改变,这导致等式中第三行的方括号内的项限定的并在第四行中示出的传递交叉系数
(TCC)与其他项的分离。换句话说:
TCCk′,k″=∑kA(k)2P(k+k′)P*(k+k″)
这些传递交叉系数与掩模图案无关,因此可以仅使用光学元件或结构(例如NA和σ或具体的照射器轮廓)的知识预先计算。还要注意的是,虽然在给定示例中为了容易解释,从标量成像模型推出(等式1),本领域技术人员也可以将该关系式扩展到矢量成像模型,其中TE和TM偏振光分量分离地求和。
为了清楚,应该注意的是,空间图像强度和TCC之间的关系,即(等式1)可以表示为双线性算子:
I(x)=M*TCC*M*
此外,可以通过仅使用有限数量的主要TCC项计算近似空间图像强度I,通过对角化TCC矩阵并保留对应其最大本征值的项可以确定主要TCC项,即:
TCC k ′ , k ′ ′ = Σ n = 1 N λ n φ n ( k ′ ) φ n * ( k ′ ′ ) (等式2)
其中λn(n=1,2....,N)表示N个最大本征值,Φn(·)表示TCC矩阵的对应的本征矢量。要注意的是,当所有的项被保留在本征级数展开式中时,即N等于TCC矩阵的秩,(等式2)是准确的。然而,在实际应用中,通常通过选择较小的N舍去级数,以便提高计算过程的速度。
因此,(等式1)可以改写为:
I ( x ) = Σ k ′ Σ k ′ ′ TCC k ′ , k ′ ′ M ( k ′ ) M * ( k ′ ′ ) exp ( j ( k ′ - k ′ ′ ) x )
= Σ k ′ Σ k ′ ′ Σ n = 1 N λ n φ n ( k ′ ) φ n * ( k ′ ′ ) M ( k ′ ) M * ( k ′ ′ ) exp ( j ( k ′ - k ′ ′ ) x )
= Σ n = 1 N λ n Σ k ′ φ n ( k ′ ) M ( k ′ ) exp ( j k ′ x ) Σ k ′ ′ φ n * ( k ′ ′ ) M * ( k ′ ′ ) exp ( - j k ′ ′ x )
= Σ n = 1 N λ n | Φ n ( x ) | 2
(等式3)
其中
Figure BPA00001368836600111
和|·|表示复数的量值。
从上面应该清楚地理解,光刻模拟模型参数被收集在TCC中。因此,通过获得高精确的原始TCC项(即在对角化之前)实现在本发明的实施例中的校准模型参数。然而,本发明不限于该示例性的实施例。
单变量参数敏感校准图案组设计
要注意的是,测规包括一个或多个校准图案。当在一个测规中存在多于一个校准图案时,通常多个图案是相同图案的复制本。这允许使用者采用若干个测量值,随后进行平均以减小随机测量误差。
在本发明的第一实施例中,提供测规设计方法以最大化给定量度相对于单个参数中的变化的敏感性。当敏感性被最大化时,抵抗随机测量值误差的鲁棒性也被最大化,这减小了测量值的数量。为了进一步说明这一点,考虑下面的示例。假定如同在通常的校准工艺中那样从CD测量值校准参数P。假定P的真值为P0,并且P的值通过L测规估计。进一步假定使用强力方法校准参数P,即存在P的一组可能的值SP,表示为
SP={P0,P1,P2,...}
对于每个项P′∈SP,例如使用美国专利No.7,003,758和Y.Cao等人在Proc.SPIE,Vol.5754,405(2005)的题为“Optimized Hardware and Software For Fast,Full Chip Simulation”的文章中描述的数字建模系统和方法,可以模拟第l校准图案(l=1,...,L)的最终的CD,表示为CDl(P′)。
接下来,对于每个P′∈SP计算测量的CD和模拟的CD之间的误差,并且选择值P*使得最小化SP的所有项之间的误差。通常,使用均方误差(MSE)测量这种误差,在这种情况下P*可以表示为
P * = arg min P ′ ∈ S P 1 L Σ l = 1 L ( CD ~ l - CD l ( P ′ ) ) 2 (等式4)
其中
Figure BPA00001368836600122
是第l测规(l=1,...,L)的测量的CD值,函数arg min(或arg max)表示最小(或最大)的自变量,即,给定目标函数的值实现其最小值(或最大值)时的给定自变量的值。
在没有CD测量值误差的理想世界,在没有任何近似或数字误差的情况下完美地执行CDl(P’)的计算,使得对于所有的L测规
CD ~ l = CD l ( P 0 )
,并且P’=P0将总是得出测量的CD和从真实参数值模拟的CD之间的最小误差(0)。
不幸的是,在实际应用中,在测量的CD和模拟的CD之间总是存在某些(随机的)的偏差。此时对于第l测规(l=1,...,L),测量的CD值为
CD ~ l = CD l ( P 0 ) + E l
其中El是第l测规的偏差。
对于小的参数变化,可以假定CD和P之间的关系是线性的,即对于第l测规,
CDl(P)=CDl(P0)+Kl(P-P0)
如在通常属于本受让人的美国专利出版物第2009/0157360号中描述的那样。这里CD敏感性(即,量度的一个示例)相对于参数P被限定为:
K l = ∂ CD l ( P ) ∂ P | P = P 0
其在P的变化小时对于每个测规是恒定的。在这种情况下,(等式4)可以写成
P * = arg min P ′ ∈ S P 1 L Σ l = 1 L ( CD ~ l - CD l ( P ′ ) ) 2
= arg min P ′ ∈ S P 1 L Σ l = 1 L ( K l ( P ′ - P 0 ) - E l ) 2
= arg min P ′ ∈ S P 1 L Σ l = 1 L [ ( P ′ - P 0 ) 2 Σ l = 1 L K l 2 - 2 ( P ′ - P 0 ) Σ l = 1 L ( K l E l ) + Σ l = 1 L E l 2 ]
对上面方括号内的表达式相对于P’求导并设定其为零得出以下等式:
2 ( P * - P 0 ) Σ l = 1 L K l 2 - 2 Σ l = 1 L ( K l E l ) = 0
因此,
P * = P 0 + Σ l = 1 L ( K l E l ) Σ l = 1 L K l 2 (等式5)。
注意到,因为El项,参数的校准值不再是其真实的值P0。校准误差被表示为
Δ p = Σ l = 1 L ( K l E l ) Σ l = 1 L K l 2
通过(等式5),可以看到,ΔP越小,校准结果越好。如果CD敏感性Kl的绝对值可以增大,则校准误差可以减小。例如,如果每个测规的CD敏感性增大为NKl,则校准误差减小为原始的1/N。或者反之亦然,如果期望保持相同的校准精确度,可以减小测规的数量和CD测量值的数量,这带来较低的成本。还可以对(等式5)进行简单的概率分析,其中假定这种偏差是随平均数0和方差σ2增加的附加随机变量,并且彼此无关。还可以进一步假定,所有的特征具有相同的CD敏感性Kl,即对于l=1,...,L,Kl=K。因此,
Δ P = Σ l = 1 L E l KL
然后,校准误差的方差为
E ( Δ P 2 ) = Σ l = 1 L E ( E l 2 ) K 2 L 2 = σ 2 K 2 L
再次,每个图案的增大的CD敏感性将减小校准误差的方差。
接下来,这里引入ΔI和ΔTCC的概念。更具体地,假定名义条件,可以计算名义TCC、名义空间图像强度和名义CD。为了研究CD敏感性,将小的扰动加到特定参数,随后研究在这种参数扰动下的CD变化(或ΔCD)。假定名义TCC是TCCNC,则由(等式1)得出名义空间图像强度INC
INC=M*TCCNC*M*
随后假定具有被扰动的参数p的TCC是TCCP,则扰动的空间图像强度IP
Ip=M*TCCp*M*
在下面描述的示例性实施例中,焦点位于空间图像CD变化上(即,CD敏感性是观察的量度)。因此,在空间图像阈值点附近焦点可以在空间图像强度变化上,如下面详细地解释。如果当参数扰动时,测规在阈值点附近具有大的空间图像强度变化,很可能其也具有相对于参数变化的大的CD敏感性。
为了进一步简化问题,研究扰动的空间图像强度(对应扰动的参数值)和名义空间图像强度(对应名义的参数值)之间的差值。这就是所谓的ΔI或ΔIp,即
ΔIp=Ip-INC=M*(TCCp-TCCNC)*M*
ΔTCC项指的是在上面圆括号内的项,即
ΔTCCp=TCCp-TCCNC
通过求TCCp和TCCNC之间的一项接一项的矩阵差值可以计算ΔTCC(即上面等式的ΔTCCp)。应该注意到,ΔTCC(即ΔTCCp)、TCCp和TCCNC都是对角化之前的“原始”矩阵形式。
然后,ΔI可以被看作由ΔTCC和原始掩模图像得出的空间图像强度,即在掩模图像和ΔTCC上的双线性算子。在一个实施例中,与(等式2)和(等式3)类似,执行ΔTCC的本征分解以加速ΔI的计算。在该实施例中,ΔTCC的本征值可以是负的,因而具有最大绝对值的本征值应该被保持。
在此基础上,将描述根据本发明的用于设计一维最大敏感性测试测规的不同的实施例。在第一示例性实施例中,方法包括找到最大化每个参数的敏感性的行/间隔图案和相关的辅助特征。结合附图4中的流程图描述该实施例。然而,该实施例及其替换的实施方式的更多的内容将在对图4的说明之后给出。
首先,在步骤S402,识别名义条件设置,对于所有相关的参数其是一组参数值。接下来,在步骤S404,对每个参数开始回路。首先,在步骤S406,对参数应用扰动值,扰动值通常是产生上述的ΔTCC的小的量。在某些实施例中,扰动值是将引起最重要的图案的CD变化为大约10nm的量。这里,基于CD改变和小的参数变化之间的关系是相当线性的事实,进行应用。此外,给定图案的CD敏感性被限定为名义CD值和扰动的CD值(即特定参数值是其名义值和扰动之和时的CD值)之间的差值。正如上面所述,这可以固有地通过由该ΔTCC得到的ΔI识别。
到目前为止,实际的校准图案的设计还没有被涉及,前面的步骤集中在成像上。在步骤S408,回路开始,针对参数的每个可能的或允许的值(例如线宽或校准光栅的节距)。在步骤S410,对于目前的行/间隔图案,放置辅助特征以相对于该参数最大化CD敏感性。接下来,在循环所有可能的主特征线宽和节距组合(包括相反的色调)之后,如下面详细地描述的,在步骤S412,识别导致最大的(绝对值)CD敏感性的组合。该组合对应扰动状态的校准图案。当与对应参数的名义值的校准图案结合使用时,可以校准模型。
在对于所有参数产生优化的具有辅助特征的校准图案之后,在步骤S414输出一组最终的校准图案。该组包括根据名义参数的校准图案以便允许对比。通过对所有参数使用相同的名义值,仅使用单个校准图案分别校准所有的参数。在一个实施例中,使用多个校准图案以允许获得多个测量值以改善校准的精确性。
在该实施例中,假定空间图像强度的改变和由此带来的空间图像CD的改变代表晶片CD的改变。在模型方面(例如图2),不使用抗蚀剂模型34(正如上所解释的,光学模型和抗蚀剂模型之间的连接是抗蚀剂层内的模拟的空间图像强度)。因此,可以单纯基于TCC在步骤S410识别优化的辅助特征位置。这种假定显著地减小设计复杂性,从下面的说明书中将更清楚地看到。
进一步,如在步骤S408中提出的,基于所有可能的主特征线宽和节距的最敏感校准图案组的完全的搜索代价太高。因此,本发明的实施例采用若干个近似值以减少计算的复杂度。
首先,为了识别优化的主特征节距,本发明的发明人通过模拟认识到,在步骤S408中不需要循环针对所有可能的节距值。原因在于,对于每个测规,如果仅测量中心主特征,则其他主特征也可以看作中心特征的辅助特征。因此,理论上最理想的是,从无穷大的节距开始,即具有极大的节距的孤立的主特征或线间隔图案。这也与如果辅助特征布置方法是最佳的、则设置辅助特征的间距越大,CD敏感性越高的观察结果是一致的,因为更多的辅助特征对敏感性起作用。然而,在实际应用中,通常优选测规包含若干重复的主特征,使得可以在不同位置处获得测量值,然后进行平均以便减小(随机的)测量误差。因此,期望具有测规宽度的1/4或1/5的节距(其通常是几微米),使得节距仍然相当大并且对于每个测规可以测得四个或五个测量值。
对于最敏感主特征线宽的识别,本发明的发明人认为,可以在不伤害性能的情况下将识别最敏感CD的过程与增加辅助特征的过程分开。更具体地,注意到,有理由假定没有任何辅助特征的具有最敏感CD的线宽,当被增加辅助特征时,接近具有辅助特征的具有最敏感CD的线宽。因此,另一种近似是首先搜索不具有任何辅助特征的最敏感主特征CD,然后增加辅助特征至孤立的具有该CD值的主特征。
本发明的发明人还认识到,还可以在没有完全搜索的情况下预先确定主特征的最优化的线宽。假定二维图像的ΔTCC矩阵是ΔTCC(kx1,ky1,kx2,ky2)。一维线-间距图案的ΔTCC矩阵可以被改写为ΔTCC(kx1,kx2)=ΔTCC(kx1,0,kx2,0),并且在图像平面中的相应的核是ΔW(x,y)。指数kx1,ky1,kx2,ky2是对应于上面所述的频率域指数k’,k”的二维指数。
然后,通过使用逆傅里叶变换过程将(等式1)从光瞳平面改变到图像平面,或等同地从频率域改变到空间域,线-间距掩模图案M(x)的ΔI变成
ΔI(x)=∫∫M(ξ1)M*2)ΔW(x-ξ1,x-ξ2)dξ12
假定图案M(x)中的线宽是LW,即M(x)是具有LW线宽的孤立的线。此外,在不失一般性的情况下,假定无衰减的暗场掩模(对于其他情形的结果是非常类似的),则
Figure BPA00001368836600181
上述方法仅对空间图像阈值附近的空间图像强度感兴趣。这种阈值对应于在光刻工艺中使用的将被抗蚀剂层(光敏层)接收的最小强度(在抗蚀剂层被激活之前)。此处,为了清楚,可以假定该点靠近两个掩模图像边缘位置,x=±LW/2。此外,因为对称,仅需要看单个点x=LW/2,即可以关注ΔI(LW/2),其可以简化为
ΔI ( LW / 2 ) = ∫ ξ 1 = - LW / 2 LW / 2 ∫ ξ 2 = - LW / 2 LW / 2 ΔW ( LW / 2 - ξ 1 , LW / 2 - ξ 2 ) d ξ 1 d ξ 2
= ∫ ξ 1 = 0 LW ∫ ξ 2 = 0 LW ΔW ( ξ 1 , ξ 2 ) d ξ 1 d ξ 2
该表达式将CD敏感性(如由ΔI(LW/2)所表示的)与主特征的线宽LW联系起来,因此允许确定最大化CD敏感性的线宽。因此,在用于确定优化的线宽和节距的过程如上所述被简化的情形下,通过使用上述等式识别给定参数(对于两个相反的色调)的最敏感线宽和节距,可以实施结合图4描述的校准图案设计的一般方法的步骤S408到S412,并且对于具有识别的线宽和节距的主特征,放置辅助特征以最大化相对于该参数的CD敏感性。
对于后一步骤,本发明的发明人已经开发出有利的用于放置辅助特征以最大化给定主特征的CD敏感性的方法。在多个实施例中,方法包括识别辅助特征引导图(AFGM),其与在PCT申请出版物WO 2008-151185中描述的SGM类似。下面介绍两个替换的用以计算AFGM的方法。
第一种方法称为单核近似。通过(等式3),还可以在空间域内将ΔI计算表示为:
ΔI = L 1 * ( M ⊗ F 1 ) 2 + L 2 * ( M ⊗ F 2 ) 2 + . . . + L N * ( M ⊗ F N ) 2
其中:M是在空间域(通常是真实的)内的掩模图像;N是ΔTCC的本征值的数量;F1到FN是对应于每个TCC项的实空间滤波器(即,φ1到φN的逆傅里叶变换的实部);L1到LN是每个ΔTCC项的对应本征值;
Figure BPA00001368836600194
表示卷积,“*”是常规的乘法运算。可以在不失一般性的情况下假定|L1|≥|L2|...≥|LN|。
在单核近似中,重点在于来自与具有最大绝对值的本征值相对应的核的空间图像强度。然后通过忽略比例因子L1
ΔI ≈ ( M ⊗ F ) 2
其中F=F(x,y)是标量场,并且可以通过在“近-相干”(即对于任何的n=2,3...N,|Ln|/|L1|<<1)情况下的F1被良好地近似。
对于掩模上的每个场点x’,这种近似放置假想的点源δ(x-x′)作为辅助特征,并研究在掩模边缘附近该点源对空间图像强度ΔI的变化的贡献。如果该贡献是正的,则意味着如果辅助特征包含该点,则空间图像强度的改变(ΔAI或ΔI)将增大。这意味着,对于与扰动的参数值相对应的校准图案将该点增加到辅助特征会对该组校准图案对于参数改变的敏感性作出贡献。因此,对应扰动参数值的校准图案的辅助特征应该包括该点。
对于每个场点x’,具有点源的空间图像强度的改变(ΔI)为
Δ I x ′ = ( ( M + δ ( x - x ′ ) ) ⊗ F ) 2
要注意的是,卷积运算是线性的,因此在与空间图像强度中不同参数有关的改变(ΔI)中与场点有关的改变为(由放置点源所引起的)
Δ I x ′ - ΔI = ( ( M + δ ( x - x ′ ) ) ⊗ F ) 2 - ( M ⊗ F ) 2
= 2 ( δ ( x - x ′ ) ) ⊗ F ) ( M ⊗ F ) + ( δ ( x - x ′ ) ) ⊗ F ) 2
= 2 F ( x - x ′ ) ( M ⊗ F ) + ( F ( x - x ′ ) ) ) 2
假设采用实掩模,则表示点源对所有掩模边缘位置的贡献的AFGM是
AFGM ( x ′ ) = ∫ x | dM ( x ) dx | [ Δ I x ′ - ΔI ] dx
= ∫ x | dM ( x ) dx | [ 2 F ( x - x ′ ) ( M ⊗ F ) + ( F ( x - x ′ ) ) 2 ] dx
= 2 ( | dM ( x ) dx | ( M ⊗ F ) ) ⊗ F ( - x ) + | dM ( x ) dx | ⊗ F 2 ( - x )
使用傅里叶变换,可以用频率域中的乘法替换空间域内的卷积,使得:
AFGM ( x ′ ) = 2 IFFT { FFT { | dM ( x ) dx | ( M ⊗ F ) } FFT { F ( - x ) } }
+ IFFT { FFT { | dM ( x ) dx | } FFT { F 2 ( - x ) } }
其中FFT(.)是傅里叶变换运算,IFFT(.)是逆傅里叶变换运算。频率域运算的一个优点在于,FFT{F(-x)}和FFT{F2(-x)}与掩模无关,因此一旦光学条件是固定的,则它们可以进行预先计算。
计算AFGM的第二实施例被称为多核近似。在多核近似中,掩模透射率M(x)被分成预-OPC分量(MT)、辅助特征(AF)分量(MA)以及OPC校正分量(MC),即:
M(x)=MT(x)+MA(x)+MC(x)
如果
MK(x)=MT(x)+MC(x)
表示后-OPC布局透射率,则通过应用(等式1)的逆傅里叶变换(即,空间域表达式),空间图像强度的改变(ΔI)为
ΔI ( x ) = ∫ [ M K ( x 1 ) + M A ( x 1 ) ] [ M K * ( x 2 ) + M A * ( x 2 ) ] ΔW ( x - x 1 , x - x 2 ) d x 1 dx 2
= ∫ [ M K ( x 1 ) M K * ( x 2 ) + M A ( x 1 ) M K * ( x 2 ) + M K ( x 1 ) M A * ( x 2 ) + M A ( x 1 ) M A * ( x 2 ) ]
ΔW ( x - x 1 , x - x 2 ) d x 1 dx 2
= Δ I K ( x ) + ∫ [ M A ( x 1 ) M K * ( x 2 ) + M K ( x 1 ) M A * ( x 2 ) ]
ΔW ( x - x 1 , x - x 2 ) dx 1 d x 2
其中,ΔW(x,y)是ΔTCC的空间域表达式,ΔIT(x)是没有辅助特征的情况下空间图像强度的ΔAI改变(ΔI)。在实际应用中,发明人注意到上述等式中的下面的项可以忽略:
∫ M A ( x 1 ) M A * ( x 2 ) ΔW ( x - x 1 , x - x 2 ) dx 1 d x 2
因为MA(与AF分量相关)与MK相比通常是小的。
而且,为了从保留的项导出AFGM表示式,假定掩模布局的AF部分中x′处的单元源,即,
MA(x)=δ(x-x′)
x′处的该单元源给在x处的空间图像强度的改变(ΔI)贡献下面的量:
ΔI ( x ) - Δ I K ( x ) = ∫ [ M A ( x 1 ) M K * ( x 2 ) + M K ( x 1 ) M A * ( x 2 ) ] ΔW ( x - x 1 , x - x 2 ) dx 1 dx 2
= ∫ [ δ ( x 1 - x ′ ) M K * ( x 2 ) + M K ( x 1 ) δ ( x 2 - x ′ ) ] ΔW ( x - x 1 , x - x 2 ) dx 1 dx 2
= ∫ M K * ( x 2 ) ΔW ( x - x ′ , x - x 2 ) dx 2 + ∫ M K ( x 1 ) ΔW ( x - x 1 , x - x ′ ) dx 1
从场点x到源点x′的表决权重等于预OPC图像的梯度,使得
d M R ( x ) dx = 1 2 d dx [ M T ( x ) + M T * ( x ) ]
对于AFGM,需要确定的是该点源作为辅助特征在空间图像强度阈值附近所有位置处仅通过主特征将是加强还是削弱空间图像强度的改变(ΔI)。因而在每个位置,在没有任何辅助特征的情况下,该工艺将来自点源的贡献乘以空间图像强度的改变(ΔI)。将所有空间图像强度轮廓位置上的这个值加起来之后,正的AFGM值意味着该点将加强CD敏感性,对于负值,反之亦然。假定实施OPC过程使得空间图像强度轮廓在OPC之后与预-OPC边缘位置匹配,则可以在预-OPC掩模图像的梯度是非零的所有点上对来自点源的贡献求和。结果,在x′处的AFGM值等于
V ( x ′ )
= ∫ | d M R ( x ) dx | 2 Δ I K ( x ) [ ΔI ( x ) - Δ I K ( x ) ] dx
= ∫ | d M R ( x ) dx | 2 Δ I K ( x ) [ ∫ M K * ( x 2 ) ΔW ( x - x ′ , x - x 2 ) dx 2 + ∫ M K ( x 1 ) ΔW ( x - x 1 , x - x ′ ) dx 1 ] dx
为了简单,使
R ( x ) = | d M R ( x ) dx | 2 Δ I K ( x )
然后,对于上面括号内的第一积分使用变量的改变,即,x=x’-ζ1,x2=x’-ζ2,对于上面括号内的第二积分使用x1=x’-ζ1,x=x’-ζ2,则
V ( x ′ )
= ∫ R ( x ) M K * ( x 2 ) ΔW ( x - x ′ , x - x 2 ) dx dx 2 + ∫ R ( x ) M K ( x 1 ) ΔW ( x - x 1 , x - x ′ ) dx dx 1
= ∫ R ( x ′ - ξ 1 ) M K * ( x ′ - ξ 2 ) ΔW ( - ξ 1 , ξ 2 - ξ 1 ) d ξ 1 d ξ 2 + ∫ R ( x ′ - ξ 2 ) M K ( x ′ - ξ 1 ) ΔW ( ξ 1 - ξ 2 , - ξ 2 ) d ξ 1 d ξ 2
通常,掩模图像MK是实的,因而
V(x′)=∫R(x′-ξ2)MK(x′-ξ1)[ΔW(-ξ2,ξ12)+ΔW(ξ12,-ξ2)]dξ12
(等式6)。
AFGM双线性核(AFGK)与频率域中的ΔTCC相关:
AFGK(k1,k2)
=∫[ΔW(-ξ2,ξ12)+ΔW(ξ12,-ξ2)]exp(-ik1ξ1+ik2ξ2)dξ12
=∫ΔW(ξ1′,ξ2′)exp(-ik12′-ξ1′)-ik2ξ1′)dξ1′dξ2
+∫ΔW(ξ1′,ξ2′)exp(-ik11′-ξ2′)-ik2ξ2′)dξ1′dξ2
=ΔTCC(k2-k1,-k1)+ΔTCC(k1,k1-k2)
与TCC不同,AFGK的厄米矩阵性不再保证。
实际应用的困难在于,如果直接使用该公式,则同时出现两个原始TCC,如果TCC大这可能是不可行的(例如,如果TCC的每个尺寸是具有浮点数据类型的107,则总的存储需求超过2G字节)。因此,期望使得计算“处于合适位置”。为了实现这个目的,AFGK可以分解为
TCC1(k1,k2)=ΔTCC(k1,-k2)
TCC2(k1,k2)=TCC1(k1,k2-k1)=ΔTCC(k1,k1-k2)
AFGK ( k 1 , k 2 ) = TCC 2 ( k 1 , k 2 ) + TCC 2 * ( - k 1 , - k 2 )
其中每一步骤是适当的(in-place)
另一实际考虑在于,使用用于计算速度和存储的本征级数展开,TCC因为它们的厄米矩阵性通常被分解成卷积核。虽然AFGK不必是厄米共轭的,但可以对其应用奇异值分解(SVD),即
Figure BPA00001368836600251
其中λn(n=1,...,N)表示N个最大的本征值,φn(·)和分别表示相应的矩阵的左和右本征矢量。要注意的是,当所有的项被保留在SVD展开式中时,即当N等于AFGK矩阵的秩时,(等式6)是准确的。然而,在实际应用中,通常通过选择较小的N对级数舍项以提高计算过程的速度。
然后,在频率域内改写等式6得出:
V ( x ) = ∫ ξ 1 ∫ ξ 2 R ( x - ξ 2 ) M K ( x - ξ 1 ) [ ∫ k ′ ∫ k ′ ′ AFGK ( k ′ , k ′ ′ ) exp ( i ( k ′ ξ 1 - k ′ ′ ξ 2 ) ) dk ′ dk ′ ′ ] d ξ 1 d ξ 2
= ∫ k ′ ∫ k ′ ′ AFGK ( k ′ , k ′ ′ ) [ ∫ ξ 2 R ( x - ξ 2 ) exp ( - i k ′ ′ ξ 2 ) d ξ 2 ∫ ξ 1 M K ( x - ξ 1 ) exp ( ik ′ ξ 1 ) d ξ 1 ] dk ′ dk ′ ′
Figure BPA00001368836600255
= Σ n = 1 N λ n Φ n ( x ) Γ n * ( x )
其中
Figure BPA00001368836600257
Figure BPA00001368836600258
分别是R(x)和MK(x)的傅里叶变换;
Figure BPA00001368836600259
Figure BPA000013688366002510
注意的是,可以首先将OPC应用到主特征,然后使用该公式生成AFGM,从AFGM引出辅助特征,并且应用另一OPC的圆化(round)以在预OPC边缘位置附近固定印刷轮廓。
为了加快过程,可以在AFGM的计算中跳过第一OPC圆化(round)并让MK(x)=MT(x),换句话说,忽略MK(x)=MT(x)+中的MC(x)项,因为MC(x)相对于MT(x)通常较小。
在计算AFGM(单核或多核AFGM)之后,从该灰度水平图中引出辅助特征。在没有任何应用约束的情况下,可以简单地将辅助特征放置在每个具有正的AFGM值的像素上。然而,在实际应用中,并不希望这样操作,因为这可能影响掩模的可制造性。例如,目前的技术不允许非常小的辅助特征。
对于一维测规设计,根据本发明的实施例存在三种相关的在布置辅助特征时应该考虑的掩模规则检查(MRC)约束条件:(1)应该存在最小辅助特征宽度(Wmin),即,对于任何辅助特征,其宽度应该不小于(Wmin);(2)在辅助特征和主特征之间应该存在最小间隔(Smain),即,任何辅助特征和主特征之间的间隙应该不小于(Smain);和(3)在任何两个相邻的辅助特征之间应该存在最小间隔(SAF),即,对于任何两个辅助特征,其之间的间隙应该不小于(SAF)。
接下来,将描述根据本发明的实施例的如何在这些MRC约束条件下放置辅助特征以使得总的AFGM值最大(因此使CD敏感性最大)的方法。在一些方面,该方法与美国专利申请第11/757,805号中的基于SGM的SRAF规则生成类似。
图5示出用于使用根据本发明的AFGM的实施例生成辅助特征的坐标系统图。其中主特征510和辅助特征(未示出)被假定为与它们的宽度相比具有无穷大的长度,即,它们都是一维图案。任何两个相邻的主特征510之间的间隔是主特征之间的特定间隔值,其等于节距和主特征510线宽之间的差值。随后使用或不使用OPC生成主特征510的AFGM。
如图所示,坐标系被施加到主特征510上,其中y轴与任意的主特征的边界一致,x轴垂直于主特征510。在图中,在502处x=0和在504处x=间隔。这些点对应相邻的主特征510的边界。对于这种一维图案,AFGM也是一维的。因此,将仅研究任何两个相邻主特征510之间的AFGM值,表示为S(x)=AFGM(x,0),其中x=[0,1,...间隔],例如任意点506。然后,这种一维特征的辅助特征引出问题转变为将区间[0,间隔]分区成n个较小的区间[x1s,x1e],[x2s,x2e],...[xns,xne],其中0≤x1s<x1e<x2e<...<xns<xne≤间隔。每个区间表示辅助特征,即,第i个AF(1≤i≤n)可以描述成x1s≤x≤xie
确定优化的辅助特征布置相当于最大化由经受MRC规则和可能的辅助特征可印刷性约束条件的所有辅助特征覆盖的总的AFGM值。让Si等于由第i个辅助特征(1≤i≤n)覆盖的AFGM值,则由所有辅助特征覆盖的总的AFGM值为
Σ i = 1 n S i = Σ i = 1 n Σ x = x is x ie S ( x )
在布局中放置AF存在五个约束条件:(1)最小辅助特征宽度(Wmin),即,对于任何i=(1,2,...n),xie-xis≥Wmin;(2)最大辅助特征宽度(Wmax),即,对于任何i=(1,2,...n),xie-xis≤Wmax;(对于特定应用,对最大可能的辅助特征宽度可能存在有限的约束条件,例如辅助特征将不印刷。如果不存在这种约束条件,Wmax可以看作等于∞);(3)任何辅助特征和任何主特征之间的最小间隔(Smain),即,x1s≥Smain并且xne≤space-Smain;(4)任意两个相邻的辅助特征之间的最小间隔(SAF),即,对于任何i=(1,2,...n),xis-x(i-1)e≥SAF;以及(5)对于任何i=(1,2,....n),Si≥0。(即使AFGM值是最大的可能值,也不需要用负的AFGM值放置辅助特征)。
假定在约束条件(Wmin,Wmax,Smain,SAF)下[0,间距]的总的优化方案(分区)为Ruleopt={[x1s,s1e],[x2s,x2e],....[xns,xne]],则第i(1≤i≤r)个辅助特征覆盖[xis,xie]。而且,对于任意i=(2,...,n),在相同的约束条件下{[x1s,x1e],[x2s,x2e],...[x(i-1)s,x(i-1)e]}也是[0,xis-SAF]的优化分区(否则,如果存在[0,xis-SAF]的更好的分区,则其可以与Ruleopt中的第i、i+1,...,n个辅助特征布置结合,并且以比Ruleopt好的且仍然满足所述约束条件的规则设置,这与Ruleopt的最优性矛盾)。
因此,区间[0,间隔]被分成较小的区间,并且基于动态程序设计构造算法。假定间隔≥2Smain+Wmin,这种算法的加和遵循:
输入:间隔,S(x),其中x=[0,1,...,间隔],以及约束条件(Wmin,Wmax,Smain,SAF)
中间结果:
NumAFArray[x](x=[0,1,...,space-Smain]):具有space-Smain+1数量并且NumAFArray[x]存储[0,x]的优化分区的辅助特征的数量的阵列
AFAFGMArray[x](x=[0,1,...,space-Smain])具有space-Smain+1数量并且AFAFGMArray[x]存储由[0,x]的优化分区的辅助特征覆盖的总的AFGM的阵列
AFLeftEndArray[x](x=[0,1,...,space-Smain]):具有space-Smain+1数量并且AFLeftEndArray[x]存储由[0,x]的优化分区的最右边辅助特征的左端的坐标(对应最大的xis,使得xie≤x)的阵列
AFRightEndArray[x](x=[0,1,...,space-Smain]):具有space-Smain+1数量并且AFRightEndArray[x]存储由[0,x]的优化分区的最右边辅助特征的右端的坐标(对应最大的xie,使得xie≤x)的阵列
初始化:
对于所有的x=[0,1,...,space-Smain],设NumAFArray[x]和AFAFGMArray[x]为零
AF计算:
Figure BPA00001368836600291
Figure BPA00001368836600301
输出:NumAFArray[space-SAF],AFLeftEndArray[x](x=[0,1,...,space-SAF]),以及AFRightEndArray[x](x=[0,1,...,space-SAF])
图6示出根据本发明的实施例生成的一维最大敏感性测规的示例,其中图案602是周期性主特征,图案604是基于AFGM放置的辅助特征。应该注意的是,可以存在并且优选地存在比图6中示出的多的主特征602。
图7对比了没有任何辅助特征702的情况下线-间距图案的CD敏感性(实线)与具有辅助特征704情况下的线-间距图案的CD敏感性(虚线)相对于σ的变化。所有的主特征线宽都为80nm,同时x轴以nm为单位显示节距。当σ从0.8改变到0.83时,Y轴以nm为单位显示了AI CD变化。辅助特征显著地改善了CD敏感性。进一步,对于小节距,因为MRC,因而辅助特征布置的有限的间距、纯的线-间距图案的CD敏感性与具有辅助特征的情况下来自测规的几乎相同。当节距变得相当大(>1500nm),则最大敏感测规的CD敏感性稳定在最大值周围,这与前面的描述一致:对于主特征,优选选择大的节距。
上面描述了如何识别最敏感的主特征线宽和如何使用辅助特征加强来自主特征的CD敏感性,使得最终的测规具有最大(绝对值)的CD敏感性。本发明的发明人已经注意到,这些方法是多方面的,在小的符号改变的情况下它们可以用于设计不同用途的测规,例如具有最大正CD敏感性的测规,具有最大负CD敏感性的测规以及具有最小CD敏感性的测规(即最不敏感的测规)。
尽管上面主要讨论一维测规的设计,可以以相同的方法计算二维主特征的AFGM,例如接触点(contact)。可以从经受可能的MRC约束条件的二维AFGM引出(extract)二维辅助特征。例如,图8示出接触点806作为主特征和辅助特征(在周围区域内的黑色环802和点804)的图像。辅助特征设计成提高相对于σ变化的敏感性。本领域技术人员认识到如何将本发明的一维测规设计方法延伸用以基于上面提供的示例设计二维测规。
应该注意的是,这些最大的敏感测规掩模本身可以具有所有可能的使用上述方法设计的图案,覆盖最可能的光学设置和最可能的参数变化的范围。然而,对于每一个特定光刻工艺,不需要对它们所有执行实际的SEM测量。需要获得SEM数据的图案可以在名义参数(例如NA、σ、膜堆叠)已经知道之后进行分析。则全部的校准流程可以如下:印刷测规掩模、确定将要获得的SEM测量值的大致的数量,随后使用“最大敏感测规选择”算法分析名义模型并选择将要通过SEM测量的测规图案组,随后获得SEM测量值。在该“选择”工艺中的算法可以基于上述的方法。这些选择的图案将代表SEM测量值的优化组。
在另一实施例中,用不同的主特征线宽设计测规。这种方法被称为空间差异ΔTCC(SDDTCC)设计。在该设计方法中,方法在每个测规中放置两个主特征并且使用两个CD之间的差异校准参数。这种设计的一个优点在于:只要这两个主特征相当地或适当地接近,就消除偏差中的任何测量误差。两个主特征线宽(用LW1和LW2表示)和主特征之间的中心到中心的距离(表示为s)足以描述主特征。再次,对于每个将要校准的参数和(LW1、LW2、s)的每个组合,增加辅助特征以提高ΔCD(两个主特征的CD之间的差异)的敏感性。然后工艺循环覆盖所有可能的(LW1、LW2、s)以识别优化的组合。此外,可以应用前面的实施例中使用的相同的近似或逼近以加快设计。尤其地,s的值越大,来自辅助特征的效果越好。这是因为更大的间距容纳更多的辅助特征并且主特征也可以被看作彼此的辅助特征。在这种情况下,因为s相对于LW1和LW2相当大,可以将SDDTCC设计分断为两个独立的最大敏感测规设计:SDDTCC测规的左半部分实际是具有最大的正CD敏感性的测规;而SDDTCC测规的右半部分实际是具有最大的负CD敏感性的测规。
还应该注意的是,在某些强度已知(例如特定掩模模型校准)的应用中,可以设计基于强度的测规,其最大化相对于参数变化的强度敏感性。这里,参数变化可以仍然用ΔTCC表示。与基于CD的校准类似,提高强度敏感性也导致更好的抵抗测量误差或较少测量值的鲁棒性。有利地,设计一种掩模,其最大化在特定点处的强度敏感性。在不失一般性的情况下,假定感兴趣的点是原点,即,等式3中的x=0。对于掩模图像M(x),还应该存在对强度规范的约束条件以去除缩放效应(scaling effect),为了清楚,假定该规范满足
| | M ( x ) | | = Σ x | M ( x ) | 2 ≤ 1 .
正如所知的,等式(3)中的本征矢量φn(k)是从厄米共轭的ΔTCC的本征级数展开得到的,它们是正交的,即,
对于任何n,
Figure BPA00001368836600322
对于任何n1≠n2
Figure BPA00001368836600323
或者,换句话说,
Σ k φ n 1 ( k ) φ n 2 * ( k ) = δ ( n 1 - n 2 )
然后,基于本征矢量可以在空间域内建立完全正交的坐标系,并且任何灰度级掩模图像的傅里叶变换可以被表示为所有的本征矢量的线性组合,即
Figure BPA00001368836600331
通过掩模图像强度约束条件和帕舍伐尔定理(Parseval′s Theorem),
在不失一般性的情况下,假定本征值被排序成使得
1|≥|λ2|≥|λ2|≥...
因此,第一本征矢量φ1(k)对应于ΔTCC的最重要的本征值。随后通过等式(3),在x=0处从掩模图像M(k)得到的空间图像强度的改变(ΔI)为
Figure BPA00001368836600333
= Σ n λ n | Σ k φ n ( k ) Σ m c m φ m * ( k ) | 2
= Σ n λ n | Σ m Σ k c m φ n ( k ) φ m * ( k ) | 2
= Σ n λ n | Σ m c m δ ( n - m ) | 2
= Σ n λ n | c n | 2
因为存在约束条件:
Σ n | c n | 2 ≤ 1
和假定条件
1|≥|λ2|≥|λ3|≥...,
ΔI ( 0 ) = Σ n λ n | c n | 2 ≤ Σ n | λ 1 | | c n | 2 = | λ 1 | Σ n | c n | 2 ≤ | λ 1 |
类似地,
ΔI(0)≥-|λ1|。
因此,如果设计掩模,其是ΔTCC的最重要的核φ1(k)的逆傅里叶变换,即cn=δ(n-1),则其具有最大的(绝对值)空间图像强度敏感性。这种掩模图案与ΔTCC“共振”。
多变量校准图案设计
上面描述了用以设计对一个具体光学参数极为敏感(例如在CD、CD差异或空间图像强度方面)的测规的方法,其可以被看作模型参数空间的具体的方向。
在上面的方法中,所述工艺使用TCC’s来计算预测的CD并执行测规设计,并且参数扰动被以ΔTCC’s表示。因此,对于每一个给定的参数P,所述方法通过保持其他参数不变的同时将单个参数P变为P+ΔP来形成ΔTCC’s。
然而,正如前面提到的,对于某些参数,本发明的发明人认识到使用上面方法设计的测规对于若干个不同的参数变化表现出相当类似的行为;因此,使用这种测规组将这些参数的变化区分开是极为困难的,因而测规组可能在单独地校准这些参数方面不全面(即,参数之间的简并(degeneracy))。使用一个简单的示例,假定存在两个参数P0和P1和仅一个测规,预测的测规CD和参数P0和P1之间的关系为CD=k+g(P0+hP1),其中k、g以及h是与P0和P1无关的任意常数,通过下面将更加清楚。在通常的校准中,所述工艺首先测量实际的晶片CD,
然后所述工艺寻找包括P0和P1的所有参数的值,使得它们最小化预测的CD和测量的晶片CD之间的误差,如在上面的等式(4)中限定的。对于这个示例,任何参数P0和P1的值使得
P 0 + h P 1 = ( CD ~ - k ) / g
将导致对于g、h以及k的任何值的最小化校准误差(即,预测的CD减去测量的CD等于零),其中g是CD和参数之间的比例因子。换句话说,不能确定P0和P1的唯一的值;因此,通过这种校准工艺建立的模型仅可以对于这种特定测规良好地工作,但是它不能收集所有可能的图案的行为。因此,很明显,设计最大化对于不同参数变化的差异响应以实现充分的图案覆盖的测规是至关重要的。
通常,下面提供的实施例提供若干个不同的方法以设计极为不同地响应不同的参数变化、以便实现完整的图案覆盖的测规。下面讨论的焦点在于CD敏感性;然而,本领域技术人员将会认识到,这里介绍的所有实施例是多用途的,使得它们可以应用至其他测量中,例如CD差异敏感性,或者空间图像强度敏感性。本发明的发明人发现,为了实现最完整的图案覆盖,测规应该最大程度地且清楚地激励所有将要被校准的物理和化学参数,由此确保用于测规的晶片数据可以驱使模型校准得到优化的参数值。
在第一实施例中,生成测规组以最大化对不同参数变化的成对的差异CD敏感性。这里最终的测规被称为最大Δ-参数-差异测规(MDPDG)。具体地,在每对参数之间,本发明生成成对的测规,其最大化相对于Δ-参数-差异的CD敏感性。如果存在N个参数,则存在对或N(N+1)个测规。对于每对测规,该实施例规定,存在至少两个测规,表示为(ΔP1,-ΔP2)和(ΔP1,ΔP2),其中ΔP1和ΔP2分别表示参数P1和P2的扰动量。更具体地,对于(ΔP1,ΔP2),本发明的该实施例设计测规,该测规在参数P1从其名义值P10改变为P10+ΔP1并且同时P2从其名义值P20改变为P20-ΔP2时最大化CD敏感性。
在该实施例中,测规设计方法优选与在单变量校准图案设计部分中教导的用于最大化相对于单个参数的CD敏感性的测规相同。更具体地,如在上面的有关单变量校准图案设计的实施例中实施的最大化相对于P1变化的CD敏感性的测规的设计可以被看作根据本实施例的(ΔP1,0)的MDPDG(ΔP1=P1+ΔP,其中ΔP是小的扰动量)。本实施例将上面的实施例中的方法延伸以找到一对MDPDG测规(ΔP1,ΔP2)和(ΔP1,-ΔP2),它们分别同时在参数P1从其名义值P10改变为P10±ΔP1并且P2从其名义值P20改变为P20±ΔP2时都最大化CD敏感性(ΔP1和ΔP2是小的扰动量,并且可以或可以不彼此相同)。
例如,不跟上面的实施例一样仅使用仅收集一个参数扰动的ΔTCC设计每个参数的单个测规,本实施例为每一对参数设计一对测规。因此,本实施例使用如上面提到的都收集两个参数扰动的一对相应的ΔTCC,即一个基于(ΔP1,ΔP2)的ΔTCC和一个基于(ΔP1,-ΔP2)的ΔTCC。然后在上面的实施例中描述的设计测规方法中这些ΔTCC代替这里描述的单个参数ΔTCC。
该方法直接地最大化不同的行为以使参数变化不同。因此,不像设计用以最大化单个参数的测规,MDPDG应该保证完全的图案覆盖,给定足够数量的测规。
如果将要被校准的参数的数量小,则所需的MDPDG的数量不太大。例如,如果存在N=5个光学参数,上述方法将需要N*(N-1)=20个测规的设计,这是容易处理的。然而,如果参数的数量增大,则最终的测规的数量增加得非常快并且将是O(N2)数量级。在那种情况下,在实施例中手动干预或人工智能算法(例如支持矢量机器)被用于将参数分成多个较少相互关系的组。然后,在组之间的简并性弱的情况下,在每个组内部设计成对的MDPDG。
对于多变量校准图案设计使用根据该实施例的MDPDG设计,测规的最小所需数量减小为N*(N-1)个测规。在大多数实际情况下,这已经比“全芯片”图案组或其他表示产品中的图案多样性的图案组好得多。附加地,所述方法不依赖于实际产品中的在基于校准模型初始化光刻工艺之后产生的多样性。理论上说,假定在CD敏感性和参数变化之间存在良好的线性并且在从模型参数空间转变到CD敏感性空间过程中没有简并(从模拟和实验来说这个假定通常是正确的。还要说明的是,此处该简并不是前面讨论的从模型参数空间到非常有限的测规组的简并,而是从模型参数空间到CD敏感性空间或所有可能的测规图案的简并),并且假定晶片测量误差可以忽略,则应该存在充分覆盖N个参数变化的N个测规。然而,MDPDG设计需要至少N*(N-1)个测规,这暗示在测规设计中一定的冗余,并且需要测量值的量更多。
多变量正交校准图案设计
现在描述根据一种用以设计具有充分图案覆盖的测规的方法的附加实施例。在该方法中的最终的测规数量是O(N)量级。当仅需要O(N)量级的测规时,需要较少的计算运行时间。此外,较少的测规必须被物理测量,这减小了量测成本和时间约束条件。
发明人已经说明,某些参数由于其接近的物理关系而具有强的简并性,例如与焦点和空间图像位置有关的参数。因而许多图案显示对这两个参数非常类似的响应。此外,所有参数的CD敏感性在轮廓处通常与空间图像强度和/或抗蚀剂图像强度斜率有关,而剂量敏感测规的设计目标是减小在轮廓处的空间图像/抗蚀剂图像强度斜率。因此剂量敏感测规不仅对剂量变化敏感,而且它们对许多其他参数也非常敏感并因此被选作对于这些参数的特定测规。因此,将一个参数的行为与其他参数区分开是极为困难的,因而确定校准中这些参数的精确值是困难的。结果,将在测规对不同参数变化的响应中的这种简并破坏是有利的。
因此,在下面的设计实施例中,一个方面是在N维模型参数空间中确定N个正交的方向。这种测规因此被称为正交测规。第一个这样的实施例依赖于表示将要基于校准的模型制造的产品图案的所有方面的一个大组测试校准图案。第二个这样的实施例是基于TCC中的改变(ΔTCC)。要注意的是,可以在不了解将要成像的图案的情况下计算TCC。因此,这导致第二实施例与遵循一个大组的测试校准图案的要求无关。
在描述根据该实施例的测规设计的详细内容之前,提供在图案覆盖测量方面的技术的简单介绍,这可以帮助理解本发明的测规设计过程。通过特定的价值函数,例如在美国专利申请第61/140,812(P-3365)号的[0051]段中描述的价值函数,测量图案覆盖,该专利申请由本受让人所有且通过参考全文并入,其中通过在校准中应用该测规组之后的剩余CD预测不确定性来测量测规组的图案覆盖。在该实施例中,本发明优选在测规组设计中使用相同的价值函数定义。下面详细描述该价值函数的详细内容以及测规设计的详细内容,其在一定程度上有助于教导本领域技术人员有关本发明的该实施例的原理。
假定存在总数量G(G>>N)个图案,其表示第g(g=1,...,G)个测规对模型参数Pn(n=1...N)的敏感性为
K ng = ∂ CD g ( P n ) ∂ P n | P n = P n 0 ,
其中Pn0是参数Pn的名义值。在每个点都是预期测试图案的N维敏感性空间中成像图,其中坐标Kng表示在第n个轴线上的第g个点。每个轴线对应一个参数,并且每个点对应特定图案相对于所有N个参数改变的敏感性。与美国专利申请第61/140,812(P-3365)号中用于图案选择的方法类似,该测规设计还可以描述为敏感性空间中设计的测规的包含容量(enclosed volume)的最大化。对于给定的测规的目标数量L,该方法尝试设计L个图案,这得出敏感性空间中的最大包含容量。该方法抑制简并,因为简并将导致小的包含容量。下面,解释用以找出实现该最大化的图案的具体过程。
该方法的下一步骤是执行对敏感性矩阵Kng的主分量分析(PCA,也称为Karhunen-Loeve转换(KLT),Hotelling转换或正常正交分解(POD))。在这种数学严格的方法中,识别敏感性矩阵的主分量。它们是N维模型参数空间中收集敏感性中大多数变化的方向,并且作为PCA的结果,这些方向彼此正交(垂直)。依此方式,最大敏感性要求和最小简并要求可以同时满足。
该实施例的一部分的简要说明如下,然而更多的细节将在下文中提供。该方法中的接下来的步骤是找出模型参数的置信区域。然后,有关置信区域的价值函数被设计成优化目标。可以使用的一个价值函数是最小平方优化的正规方程(normal equation)中的协方差矩阵的逆的主对角线元素之和(trace)(参见下面的数学表达式的说明)。本发明的发明人认识到,该置信区域的最小化等同于敏感性空间中包含容量的最大化。但是需要一些改进来处理模型参数之间的相互作用,因为它们具有不同的单位,并且通常不期望这些单位的选择应该导致在测规设计中某些参数超过其他参数受到偏爱。
在设计价值函数之后,该方法的下一步骤是找出预测测试图案CD的置信区域。为了解决处理具有不同单位的模型参数的问题,算法计算模型参数不确定性并且模拟它们对CD预测不确定性的影响。该问题可以解释如下:如从模型参数的置信区域所估计的那样,设计具有L个测规的组,其最小化对测试图案的目标组(其可以是G训练图案本身的组或来自真实产品布局的另一图案组)的CD预测中的不确定性的均方误差(the sum-of-squared-errors uncertainty)。
下面将详细地描述根据本发明实施例的、基于上述置信区域的、用于设计光刻模型校准的测规的价值函数的数学表达式的特定方面。
系数p还用于与光刻过程中实际的物理和化学性质相对应,而m用于与该过程的模型描述中的参数的名义值相对应。此外,让Pp和Pm表示物理参数值和名义模型参数值,并使用共同的参数系数j表示实际参数值Ppj和名义模型参数值Pmj之间的概念上的对应关系。
让CD表示候选测试图案的值,由此实际的模型校准的设计测规的图案覆盖将被估计。更具体地,让CDp表示这些图案的测量值的组,CDm表示相同图案的名义模型预测值的组。然后模型校准的任务将是确定Ppj,给定的Pmj、CDp以及CDm作为输入。
在模型校准的情况下(实际上,对于许多其他应用,例如扫描器匹配,这个近似仍然有效),可以进行假定,在校准期间物理和模型参数的变化在一个小的范围内。因此,可以假定线性的表达式对于所考虑的小的值差异是合适的。因而,对给定图案i对参数j的模拟的图案敏感性可以描述为
K m , n , g = ∂ CD m , g ∂ P m , n
图案覆盖测量以及由此测规设计将基于这种敏感性矩阵Km。假定为了测规设计的目的,由相当精确的名义模型描述(m)计算的敏感性矩阵将是足够的,因为相当精确的名义模型描述将敏感性引入到线性范围内。
从线性化的模型校准等式开始
CD p , g - CD m , g = Σ n K m , n , g ( P p , n - P m , n )
这通常是过确定等式(即,测规的数量大于参数的数量),使得实际的参数Ppj可以适于最小平方意义(sense)。考虑到晶片测量值CDp,i具有固有的不确定性(用σg表示),等式可以以矩阵Ax=b的形式改写并且使用正规方程表达式(AT A)x=ATb求解,其中
Ang=Km,n,gg,xn=Pp,n-Pm,n,bg=(CDp,g-CDm,g)/σg
矩阵C=(AT A)-1与估计的参数x的可能的或标准的不确定性非常相关,因为该矩阵是x的协方差矩阵(参见例如W.H.Press,Cambridge,U.K.:Cambridge Univ.Press,(1992)的题名为“Numerical Recipes in C:The Art of Scientific Computing”的书):
σ2(xj)=Cjj,Cov(xj,xk)=Cjk
参数的置信区域可以从协方差矩阵得出,例如在Cambrige University Press,1992的W.H.Press,S.A.Teukolsky等人的题名为“Numerical Recipes in C,The Art of Scientific Computing”2d ed.的书第15章中描述的那样。如果选择最小化该置信区域(定义为置信椭圆的主轴尺寸平方的和,或单个参数的不确定性水平平方的和)在特定置信水平上的“尺寸”,该价值函数将实际上等于矩阵C的主对角线元素之和。
上面的价值函数定义包含由于参数单位的人为选择而引起的不想要的任意性。一个替换的用以避免这种任意性的方法是在大的图案空间上将参数的估计的协方差矩阵投影到CD预测误差,并且对于所有的图案CD预测使用误差平方的和作为价值函数。在实际使用中,可以使用全芯片图案组或代表图案的预定组(即,练习图案组),其可以仿真全芯片图案行为。关键在于图案组(或多个图案的组)应该覆盖所有可能的想要的校准图案(和对应名义参数值的图案)。如果“全芯片”图案组具有全敏感性矩阵A,则这种更新的价值函数将采用下面的形式
并且该函数的最小化将用于在最终的实施中推动测规设计。
为了实现上述的价值函数的最小化,本发明的实施例实施对整个敏感性矩阵A的主分量分析,并且设计最大化在N维敏感性空间中的多个方向(其中沿着所述多个方向,矩阵A中的大多数变化被分布)的CD敏感性的测规。其敏感性大多数与这些主方向对准的最终的测规将是最有效地减小价值函数的那些。
上面已经介绍了有关将要使用的价值函数的详细内容,根据本发明的该实施例的校准测规设计方法将在下文中结合图9中的流程图进一步介绍。
在一个实施例中(图9),通过使用例如机器设定数据、工具上量测数据以及工具设计数据生成(S902)N个模型参数的初始估计。如上所述,让p描述光刻工艺中的实际物理和化学性质,而m是该工艺的名义模型描述。如上进一步描述的,让Pp和Pm分别表示物理参数值和名义模型参数,并使用共同的参数系数n表示实际参数Ppn和名义模型参数Pmn之间的概念上的对应关系。
在步骤S904,确定表示将要应用模型的产品中的图案多样性的大的图案集合(例如“全芯片”图案组)。例如,用户可以将在所需的芯片设计中出现的全部图案收集到该集合中。
在步骤S906,基于初始的模型估计,使用本申请前面提出的对于Kng的等式计算将在校准过程期间校准的所有图案g对每个模型参数n=1、...、N的敏感性Kng,其中使用本领域技术人员熟知的空间图像模拟技术和对应每个参数的ΔTCC计算在该等式中的预测的CD。在一个示例中,每个测试图案(g=1...G,其中G是总的测试图案数量)对应一个点,或相当于,在N维敏感性空间中的一个矢量(因为存在N个模型参数)。所有这些点可以看作累积地形成全芯片图案组的敏感性“云”。
在步骤S908中,使用矩阵A=K/σ对全芯片图案组的敏感性“云”中的多个点执行PCA。更具体地,计算每个主分量对敏感性变化的贡献。使用矩阵AT A的本征分解可以确定A的PCA,这得出对角本征值矩阵D和主方向矢量(本征矢量)V,即
AT A=VDVT,WT=I
并且对角矩阵包含奇异值,即
D = diag [ λ 1 2 , λ 2 2 , · · · , λ N 2 ]
其中I是单位矩阵。不失一般性,假定|λ1|≥|λ2|≥...|λN|。
在步骤S910中,如上所述的用本征值和本征矢量表示的AT A的PCA结果被看作嵌入在N维模型参数空间中的椭圆表面(ES)。
在步骤S912中,选择对应最大奇异值的主方向(λ1),并且该方向被定义为V1,其是N维模型参数空间中的方向。应该注意的是,本征矢量Vk可以改写为Vk=[Vk1,Vk2,...,VkN]T
在步骤S914中,系数k,对应将要被校准的模型参数空间中的方向,用1初始化。此外,生成第一当前工作的子空间(WSS)作为全部N维模型参数空间。
在步骤S916,设计最大化对模型参数方向Vk的敏感性的一个或多个测规。在该步骤中,假定使用有限差分方法计算CD敏感性
K m , n , g = ∂ CD m , g ∂ P m , n
(即,第g个图案的CD敏感性相对于第n个模型参数的变化)。例如,在将小的扰动(表示为ΔPm,n)加到第n个模型参数时计算第g个图案的CD变化(表示为ΔCDm,n,g)。则Km,n,g被计算为
K m , n , g = Δ CD m , n , g Δ P m , n .
为了设计最大化方向Vk的敏感性的测规,首先计算对于Δ参数(Vk1ΔP,Vk2ΔP,...,VkNΔP)的ΔTCC。更具体地,这包括计算第n个模型参数被VkjΔP(对所有j=1,2,...,N)扰动时的被扰动的TCC矩阵和名义TCC矩阵之间的元素与元素的差值。例如,ΔP被设定成一个值使得CD敏感性为大约10nm。然而,对于小的参数变化(ΔP),这种与CD的关系是线性的。然后,使用以上单变量参数敏感校准图案设计实施例中所描述的方法设计用于最大化对该ΔTCC的CD敏感性的测规(代替早先描述的单变量(单参数)ΔTCC)。应该注意的是,对于每个方向Vk,可以设计若干个CD敏感性稍微小于最敏感测规的CD敏感性的测规。然而,这些不同的测规的每一个将具有稍微不同的模型参数方向,这导致更多的测规并因此导致抵抗误差(例如测量误差)的更高的鲁棒性。在根据本实施例的校准图案组中,存在优化的抵抗误差(例如测量误差)的鲁棒性。
在步骤S918,计算在步骤S916中获得的测规的实际敏感性(用Wk表示)。这例如使用与上面相同的等式来完成,但是使用在S916中设计的实际的测规图案。应该注意的是,该敏感性矢量通常不与Vk完美地对齐。
在步骤S920,通过去掉敏感性方向Wk将当前工作的子空间WSS减小至较小的尺寸。换句话说,WSS被减小至与Wk正交的子空间。
在步骤S922,k被增加1。限定新的方向Vk,其是椭圆表面ES和当前使用的子空间WSS之间的交集中的最长矢量。
在步骤S924,对图案组的剩余部分重复步骤S916到步骤S922,直到参数空间的维数被全部讨论过。
由此,该过程实现对每个参数的覆盖,即在模型校准阶段将不留下任何参数不被约束。在量测时间限制内,期望包括更多的测规以加强覆盖,例如提高抵抗晶片测量误差的鲁棒性。通过增加在每个步骤S916中设计的测规的数量可以增加测规的总的数量,因而增加后来的方向。但是最小的测规数量是N,即将要被校准的模型参数的数量,其与前面给定的(理论)分析一致。
前面提到的方法实现设计具有全部图案覆盖的O(N)个测规的目标。然而,对于某些应用可能具有特定的缺点。特别地,PCA和因此的参数方向依赖于可以是全芯片图案或表示图案的大的集合(如上所述)的大的训练图案组的识别。这在实际应用中可能是困难的:首先,可能难以确定真实地表示的训练图案。即使使用全芯片图案组,如果对不同设计布局的相同光刻条件的校准使用测规,其仍然可能变得不匹配。其次,计算和处理大的图案组的敏感性矩阵可能是成本高的。基于这些原因,期望设计一种方法,其仅依赖于模型并且不依赖于初始的图案组的选择。
因此,将结合图10中的流程图描述基于从ΔTCC识别参数方向设计校准测规的方法的另一实施例。通常,在前面的实施例中,优化是基于CD敏感性的平方的和的平方根。在本实施例中,替代地,优化基于ΔTCC矩阵的希尔伯特-史米特准则(Hilbert-Schmidt norm),即TCC矩阵元素的平方的和的平方根。
正如前面的实施例,如图10所示,在步骤S1002,通过使用例如机器设定数据、工具上量测数据以及工具设计数据的任何组合生成N个模型参数的初始估计。如上所述,让p描述光刻工艺中的实际物理和化学性质,而m是该工艺的名义模型描述。如在先进一步描述的,让Pp和Pm分别表示物理参数值和名义模型参数值,并使用共同的参数系数n表示实际参数Ppn和名义模型参数Pmn之间的概念上的对应关系。
在步骤S1004,本实施例首先计算所有参数扰动的ΔTCC。这例如通过名义TCC矩阵和被扰动的TCC矩阵之间的元素与元素的差值来完成。将TCCj表示为由对参数Pn的小的扰动ΔPn得来的ΔTCC。
接下来,在步骤S1006中,每个ΔTCC矩阵被改写成矢量。这是如何完成的并不影响结果,但是,所有的ΔTCC矩阵应该以相同的级布置。因此矢量的数量是N,即模型参数的数量。然后将格莱姆-施密特(Gram-Schmidt)转换应用到这些矢量,其中内积限定成与希尔伯特-史米特(Hilbert-Schmidt)准则一致(两个ΔTCC的对应的矩阵元素之间的积的和)。特别地,两个ΔTCC:ΔTCC1=ΔTCC1(k1,k2)和ΔTCC2=ΔTCC2(k1,k2)之间的内积为
⟨ Δ TCC 1 , Δ TCC 2 ⟩ = Σ k 1 , k 2 Δ TCC 1 * ( k 1 , k 2 ) Δ TCC 2 ( k 1 , k 2 ) ,
其中*表示复共轭的。
矢量的希尔伯特-史米特准则也被看作矢量与其自身的内积的平方根,即,
Figure BPA00001368836600462
此外,投影算符被限定为
proj Δ TCC 1 Δ TCC 2 = ⟨ Δ TCC 1 , Δ TCC 2 ⟩ ⟨ Δ TCC 1 , Δ TCC 1 ⟩ Δ TCC 1
在格莱姆-施密特转换中,生成新的一组N个正交矢量(Z1,Z2,...,ZN)。每个新的矢量具有与ΔTCC相同数量的元素,因此ΔTCC的内积、希尔伯特-史米特准则以及投影算符的定义也可以应用到新的矢量和ΔTCC。则(Z1,Z2,...,ZN)被计算为:
Z1=ΔTCC1
Z 2 = Δ TCC 2 - proj Z 1 Δ TCC 2
.
.
.
Z N = Δ TCC N - Σ n = 1 N - 1 proj Z n Δ TCC N
最后,ΔTCC的格莱姆-施密特转换被定义为另一组N个正交矢量(Y1,Y2,...,YN),其是(Z1,Z2,...,ZN)的归一化(normalization),即对于任意的n=1、2、...N,Yn=Zn/‖Zn‖。要注意的是,因为作为正交化过程的结果,每个Zn是ΔTCC的线性组合,每个Yn,其是Zn除以其长度,也是ΔTCC的线性组合,即
Y n = Σ k = 1 N h nk Δ TCC k
其中,hnk是仅依赖于ΔTCC的恒定的系数。随后,准确地使用相同的系数转换参数空间。例如,生成正交化的参数矢量的组qn,(n=1,...,N),其中qn=(hn1ΔP1,hn2ΔP2,...,hnNΔPN)。每个qn矢量表示N维参数空间中的一方向,其导致范数(norm)为1的ΔTCC,并且在假定所有的ΔTCC相对于小的参数变化是线性的条件下,所有的最终的ΔTCC都是彼此正交的。
在步骤S1008,本实施例接下来限定具有单位半径的q空间内的超球面HS。
在步骤S1010,k被初始化为1,并且当前使用的子空间WSS被初始化为全部N维模型参数q空间,并且V1被初始化为q空间内的单位长度的一些任意矢量。这种选择是任意的,这种情况下用超球面代替椭圆表面。
接下来在步骤S1012中,计算对应Vk的ΔTCC。与上面结合步骤S916所描述的实施例类似,然后使用上面的单变量参数敏感测规设计实施例的技术设计测规用于最大化该ΔTCC的CD敏感性。使用这个ΔTCC可以设计若干个不同的测规,进一步类似上面描述的实施例。而且,可以在步骤S1010中使用不同的V1设计不同的测规。
进一步,与上面结合步骤S918描述的实施例类似,在步骤S1014中计算测规的实际敏感性。在这种情况下,新的测规图案必须被投影到q空间。然后调用限定在q空间中的敏感性矢量Wk。其在除Vk以外的多个方向上可以具有分量。
与上面结合步骤S920描述的实施例类似,在步骤S1016中,通过去掉Wk敏感性方向而将当前使用的子空间WSS减小至较小的尺寸。换句话说,WSS被减小至与Wk正交的子空间。
在步骤S1018,k被增加1。此外,限定新的方向Vk,其是超球面HS和当前使用的子空间WSS(其是较小尺寸的超球面)之间的交集中的任意矢量。
在步骤S1020,重复步骤S1012到S1018直到q空间的维数被全部详细讨论。
在允许更多测规图案的实施例中,上面的步骤被包含在较大的循环中。在较大的循环的每一次重复中,存在不同的用于从超球面选择矢量的方式。当然,也可以对每一个方向生成若干个测规,并且后续方向的数量可以增加。再次,测规的最小数量是N,即将要被校准的模型参数的数量,这与理论分析一致。
在图9和图10中描述的实施例之间存在许多相似点。但是,存在两个主要的区别:
1.注意的是,矩阵g不必是正交的。这是与基于PCA的工艺的主要的概念差别。
2.与图9中的过程的另一差别在于量度的选择,图10中的过程是基于来自所选的图案组的ΔTCC而不是基于CD敏感性。这个区别不依赖于前面的差异,因而可以考虑,例如对CD敏感性使用格莱姆-施密特工艺,或对ΔTCC使用PCA。因为在新工艺中量度是基于ΔTCC,因此其消除了图案依赖。而且,这种量度也可以基于空间图像或抗蚀剂图像,或一维ΔTCC,如在美国专利申请第61/113,024(P-3314)号中描述的那样,这里通过参考全文并入。此处,一维ΔTCC被限定为ΔTCC的一维分量。
特别地,对于二维掩模图像M(kx,ky),全部Δ空间图像可以表示为
ΔI ( x , y ) = Σ ( kx 1 , ky 1 , kx 2 , ky 2 ) ΔTCC ( k x 1 , k y 1 , k x 2 , k y 2 ) M ( k x 1 , k y 1 ) M * ( k x 2 , k y 2 ) exp ( - j ( k x 1 - k x 2 ) x - j ( k x 2 - k y 2 ) y )
现在考虑一维(例如垂直)掩模。其频率表示式M(kx,ky)是MX(kx)δ(ky),其中δ(ky)是狄拉克-Δ函数。对于该掩模图像,Δ空间图像强度被计算为:
ΔI ( x , y ) = Σ ( k x 1 , k x 2 ) ΔTCC ( k x 1 , 0 , k x 2 , 0 ) M X ( k x 1 ) M X * ( k x 2 ) exp ( - j ( k x 1 - k x 2 ) x )
正如预期的,Δ空间图像强度不依赖于y。类似地,响应于一维水平图案的系统响应被完全压缩在ΔTCC(0,ky1,0,ky2)中。
本发明的发明人认识到,通常的二维掩模图像在频率域内x轴和y轴周围能量最集中。这个事实也可以被理解为是掩模几何结构的曼哈顿属性的原因。例如,可以执行掩模图像的SVD(奇异值分解),即将M(k1,k2)表示为一维垂直的和一维水平图像的乘积的和,即
M ( k x , k y ) = Σ n M X , n ( k x ) M Y , n ( k y )
通常,MX,i或MY,i的DC(零频率)分量将支配所有的AC(非零频率)分量。因此,当在频率域看到掩模图像时,他们应该真正在x和y轴附近具有能量的大多数。因此,通常可以仅关注ΔTCC的一维分量以便收集最重要的性质。
因此,一维ΔTCC被限定为二维ΔTCC的一维分量的集合。更具体地,对于表示为ΔTCC(kx1,ky1,kx2,ky2)的二维ΔTCC,一维ΔTCC被限定为
Figure BPA00001368836600501
图11是示例性方框图,其示出计算机系统100,该计算机系统可以执行这里公开的校准测规设计方法的特定功能。计算机系统100包括用于通信信息的总线102或其它通信机构,以及与总线102耦合的用于处理信息的处理器104。计算机系统100还包括耦合至总线102用于存储将由处理器104执行的指令和信息的主存储器106,例如随机存取存储器(RAM)或其它动态存储装置。主存储器106也可用来存储在将由处理器104执行的指令的执行期间的临时变量或其它中间信息。计算机系统100还包括用来存储用于处理器104的指令和静态信息的、耦合至总线102的只读存储器(ROM)108或其它静态存储装置。提供有存储装置110,如磁盘或光盘,并将其耦合至总线102用来存储信息和指令。
计算机系统100可通过总线102耦合至显示器112,例如用来显示信息给计算机用户的阴极射线管(CRT)或平板或触摸板显示器。包括字母数字键和其它键的输入装置114耦合至总线102,用于对处理器104进行信息和命令选择通信。另一种类型的用户输入装置是光标控制器116(如鼠标、轨迹球或光标方向键),用于与处理器104进行方向信息和命令选择通信并用于控制光标在显示器112上的移动。这种输入装置通常在两个轴线(第一轴线(如x)和第二轴线(如y))上具有两个自由度,这允许所述装置指定平面上的位置。触摸板(显示屏)显示器也可用作输入装置。
根据本发明的一个实施例,通过计算机系统100响应于处理器104执行包含在主存储器106内的一个或多个指令的一个或多个序列,可以执行校准测规设计工艺的一部分。这些指令可以从例如存储装置110等另一计算机可读介质被读入到主存储器106。包含在主存储器106内的指令序列的执行引起处理器104执行这里所述的工艺步骤。还可以采用在多处理布置中的一个或多个处理器以执行包含在主存储器106中的指令序列。在替换的实施例中,可以使用硬连线电路代替软件指令或与软件指令结合以实现本发明。因此,本发明的实施例不限于任何具体的硬件电路和软件的组合。
这里使用的术语“计算机可读介质”指的是任何介质,其参与提供指令给处理器104以用于执行。这种介质可以采用许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,如存储装置110。易失性介质包括动态存储器,例如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括包含总线102的导线。计算机可读介质的一般形式包括例如软盘、软碟、硬盘、磁带,任何其它磁性介质,CD-ROM、DVD、Blu-Ray,任何其它光介质,穿孔卡片、纸带,任何其它具有孔图案的物理介质,RAM、PROM和EPROM、FLASH-EPROM,任何其它存储器芯片或卡带,或任何其它计算机可读取的介质。
计算机可读介质的各种形式可以涉及将一个或多个指令中的一个或多个序列传送至处理器104以便执行。例如,指令可能最初存在于远端计算机的磁盘上。远端计算机可将指令加载到其动态存储器中并采用调制解调器经由电话线发送指令。位于计算机系统100本地的调制解调器可接收电话线上的数据并利用红外发送器将数据转换成红外信号。耦合到总线102的红外检测器可接收承载在红外信号中的数据并将数据置于总线102上。总线102将数据传送到主存储器106,其中处理器104从主存储器106中获取并执行指令。被主存储器106接收的指令在其被处理器104执行之前或之后可选择地存储在存储装置110上。
计算机系统100还优选包括耦合到总线102的通信接口118。通信接口118提供耦合至连接到本地网络122的网络链接120的双向数据通信。例如,通信接口118可以是用以提供至相应类型电话线的数据通信连接的综合服务数字网(ISDN)卡或调制解调器。作为另一示例,通信接口118可以是用以提供至可兼容的LAN的数据通信连接的局域网(LAN)卡。也可采用无线链接。在任何这样的实施方式中,通信接口118发送并接收携带表示各种类型信息的数字数据流的电学的、电磁的或光学的信号。
网络链接120通常通过一个或多个网络向其它数据装置提供数据通信。例如,网络链接120可提供通过本地网络122到主机124的连接或到由互联网服务提供商(ISP)126运行的数据设备的连接。ISP 126则反过来通过如今通常称为“互联网”128的全球分组数据通信网络提供数据通信服务。本地网络122和互联网128都采用携带数字数据流的电学的、电磁的或光学的信号。通过各种网络的信号和网络链接120上的并通过通信接口118的信号,是传输信息的载波的示例性形式,其中所述信号向计算机系统100加载数字数据和从计算机系统100获取数字数据。
计算机系统100可通过网络、网络链接120和通信接口118发送信息并接收数据,包括程序代码。在互联网示例中,服务器130可通过互联网128、ISP 126、本地网络122和通信接口118发送应用程序所需要的代码。根据本发明,例如,一种下载的应用可以提供实施例的照射优化。接收到的代码当其被接收时可通过处理器104来执行,和/或存储在存储装置110或其它非易失性存储中用于后续执行。以这种方式,计算机系统100可获得载波形式的应用代码。
图12示意地描述其模拟的性能和/或模型参数可以使用本发明的工艺校准的示例性光刻投影设备。所述设备包括:
-辐射系统Ex、IL,其用于提供投影辐射束PB。在这个特定情形中,辐射系统还包括辐射源LA;
-第一载物台(掩模台)MT,其设置有用于保持掩模MA(如掩模版)的掩模保持装置,并连接到用以相对于物体PL精确定位掩模的第一定位装置;
-第二载物台(衬底台)WT,其设置有用于保持衬底W(如涂覆有抗蚀剂的硅晶片)的衬底保持装置,并连接到用以相对于物体PL精确定位衬底的第二定位装置;
-投影系统(“透镜”)PL(如折射式的、反射式的或反射折射式的光学系统),其用于将掩模MA的被辐射部分成像到衬底W的目标部分C(如包括一个或多个管芯)上。
如这里描述的,该设备是透射型的(也就是具有透射式掩模)。然而,通常,例如,它也可是反射型的(具有反射式掩模)。可选地,该设备可采用另一种图案形成装置作为掩模使用的替换;示例包括可编程反射镜阵列或LCD矩阵。
源LA(例如汞灯或准分子激光器)产生辐射束。例如,该束直接地或在穿过诸如扩束器Ex等调节装置之后,进入照射系统(照射器)IL。照射器IL可包括用于设定所述束中的强度分布的外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)的调节装置AD。此外,它通常包括各种其它部件,例如积分器IN和聚光器CO。以这种方式,照射到掩模MA上的束B在其横截面上具有所需的均匀性和强度分布。
应该注意,关于图12,源LA可以位于光刻投影设备的壳体内(例如,当源LA是汞灯时,通常是这种情况),但是它也可远离光刻投影设备,源LA产生的辐射束被引导进入所述设备(如通过合适的定向反射镜的帮助);当源LA是准分子激光器(如基于KrF、ArF或F2产生激光)时,通常是后面的这种情况。本发明的各种实施例至少包含这些情形中的这两者。
束PB随后与保持在掩模台MT上的掩模MA相交。在穿过掩模MA后,束PB穿过透镜PL,该透镜将束PB聚焦到衬底W的目标部分C上。在第二定位装置(和干涉测量装置IF)的帮助下,衬底台WT可以被精确地移动以便例如将不同目标部分C定位于束PB的路径中。类似地,例如在从掩模库机械获取掩模MA之后,或在扫描期间,可以将所述第一定位装置用于相对于所述束PB的路径精确地定位所述掩模MA。通常,可以通过图12中未明确示出的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现载物台MT、WT的移动。然而,在晶片步进机(与步进扫描工具相反)的情形中,掩模台MT可仅连接到短行程致动器,或可以是固定的。
所述的工具可以在两个不同的模式中使用,包括:
-在步进模式中,将掩模台MT保持基本静止,并且将整个掩模图像一次投影(即,单次“闪”)到目标部分C上。然后将所述衬底台WT沿X和/或Y方向移动,使得可以用所述束PB辐射不同的目标部分C;
-在扫描模式中,基本上使用相同的情形,除了给定目标部分C不在单次“闪”中曝光。替代地,掩模台MT在给定方向(所谓“扫描方向”,如y方向)上是可移动的,并具有速度v,以使得投影束PB扫描掩模图像;同时,衬底台WT沿相同或相反的方向以速度V=Mv同时地移动,其中M是透镜PL的放大倍数(通常,M=1/4或1/5)。以这种方式,在不必牺牲分辨率的情况下,可以曝光相对大的目标部分C。
这里公开的概念可以模拟或数学建模任何一般用于成像亚波长特征的成像系统,并且对新兴的能够生成日益更小尺寸的波长的成像技术尤其有益。已经使用的新兴技术包括EUV(极紫外)光刻技术,其能够使用ArF激光器产生193nm波长,甚至使用氟激光器生成157nm波长。此外,EUV光刻技术能够使用同步加速器或通过用高能量电子击中材料以产生位于20-5nm范围内的光子而产生在20-5nm范围的波长。因为大多数材料在这个范围内是吸收的,通过具有多层钼和硅叠层的反射镜可以产生照射。多层叠层反射镜具有40层钼和硅对,其中每层的厚度等于1/4波长。在X射线光刻技术中甚至可以产生更小的波长。通常,同步加速器被用于产生X射线波长。因为大多数材料在x射线波长是吸收的,因此吸收材料的薄片限定将在什么位置印刷(正的抗蚀剂)或不印刷(负的抗蚀剂)。
虽然这里公开的概念可以用于在例如硅晶片等衬底上成像,但是应该理解,所公开的概念可以与任何类型的光刻成像系统一起使用,例如那些用于在不是硅晶片的衬底上成像的系统。
虽然已经参照优选的实施例描述了本发明,但是本领域普通技术人员应该清楚,在不脱离本发明的精神和范围的情况下可以在形式和细节上作出改变和修改。未决的权利要求包含这些改变和修改。

Claims (29)

1.一种设计用于校准光刻工艺的模拟模型的测试测规的方法,所述方法包括步骤:
识别所述模拟模型的参数;和
计算图案中优化与所述参数相关的量度的特征的宽度和间距的一个或多个。
2.如权利要求1所述的方法,还包括:
计算布置在所述图案中用于进一步优化所述量度的一个或多个辅助特征。
3.如权利要求1-2中任一项所述的方法,其中,计算所述图案的步骤包括确定优化的线宽。
4.如权利要求1-3中任一项所述的方法,其中,所述量度是对由所计算的图案得到的空间图像中的临界尺寸的敏感性。
5.如权利要求4所述的方法,其中,所述计算步骤包括:
确定所识别的参数的扰动值;
使用所述扰动值计算Δ算符;以及
使用Δ算符计算所述空间图像。
6.如权利要求5所述的方法,其中,所述Δ算符包括传递交叉系数。
7.如权利要求1-6中任一项所述的方法,其中,所述图案是一维的。
8.如权利要求1-6中任一项所述的方法,其中,所述图案是二维的。
9.如权利要求3所述的方法,其中,所述计算步骤初始地设定所述图案具有接近无限大的节距,并且所述计算步骤还包括确定孤立的线宽。
10.如权利要求2所述的方法,其中,计算所述一个或多个辅助特征的步骤包括:计算用于所述图案中的辅助特征的布置的引导图。
11.如权利要求2所述的方法,其中,计算所述一个或多个辅助特征的步骤包括:将可制造性约束条件应用到辅助特征的尺寸和间距。
12.如权利要求2所述的方法,其中,计算所述一个或多个辅助特征的步骤包括:将所述辅助特征特征化为点源,并且估计点源对所述量度的影响。
13.一种设计用于校准光刻工艺的模拟模型的测试测规的方法,所述方法包括步骤:
识别所述模拟模型的至少两个不同的参数;和
设计用于校准所述模拟模型的所述参数的一个或多个测试测规,包括最大化相对于所述参数对第一变化的敏感性的至少一个第一测试测规,和最大化相对于所述参数对第二变化的敏感性的至少一个第二测试测规,其中所述第二变化显示与所述第一变化基本上正交。
14.如权利要求13所述的方法,其中,所述设计步骤包括:
设计最大化所述参数中的第一和第二参数之间的成对的敏感性的一对测试测规。
15.如权利要求13所述的方法,其中,所述设计步骤包括:
基于识别的参数建立模型参数空间;
识别所述模型参数空间中的方向;和
设计最大化对参数中与所识别的方向相关的改变的敏感性的一个或多个测试测规。
16.如权利要求15所述的方法,其中,所述设计步骤还包括:
识别与所设计的测试测规的实际敏感性相关的方向;
从所述模型参数空间去除该方向;和
迭代地识别新的方向,设计最大化所述新的方向中的敏感性的测试测规,识别与实际敏感性相关的方向,以及从所述模型参数空间去除该方向。
17.如权利要求15-16中任一项所述的方法,其中,建立所述模型参数空间的步骤包括:
识别图案组;和
计算所识别的模型参数对所述图案组中的图案的敏感性。
18.如权利要求15-16中任一项所述的方法,其中,建立所述模型参数空间的步骤包括:
计算与所识别的模型参数中的各个参数相关的扰动的组;和
将所述扰动的组分解以形成多维空间。
19.如权利要求18所述的方法,其中,所述扰动通过来自名义模拟模型的传递交叉系数(TCC)中的差异而被特征化。
20.如权利要求13-19中任一项所述的方法,其中,设计一个或多个测试测规的步骤包括:确定包括测试测规的图案的最敏感的线宽/节距组合。
21.如权利要求20所述的方法,其中,设计一个或多个测试测规的步骤还包括:确定相对于所述图案的优化的辅助特征布置。
22.如权利要求13-21中任一项所述的方法,其中,结合使用光刻工艺印刷的特征的预测的和实际的临界尺寸特征化敏感性。
23.一种计算机程序产品,包括具有记录在其中的一组指令的计算机可读介质,所述指令在被计算机执行时,执行一种设计用于校准光刻工艺的模拟模型的测试测规的方法,所述方法包括步骤:
识别所述模拟模型的至少两个不同的参数;和
设计用于校准所述模拟模型的所述参数的一个或多个测试测规,包括最大化相对于所述参数对第一变化的敏感性的至少一个第一测试测规,和最大化相对于所述参数对第二变化的敏感性的至少一个第二测试测规,其中第二变化显示基本上与第一变化正交。
24.如权利要求23所述的计算机程序产品,其中,所述设计步骤包括:
设计最大化所述参数中的第一和第二参数之间的成对的敏感性的一对测试测规。
25.如权利要求23所述的计算机程序产品,其中,所述设计步骤包括:
基于所识别的参数建立模型参数空间;
识别模型参数空间中的方向;和
设计最大化对参数中与所识别的方向相关的变化的敏感性的一个或多个测试测规。
26.如权利要求25所述的计算机程序产品,其中,所述设计步骤还包括:
识别与所设计的测试测规的实际敏感性相关的方向;
从所述模型参数空间去除该方向;和
迭代地识别新的方向,设计最大化所述新的方向中的敏感性的测试测规,识别与所述实际敏感性相关的方向,以及从所述模型参数空间去除该方向。
27.如权利要求25-26中任一项所述的计算机程序产品,其中,建立所述模型参数空间的步骤包括:
识别图案组;和
计算所识别的模型参数对图案组中的图案的敏感性。
28.如权利要求25-26中任一项所述的计算机程序产品,其中,建立所述模型参数空间的步骤包括:
计算与所识别的模型参数中的各个参数相关的扰动的组;和
将所述扰动的组分解以形成多维空间。
29.如权利要求28所述的计算机程序产品,其中,所述扰动通过来自名义模拟模型的传递交叉系数(TCC)中的差异而被特征化。
CN2009801447289A 2008-11-10 2009-11-10 设计用于校准光刻工艺的模拟模型的测试测规的方法 Active CN102209935B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11300408P 2008-11-10 2008-11-10
US61/113,004 2008-11-10
US14081208P 2008-12-24 2008-12-24
US61/140,812 2008-12-24
PCT/US2009/063798 WO2010054350A1 (en) 2008-11-10 2009-11-10 Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration

Publications (2)

Publication Number Publication Date
CN102209935A true CN102209935A (zh) 2011-10-05
CN102209935B CN102209935B (zh) 2013-05-15

Family

ID=41466674

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009102120134A Active CN101738871B (zh) 2008-11-10 2009-11-06 用于光刻术模型校准的图案选择
CN2009801447289A Active CN102209935B (zh) 2008-11-10 2009-11-10 设计用于校准光刻工艺的模拟模型的测试测规的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2009102120134A Active CN101738871B (zh) 2008-11-10 2009-11-06 用于光刻术模型校准的图案选择

Country Status (5)

Country Link
US (5) US8694928B2 (zh)
JP (1) JP5414455B2 (zh)
CN (2) CN101738871B (zh)
NL (1) NL2003702A (zh)
WO (1) WO2010054350A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105452963A (zh) * 2013-08-13 2016-03-30 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
CN106575630A (zh) * 2014-07-13 2017-04-19 科磊股份有限公司 使用叠加及成品率关键图案的度量
TWI620004B (zh) * 2015-10-08 2018-04-01 Asml荷蘭公司 用於圖案校正之方法與系統及相關電腦程式產品
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN111045289A (zh) * 2019-12-06 2020-04-21 中国科学院上海光学精密机械研究所 极紫外光刻掩模阴影效应补偿方法
CN113454533A (zh) * 2019-02-25 2021-09-28 Asml荷兰有限公司 用于确定印刷图案的随机变化的方法
CN114096917A (zh) * 2019-07-10 2022-02-25 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
CN114096917B (zh) * 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
NL2007306A (en) 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
NL2008041A (en) 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
JP5708103B2 (ja) * 2011-03-18 2015-04-30 富士通セミコンダクター株式会社 設計支援方法
NL2008702A (en) * 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
TWI577523B (zh) * 2011-06-17 2017-04-11 三菱麗陽股份有限公司 表面具有凹凸結構的模具、光學物品、其製造方法、面發光體用透明基材及面發光體
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
EP2546804A1 (en) 2011-07-10 2013-01-16 Dürr Dental AG Method and tomography apparatus for reconstruction of a 3D volume
EP2570854B1 (en) * 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
KR101867290B1 (ko) * 2012-01-10 2018-07-19 삼성전자주식회사 Opc 모델 보정을 위한 테스트 패턴 선택 방법
US8806388B2 (en) * 2012-03-23 2014-08-12 Texas Instruments Incorporated Extraction of imaging parameters for computational lithography using a data weighting algorithm
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US8751980B2 (en) 2012-10-30 2014-06-10 International Business Machines Corporation Automatic wafer data sample planning and review
US20140236337A1 (en) * 2013-02-15 2014-08-21 Kabushiki Kaisha Toshiba Pattern inspection method and manufacturing control system
KR101694275B1 (ko) 2013-03-14 2017-01-23 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스, 기판에 마커를 생성하는 방법 및 디바이스 제조 방법
CN103699708A (zh) * 2013-05-14 2014-04-02 上海大众汽车有限公司 全参数化拉延模具设计方法
US11175589B2 (en) 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
US20150100289A1 (en) * 2013-10-09 2015-04-09 Technion Research & Development Foundation Limited Method and system for shapewise comparison
SG11201604641PA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
WO2015101459A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
KR101898087B1 (ko) 2013-12-30 2018-09-12 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 장치 및 방법
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
US9690187B2 (en) * 2014-12-05 2017-06-27 Globalfoundries Inc. Sampling for OPC model building
US10718606B2 (en) 2015-04-17 2020-07-21 Nikon Corporation Determination of customized components for fitting wafer profile
CN106297778A (zh) * 2015-05-21 2017-01-04 中国科学院声学研究所 数据驱动的基于奇异值分解的神经网络声学模型裁剪方法
CN105278260B (zh) * 2015-11-20 2018-07-24 合肥芯碁微电子装备有限公司 一种pcb曝光图形正确性验证方法
US10663870B2 (en) * 2015-12-18 2020-05-26 Asml Netherlands B.V. Gauge pattern selection
US10656531B2 (en) 2015-12-22 2020-05-19 Asml Netherlands B.V. Apparatus and method for process-window characterization
KR102153482B1 (ko) * 2016-04-15 2020-09-09 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 작동을 조절하는 방법
US9817402B1 (en) * 2016-07-12 2017-11-14 The Boeing Company Application of factory automation for an airline assembly and build process
WO2018033363A1 (en) * 2016-08-19 2018-02-22 Asml Netherlands B.V. Modeling post-exposure processes
WO2018172039A1 (en) * 2017-03-21 2018-09-27 Asml Netherlands B.V. Object identification and comparison
CN106970506B (zh) * 2017-04-10 2018-11-20 中国科学院上海光学精密机械研究所 一种基于粒子群优化算法的晶圆曝光路径规划方法
US10496783B2 (en) * 2017-04-19 2019-12-03 Mentor Graphics Corporation Context-aware pattern matching for layout processing
CN108196364B (zh) * 2018-01-04 2020-04-17 中国人民解放军国防科技大学 一种基于平面镜视场调节的光学系统失调量解算方法
JP6996677B2 (ja) * 2018-01-12 2022-01-17 Alitecs株式会社 テストパターンの抽出方法及び抽出プログラム
KR102644214B1 (ko) * 2018-02-23 2024-03-07 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
WO2019238372A1 (en) * 2018-06-15 2019-12-19 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
WO2020035272A1 (en) 2018-08-14 2020-02-20 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
KR20200043585A (ko) * 2018-10-17 2020-04-28 삼성전자주식회사 반도체 패턴의 광 근접 보정 오차 최소화 방법 및 장치
EP3906442A1 (en) * 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) * 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11699017B2 (en) * 2019-02-08 2023-07-11 Siemens Industry Software Inc. Die yield assessment based on pattern-failure rate simulation
US20220113632A1 (en) * 2019-02-27 2022-04-14 Asml Netherlands B.V. Gauge selection for model calibration
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
CN109870882A (zh) * 2019-03-29 2019-06-11 上海华虹宏力半导体制造有限公司 监控扫描式光刻机连续景深扩展程序功能的方法
KR20220038501A (ko) * 2019-09-06 2022-03-28 에이에스엠엘 네델란즈 비.브이. 매개변수화된 모델 예측에서의 확실성을 증가시키는 방법
KR102322886B1 (ko) * 2020-03-02 2021-11-05 인하대학교 산학협력단 산출 리소그래피를 위한 E-Beam 클러스터 구성 방법 및 장치
CN111857768B (zh) * 2020-06-23 2022-07-22 苏州浪潮智能科技有限公司 一种硬盘芯片升级方法及系统
CN112084705A (zh) * 2020-08-25 2020-12-15 华北电力大学 一种用于综合能源系统的并网协调规划方法及系统
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
CN114741977B (zh) * 2022-06-13 2022-08-16 华中科技大学 声学超材料微结构最大加工误差设计方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
WO1991017483A1 (de) 1990-05-02 1991-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
JP4383817B2 (ja) * 2003-10-03 2009-12-16 日本電子株式会社 電子ビーム描画における近接効果補正の検証方法
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN101305320B (zh) * 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7433791B2 (en) * 2006-02-17 2008-10-07 Asml Masktools B.V. Method of performing multiple stage model calibration for optical imaging simulation models
CN100474115C (zh) * 2006-04-04 2009-04-01 上海微电子装备有限公司 光刻机成像光学系统像差现场测量方法
US7818151B2 (en) * 2006-05-02 2010-10-19 Asml Masktools B.V. Method, program product and apparatus for obtaining short-range flare model parameters for lithography simulation tool
US8792147B2 (en) * 2006-08-14 2014-07-29 Asml Netherlands B.V. Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
US8040573B2 (en) * 2006-08-14 2011-10-18 Asml Masktools B.V. Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
JP2008186912A (ja) * 2007-01-29 2008-08-14 Nikon Corp 収差評価方法、調整方法、露光装置、露光方法、およびデバイス製造方法
WO2008151185A1 (en) 2007-06-04 2008-12-11 Brion Technologies, Inc. Methods for performing model-based lithography guided layout design
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105452963A (zh) * 2013-08-13 2016-03-30 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
CN105452963B (zh) * 2013-08-13 2017-08-22 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
US9760018B2 (en) 2013-08-13 2017-09-12 Asml Netherlands B.V. Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
CN106575630A (zh) * 2014-07-13 2017-04-19 科磊股份有限公司 使用叠加及成品率关键图案的度量
US10685165B2 (en) 2014-07-13 2020-06-16 Kla-Tencor Corporation Metrology using overlay and yield critical patterns
TWI620004B (zh) * 2015-10-08 2018-04-01 Asml荷蘭公司 用於圖案校正之方法與系統及相關電腦程式產品
US10754256B2 (en) 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
CN110121681A (zh) * 2016-12-28 2019-08-13 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
CN113454533A (zh) * 2019-02-25 2021-09-28 Asml荷兰有限公司 用于确定印刷图案的随机变化的方法
CN114096917A (zh) * 2019-07-10 2022-02-25 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
CN114096917B (zh) * 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
CN111045289A (zh) * 2019-12-06 2020-04-21 中国科学院上海光学精密机械研究所 极紫外光刻掩模阴影效应补偿方法

Also Published As

Publication number Publication date
US9672301B2 (en) 2017-06-06
US20150186557A1 (en) 2015-07-02
US20110224956A1 (en) 2011-09-15
US8930172B2 (en) 2015-01-06
CN101738871B (zh) 2012-10-10
JP5414455B2 (ja) 2014-02-12
US10846442B2 (en) 2020-11-24
US8694928B2 (en) 2014-04-08
US20100122225A1 (en) 2010-05-13
NL2003702A (en) 2010-05-11
US20140208278A1 (en) 2014-07-24
US10025885B2 (en) 2018-07-17
WO2010054350A1 (en) 2010-05-14
CN101738871A (zh) 2010-06-16
JP2010117716A (ja) 2010-05-27
CN102209935B (zh) 2013-05-15
US20180322224A1 (en) 2018-11-08

Similar Documents

Publication Publication Date Title
CN102209935B (zh) 设计用于校准光刻工艺的模拟模型的测试测规的方法
CN101738872B (zh) 用于光刻校准的方法和系统
CN101751502B (zh) 用于光刻过程窗口最大化光学邻近效应校正的方法和系统
CN101846886B (zh) 用于快速敏感度模型计算的△tcc
CN102866590B (zh) 用于对波前像差具有定制的响应的图案设计的方法和系统
CN102597872B (zh) 选择与设计相关的图案子组的方法
CN102057330B (zh) 基于模型的扫描器调节方法
CN100472326C (zh) 产生模拟曝光工具成像性能模型的方法和装置产品
CN100468204C (zh) 用于模拟光刻过程的方法和装置
CN102224459B (zh) 用于优化光刻过程的方法及设备
CN101452221B (zh) 光刻工艺窗口模拟的方法和系统
CN103246173A (zh) 用于3d抗蚀剂轮廓模拟的光刻模型
US9110382B2 (en) Source polarization optimization
CN104395828A (zh) 基于梯度的图案和评价点选择
US11054750B2 (en) Profile aware source-mask optimization
CN103246174B (zh) 衬底拓扑可知的光刻模型化
CN102955370B (zh) 用于3d拓扑图形晶片的光刻模型
US8792147B2 (en) Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
Fenger et al. Extreme ultraviolet lithography resist-based aberration metrology
D’Silva FEM modeling of shrinkage effects in negative tone photoresists
Ban et al. Thermomechanical changes of EUV mask and absorber dependency
EP3822703A1 (en) Method for determining a field-of-view setting
Klostermann et al. Calibration of physical resist models for simulation of extreme ultraviolet lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant