CN102337541A - Etching method used in process of manufacturing conical through silicon via (TSV) - Google Patents

Etching method used in process of manufacturing conical through silicon via (TSV) Download PDF

Info

Publication number
CN102337541A
CN102337541A CN2011102886691A CN201110288669A CN102337541A CN 102337541 A CN102337541 A CN 102337541A CN 2011102886691 A CN2011102886691 A CN 2011102886691A CN 201110288669 A CN201110288669 A CN 201110288669A CN 102337541 A CN102337541 A CN 102337541A
Authority
CN
China
Prior art keywords
etching
tsv
silicon chip
silicon
gas flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011102886691A
Other languages
Chinese (zh)
Inventor
陈骁
罗乐
徐高卫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Institute of Microsystem and Information Technology of CAS
Original Assignee
Shanghai Institute of Microsystem and Information Technology of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Institute of Microsystem and Information Technology of CAS filed Critical Shanghai Institute of Microsystem and Information Technology of CAS
Priority to CN2011102886691A priority Critical patent/CN102337541A/en
Publication of CN102337541A publication Critical patent/CN102337541A/en
Pending legal-status Critical Current

Links

Images

Abstract

The invention relates to an etching method used in the process of manufacturing a conical through silicon via (TSV). The method is characterized by comprising the following steps of: depositing a SiO2 layer on the front side of a silicon wafer, and photoetching to remove SiO2 from a graph and expose silicon on the lower side; putting into a special treatment steel (STS) etching machine, and performing first-step etching on the front side of the silicon wafer, wherein an etching stage and a passivation stage are performed alternately in the first-step etching process so as to obtain a vertical deep hole with the depth of 50 to 200 micrometers; and performing second-step etching on the front side of the silicon wafer, and thus obtaining a conical deep hole in an inverted trapezoid shape. The etching method is high in maneuverability and is suitable for industrial production, the process cost of the TSV of a wafer can be expected to be reduced, and the electroplating filling yield of the TSV is improved.

Description

A kind of lithographic method that adopts when the silicon through hole is worn in taper of making
Technical field
The present invention relates to a kind of making taper and wear silicon through hole (Through Silicon Via; TSV) employed lithographic method the time, described lithographic method is workable, is suitable for suitability for industrialized production; Not only reduce the technology cost of making wafer TSV, and improved the yield rate of the plating filling of TSV.
Background technology
In order to satisfy the needs of VLSI (VLSI) development, novel 3D stacked package technology is arisen at the historic moment.It is with minimum size and the lightest weight; The chip and the multiple technologies of different performance are integrated in the single package, are a kind of through making vertical electricity conducting between chip and the chip, between wafer and the wafer, realize the up-to-date encapsulation interconnection technique that interconnects between the chip; Different with IC encapsulation bonding in the past with the superimposing technique of using salient point; Described encapsulation interconnection technique is to adopt TSV (Through Silicon Via wears the silicon through hole) to replace the 2D-Cu interconnection, can make chip maximum in the density that three-dimensional piles up; Physical dimension is minimum, and improves the performance of chip speed and reduce power consumption greatly.Therefore, managining director doctor Tang Heming of research and development centre of day moonlight consortium on the Chartered2007 technical seminar TSV is called after line bonding (Wire Bonding), carrier band weld (TAB) and flip-chip (FC) automatically the 4th generation encapsulation technology.
In order to realize the TSV wafer-level packaging of IC device, need to accomplish the exploitation of several important process technology.(1) through hole preparation adopts DRIE on wafer, to prepare the Si through hole of high aspect ratio; (2) the electroplates in hole, deposit SiO on through-hole side wall 2Behind the insulation layer, make through Seed Layer plated metal Cu to be full of whole Si through hole; (3) chemically machinery polished (CMP) is adopted CMP that excessive Cu is ground away continued grinding wafer and can be obtained different thickness TSV disk; (4) bonding technology after accurate aligning the between disk and disk or chip and the disk.In the middle of above-mentioned several important process, the etching of TSV is a very crucial operation.Consider cost, factors such as precision control are generally tended to use dry etching to make TSV.The etching process more complicated, distributing position, density and the size of through hole (comprising hole depth and aperture) are different among the different three-dimensional IC.The through hole Technology Need can satisfy the control (comprising control obliquity, shape, roughness, over etching etc.) to outline shape, requires technology can have safety, practicality and repeatability again simultaneously, and last, cost is also wanted can be by control rationally.BOSCH technology etching is generally used in the making of TSV, and this is a kind of dark silicon etching process based on plasma etching.In etching process, switch etching and deposition through Rapid Cycle, promptly in each etching loop cycle, the silicon of exposure is by isotropic etching; Deposit one layer of polymeric protection again, the polymkeric substance removal that is decomposed then, the silicon of exposure is etched again; Go round and begin again, until EP.Thereby the realization deep etching, and the through hole side is very vertical.Rectilinear through hole helps promoting the quantity and the density of through hole; But for this structure of TSV with vertical side wall, high aspect ratio; The deposition of follow-up insulation layer, blocking layer and Seed Layer; And the TSV electroplating technology all is difficult to reach requirement, has high technology difficulty, increased cost of manufacture.The difficult control of subsequent deposition process.Such as depositing of thin film, because the TSV aperture of vertical-type is very little, the degree of depth is very big, and therefore traditional depositing operation can only be deposited on the TSV opening part, and is difficult to deposit than the sidewall and the bottom of depths at TSV; Aspect the TSV plating, because the Cu of electroplate liquid 2+Obviously greater than the speed at TSV hole depth place, so the difference of electroplating velocity makes and the more opening parts that are deposited on vertical TSV of copper blocks, and form empty in the hole in more thereby cause the TSV opening to be filled by copper in the opening part of TSV diffusion translational speed.
Summary of the invention
In order to reduce the manufacture craft difficulty of TSV; The realization of the subsequent technique after the solution TSV etching; The object of the present invention is to provide the process method of a kind of making taper (trapezoidal) TSV, described taper is actual to be trapezoidal, above promptly big below little a kind of taper.
Technical scheme of the present invention is: the etching of making taper TSV at first deposits the SiO of one deck 1.5-3 μ m in two steps 2Layer is with SiO after the photoetching 2As etch mask, carry out the first step etching then, promptly adopt the BOSCH etching technics to form the deep hole of vertical side wall, the method for BOSCH technology is at first to carry out passivation, feeds the amount C of a constant current 4F 46 seconds of gas form passivation layer, feed the gas SF of certain flow then 6, remove passivation layer 5 seconds, and carry out the etching of Si base material, in the step of etching, attached to the partial sidewall polymkeric substance on the previous adhesion layer, just previous C 4F 4The C that produces after the gas ionizing, CF 2Or C 2F 4On particle, at silicon face formation of deposits polymkeric substance, and the polymkeric substance that forms is a kind of adjustable sidewall passivation layer, and this passivation layer breaks away from sidewall and moves once more under the influence of non-perpendicular ion impact sidewall, on darker sidewall, adheres to again.Like this, the polymeric film on the sidewall is constantly driven downwards to be adhered to, thereby forms a partial anisotropic etching.The BOSCH technology is exactly to hocket through passivation/etching to realize the etching of vertical long hole; Whole processing parameter is provided with on the STS dry etching machine; The time that BOSCH technology is carried out has determined passivation/etching alternative number of times, and proportional with the deep hole degree of depth of etching.Carry out the second step etching then, only feed etching gas SF 6, opening part reduces to the hole bottom direction gradually along the hole owing to etching gas content, along with the difference of etching time, can realize the taper pattern of different ratios.
Beneficial effect of the present invention: this invented technology simply can realize follow-up high-quality thin film deposition and electroplating technology; Avoided above-mentioned technology because vertical side wall TSV and the costs of a large amount of again research and development; And this invented technology is workable; Be suitable for suitability for industrialized production, not only reduce the technology cost of making wafer TSV, and improved the yield rate of the plating filling of TSV.
Description of drawings
Fig. 1 is SiO 2Be mask, the preceding silicon chip cross-sectional configuration figure of etching.
Fig. 2 has been the silicon chip cross-sectional configuration figure that obtains vertical long hole after the first step etching.
Fig. 3 has been the silicon chip cross-sectional configuration figure that obtains tapered hole after second etching.
Embodiment
For advantage of the present invention and positively effect are found full expression, the present invention is explained further below in conjunction with accompanying drawing and embodiment.
In Fig. 1, at silicon chip 101 positive deposition one deck SiO 2Layer 102, thickness 1.5-3 μ m, SiO 2The thickness of layer depends on the degree of depth 50-200 μ m of the deep hole that needs etching, and photoetching picture then is with the SiO that exposes after the photoetching 2Remove through the RIE etching, expose following silicon.
In Fig. 2, put into STS etching machine, the first step etching is carried out in silicon chip 101 fronts, etching the degree of depth is the deep hole 103 of 50-200 μ m, the concrete parameter of etching is:
1. etch stages: SF 6Gas flow be 130sccm; O 2Gas flow be 13sccm; The power of Coil is 600W; The power of Platen is 20W; Pressure is 26mt; The time of etch stages is 6s.
2. passivation stage: SF 6Gas flow be 0; C 4F 8Gas flow be 85sccm; The power of Coil is 600W; The power of Platen is 0W; Pressure is 17mt; The time in passivation stage is 5s.
Etch stages and passivation stage hocket in this step, and the total time of the first step is 30min-140min, can obtain the TSV of the 50-200 μ m degree of depth, and the processing parameter in this step is applicable to the TSV in various different apertures.
In Fig. 3, the second step etching is carried out in silicon chip 101 fronts, etch tapered hole 104, the concrete parameter of etching is:
SF 6Gas flow be 130sccm; O 2Gas flow be 13sccm; The power of Coil is 700W; The power of Platen is 20W; Pressure is 12mt; The total time in second step is 5-17min, can realize the taper pattern of different ratios, and the 5min etching time can realize that the last aperture of TSV is 1.2-1.3 a times of aperture under it; Along with the prolongation of etching time, its ratio increases, and reaches 17min until etching time; Ratio increases to peak 2-2.2 doubly; Etching time continues to prolong, and the taper pattern basically no longer changes, and the processing parameter in this step is applicable to the TSV in various different apertures.

Claims (5)

1. make the lithographic method that adopts when the silicon through hole is worn in taper for one kind, it is characterized in that at first at the positive deposition of silicon chip one deck SiO 2, the SiO in the figure is removed in photoetching then 2, expose following silicon.Put into STS etching machine, the first step etching is carried out in the silicon chip front, etch stages and passivation stage hocket in the process of the first step etching, thereby obtain out the vertical long hole that the degree of depth is 50-200 μ m; Then the second step etching is carried out in the silicon chip front, etch deep hole with the taper of falling trapezoid pattern.
2. by the described method of claim 1, concrete steps are:
(1) at the positive deposition of silicon chip one deck SiO 2, thickness 1.5-3 μ m, photoetching then is with the SiO that exposes after the photoetching 2Remove through the RIE etching, expose following silicon.
(2) then silicon chip is put into STS etching machine; The first step etching is carried out in the silicon chip front; Etch the deep hole that the degree of depth is 50-200 μ m; Etch stages and passivation stage hocket in described this step, and the total time of the first step is 40min-140min, obtain the TSV of the 50-200 μ m degree of depth.
(3) the second step etching is carried out in the silicon chip front, etch tapered hole, the concrete parameter of etching is: SF 6Gas flow be 130sccm; O 2Gas flow be 13sccm; The power of Coil is 700W; The power of Platen is 20W; Pressure is 12mt; The total time in second step is 5-17min, and the last aperture of realizing TSV is 1.2-2.2 a times of aperture under it.
3. by the described method of claim 2, the concrete parameter of etching is when it is characterized in that the described the first step etching that the silicon chip front is carried out:
1. etch stages: SF 6Gas flow be 130sccm; O 2Gas flow be 13sccm; The power of Coil is 600W; The power of Platen is 20W; Pressure is 26mt; The time of etch stages is 6s;
2. passivation stage: SF 6Gas flow be 0; C 4F 8Gas flow be 85sccm; The power of Coil is 600W; The power of Platen is 0W; Pressure is 17mt; The time in passivation stage is 5s.
4. by the described method of claim 2, it is characterized in that for second step during etching, the 5min etching time realizes that the last aperture of TSV is its 1.2-1.3 times of aperture down; Prolong with etching time, its ratio increases, and reaches 17min until etching time, and the last aperture of TSV increases to peak 2-2.2 doubly with the ratio in following aperture; Etching time continues to prolong, and the taper pattern basically no longer changes.
5. by claim 1 or 2 described methods, it is characterized in that the first step etching process adopts the BOSCH etching technics to form the deep hole of vertical side wall.
CN2011102886691A 2011-09-23 2011-09-23 Etching method used in process of manufacturing conical through silicon via (TSV) Pending CN102337541A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2011102886691A CN102337541A (en) 2011-09-23 2011-09-23 Etching method used in process of manufacturing conical through silicon via (TSV)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2011102886691A CN102337541A (en) 2011-09-23 2011-09-23 Etching method used in process of manufacturing conical through silicon via (TSV)

Publications (1)

Publication Number Publication Date
CN102337541A true CN102337541A (en) 2012-02-01

Family

ID=45513482

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011102886691A Pending CN102337541A (en) 2011-09-23 2011-09-23 Etching method used in process of manufacturing conical through silicon via (TSV)

Country Status (1)

Country Link
CN (1) CN102337541A (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103663344A (en) * 2012-09-12 2014-03-26 快捷半导体(苏州)有限公司 Improved through silicon via including multi-material fill
CN103811331A (en) * 2012-11-08 2014-05-21 中微半导体设备(上海)有限公司 Etching method of etching hole having inclined side wall
CN104671193A (en) * 2013-12-03 2015-06-03 北京北方微电子基地设备工艺研究中心有限责任公司 Deep silicon etching method
CN105329840A (en) * 2014-06-16 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 Inclined hole etching method
US9278846B2 (en) 2010-09-18 2016-03-08 Fairchild Semiconductor Corporation Micromachined monolithic 6-axis inertial sensor
US9278845B2 (en) 2010-09-18 2016-03-08 Fairchild Semiconductor Corporation MEMS multi-axis gyroscope Z-axis electrode structure
US9352961B2 (en) 2010-09-18 2016-05-31 Fairchild Semiconductor Corporation Flexure bearing to reduce quadrature for resonating micromachined devices
US9444404B2 (en) 2012-04-05 2016-09-13 Fairchild Semiconductor Corporation MEMS device front-end charge amplifier
US9488693B2 (en) 2012-04-04 2016-11-08 Fairchild Semiconductor Corporation Self test of MEMS accelerometer with ASICS integrated capacitors
US9586813B2 (en) 2010-09-18 2017-03-07 Fairchild Semiconductor Corporation Multi-die MEMS package
US9618361B2 (en) 2012-04-05 2017-04-11 Fairchild Semiconductor Corporation MEMS device automatic-gain control loop for mechanical amplitude drive
US9625272B2 (en) 2012-04-12 2017-04-18 Fairchild Semiconductor Corporation MEMS quadrature cancellation and signal demodulation
US9856132B2 (en) 2010-09-18 2018-01-02 Fairchild Semiconductor Corporation Sealed packaging for microelectromechanical systems
CN107706173A (en) * 2017-09-30 2018-02-16 成都嘉纳海威科技有限责任公司 Silicon hole interconnection architecture and preparation method thereof and silicon hole RF transmitting structures
CN107994055A (en) * 2017-11-10 2018-05-04 武汉华星光电半导体显示技术有限公司 Bent display panel and preparation method thereof
US10050155B2 (en) 2010-09-18 2018-08-14 Fairchild Semiconductor Corporation Micromachined monolithic 3-axis gyroscope with single drive
CN108461627A (en) * 2017-02-22 2018-08-28 Tdk株式会社 Magnetic sensor and its manufacturing method
CN108461465A (en) * 2018-05-03 2018-08-28 复旦大学 A kind of through-silicon via structure and preparation method thereof
US10060757B2 (en) 2012-04-05 2018-08-28 Fairchild Semiconductor Corporation MEMS device quadrature shift cancellation
US10065851B2 (en) 2010-09-20 2018-09-04 Fairchild Semiconductor Corporation Microelectromechanical pressure sensor including reference capacitor
US10847596B2 (en) 2017-11-10 2020-11-24 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Bendable display panel and fabricating method thereof
US10923397B2 (en) 2018-11-29 2021-02-16 Globalfoundries Inc. Through-substrate via structures in semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101308219A (en) * 2008-06-27 2008-11-19 吉林大学 Method for constructing anti-reflection microstructure using single layer nanometer particle as etching blocking layer
CN101667619A (en) * 2009-09-11 2010-03-10 南京大学 Method for enhancing luminescence intensity of nano silicon/silicon dioxide luminescence component
CN102005518A (en) * 2010-08-25 2011-04-06 山东华光光电子有限公司 Method for preparing pyramidal patterned substrate through twice corrosion
CN102031525A (en) * 2009-09-29 2011-04-27 中微半导体设备(上海)有限公司 Method for etching deep through silicon via (TSV)

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101308219A (en) * 2008-06-27 2008-11-19 吉林大学 Method for constructing anti-reflection microstructure using single layer nanometer particle as etching blocking layer
CN101667619A (en) * 2009-09-11 2010-03-10 南京大学 Method for enhancing luminescence intensity of nano silicon/silicon dioxide luminescence component
CN102031525A (en) * 2009-09-29 2011-04-27 中微半导体设备(上海)有限公司 Method for etching deep through silicon via (TSV)
CN102005518A (en) * 2010-08-25 2011-04-06 山东华光光电子有限公司 Method for preparing pyramidal patterned substrate through twice corrosion

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
杨小兵等: "工艺参数对Si深槽刻蚀的影响", 《微纳电子技术》 *
邓建国等: "双层侧壁保护的Si深槽刻蚀技术", 《微纳电子技术》 *
陈兢: "ICP体硅深刻蚀中侧壁形貌控制的研究", 《中国机械工程》 *

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9586813B2 (en) 2010-09-18 2017-03-07 Fairchild Semiconductor Corporation Multi-die MEMS package
US9856132B2 (en) 2010-09-18 2018-01-02 Fairchild Semiconductor Corporation Sealed packaging for microelectromechanical systems
US9278846B2 (en) 2010-09-18 2016-03-08 Fairchild Semiconductor Corporation Micromachined monolithic 6-axis inertial sensor
US9278845B2 (en) 2010-09-18 2016-03-08 Fairchild Semiconductor Corporation MEMS multi-axis gyroscope Z-axis electrode structure
US9352961B2 (en) 2010-09-18 2016-05-31 Fairchild Semiconductor Corporation Flexure bearing to reduce quadrature for resonating micromachined devices
US10050155B2 (en) 2010-09-18 2018-08-14 Fairchild Semiconductor Corporation Micromachined monolithic 3-axis gyroscope with single drive
US10065851B2 (en) 2010-09-20 2018-09-04 Fairchild Semiconductor Corporation Microelectromechanical pressure sensor including reference capacitor
US9488693B2 (en) 2012-04-04 2016-11-08 Fairchild Semiconductor Corporation Self test of MEMS accelerometer with ASICS integrated capacitors
US9618361B2 (en) 2012-04-05 2017-04-11 Fairchild Semiconductor Corporation MEMS device automatic-gain control loop for mechanical amplitude drive
US10060757B2 (en) 2012-04-05 2018-08-28 Fairchild Semiconductor Corporation MEMS device quadrature shift cancellation
US9444404B2 (en) 2012-04-05 2016-09-13 Fairchild Semiconductor Corporation MEMS device front-end charge amplifier
US9625272B2 (en) 2012-04-12 2017-04-18 Fairchild Semiconductor Corporation MEMS quadrature cancellation and signal demodulation
US9425328B2 (en) 2012-09-12 2016-08-23 Fairchild Semiconductor Corporation Through silicon via including multi-material fill
CN103663344B (en) * 2012-09-12 2017-02-15 快捷半导体(苏州)有限公司 Improved through silicon via including multi-material fill
CN103663344A (en) * 2012-09-12 2014-03-26 快捷半导体(苏州)有限公司 Improved through silicon via including multi-material fill
US9802814B2 (en) 2012-09-12 2017-10-31 Fairchild Semiconductor Corporation Through silicon via including multi-material fill
CN103811331A (en) * 2012-11-08 2014-05-21 中微半导体设备(上海)有限公司 Etching method of etching hole having inclined side wall
CN103811331B (en) * 2012-11-08 2016-12-21 中微半导体设备(上海)有限公司 A kind of lithographic method with sloped sidewall etched hole
CN104671193A (en) * 2013-12-03 2015-06-03 北京北方微电子基地设备工艺研究中心有限责任公司 Deep silicon etching method
CN105329840A (en) * 2014-06-16 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 Inclined hole etching method
CN108461627A (en) * 2017-02-22 2018-08-28 Tdk株式会社 Magnetic sensor and its manufacturing method
US11067648B2 (en) 2017-02-22 2021-07-20 Tdk Corporation Magnetic sensor and method of manufacturing the same
CN107706173A (en) * 2017-09-30 2018-02-16 成都嘉纳海威科技有限责任公司 Silicon hole interconnection architecture and preparation method thereof and silicon hole RF transmitting structures
CN107994055A (en) * 2017-11-10 2018-05-04 武汉华星光电半导体显示技术有限公司 Bent display panel and preparation method thereof
US10847596B2 (en) 2017-11-10 2020-11-24 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Bendable display panel and fabricating method thereof
CN108461465A (en) * 2018-05-03 2018-08-28 复旦大学 A kind of through-silicon via structure and preparation method thereof
US10923397B2 (en) 2018-11-29 2021-02-16 Globalfoundries Inc. Through-substrate via structures in semiconductor devices

Similar Documents

Publication Publication Date Title
CN102337541A (en) Etching method used in process of manufacturing conical through silicon via (TSV)
KR102610674B1 (en) Package structure and production methods
CN103681390B (en) A kind of wafer scale silicon substrate preparation method based on TSV technique
CN102270603B (en) Manufacturing method of silicon through hole interconnect structure
US8836140B2 (en) Three-dimensional vertically interconnected structure
Kim et al. Factors affecting copper filling process within high aspect ratio deep vias for 3D chip stacking
CN101834159B (en) Manufacturing process for realizing through silicon via packaging by adopting BCB (Benzocyclobutene) supplementary bonding
CN103700595B (en) A kind of wafer scale high-aspect-ratio TSV base plate for packaging preparation method
CN103456685A (en) Manufacturing method for TSV and first layer re-wiring layer needless of using CMP
CN104347481B (en) Coat of metal processing method
CN104952794B (en) Prepare for the section of back metal
CN103367285B (en) A kind of through-hole structure and preparation method thereof
CN111689460B (en) Manufacturing method of TSV ground interconnection hole structure under silicon cavity in microsystem module
Beica et al. Advanced metallization for 3D integration
CN103066040A (en) Wafer level penetrating silicon transmission structure and manufacturing method for microwave frequency band
CN101840856B (en) Etch tank adopted in process of packaging and manufacturing TSV (Through Silicon Via) wafer and preparation process
CN102412193A (en) Through silicon via (TSV) filling method
CN103187364B (en) Method for preparing seed layer in deep hole with high depth-to-width ratio
CN103219282A (en) Through silicon via (TSV) exposure process
CN103915357A (en) Manufacturing method of superfine interval micro protruding point
CN102097672B (en) Method for manufacturing silicon-penetrating coaxial line for microwave frequency band
Hong et al. High-speed Cu filling into TSV and non-PR bumping for 3D chip packaging
CN103199054B (en) The technique for making the secondary wet process corrosion resistant support wafer separate used during TSV
Dixit et al. The application of dry photoresists in fabricating cost-effective tapered through-silicon vias and redistribution lines in a single step
CN103700618B (en) The preparation method that structural strength based on wafer level silicon via process substrate strengthens

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120201