CN102668473A - 用于高性能、低功率数据中心互连结构的系统和方法 - Google Patents

用于高性能、低功率数据中心互连结构的系统和方法 Download PDF

Info

Publication number
CN102668473A
CN102668473A CN2010800601535A CN201080060153A CN102668473A CN 102668473 A CN102668473 A CN 102668473A CN 2010800601535 A CN2010800601535 A CN 2010800601535A CN 201080060153 A CN201080060153 A CN 201080060153A CN 102668473 A CN102668473 A CN 102668473A
Authority
CN
China
Prior art keywords
node
route
switch
switching fabric
processor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800601535A
Other languages
English (en)
Other versions
CN102668473B (zh
Inventor
M.B.戴维斯
D.J.博尔兰德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Valley Bank Inc
III Holdings 2 LLC
Original Assignee
Calxeda Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Calxeda Inc filed Critical Calxeda Inc
Priority to CN201510827453.6A priority Critical patent/CN105357152B/zh
Priority to CN201510217826.8A priority patent/CN104836755B/zh
Publication of CN102668473A publication Critical patent/CN102668473A/zh
Application granted granted Critical
Publication of CN102668473B publication Critical patent/CN102668473B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/74Address processing for routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0223User address space allocation, e.g. contiguous or non contiguous base addressing
    • G06F12/0284Multiple user address space allocation, e.g. using different base addresses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/24Handling requests for interconnection or transfer for access to input/output bus using interrupt
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/0604Improving or facilitating administration, e.g. storage management
    • G06F3/0605Improving or facilitating administration, e.g. storage management by facilitating the interaction with a user or administrator
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0629Configuration or reconfiguration of storage systems
    • G06F3/0631Configuration or reconfiguration of storage systems by allocating resources to storage systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/067Distributed or networked storage systems, e.g. storage area networks [SAN], network attached storage [NAS]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5005Allocation of resources, e.g. of the central processing unit [CPU] to service a request
    • G06F9/5011Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resources being hardware resources other than CPUs, Servers and Terminals
    • G06F9/5016Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resources being hardware resources other than CPUs, Servers and Terminals the resource being the memory
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/60Router architectures
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/74Address processing for routing
    • H04L45/745Address table lookup; Address filtering
    • H04L45/74591Address table lookup; Address filtering using content-addressable memories [CAM]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/10Packet switching elements characterised by the switching fabric construction
    • H04L49/109Integrated on microchip, e.g. switch-on-chip
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/15Interconnection of switching modules
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/20Support for services
    • H04L49/201Multicast operation; Broadcast operation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/25Routing or path finding in a switch fabric
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/25Routing or path finding in a switch fabric
    • H04L49/253Routing or path finding in a switch fabric using establishment or release of connections between ports
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/30Peripheral units, e.g. input or output ports
    • H04L49/3009Header conversion, routing tables or routing tags
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/35Switches specially adapted for specific applications
    • H04L49/351Switches specially adapted for specific applications for local area network [LAN], e.g. Ethernet switches
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/35Switches specially adapted for specific applications
    • H04L49/356Switches specially adapted for specific applications for storage area networks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L47/00Traffic control in data switching networks
    • H04L47/10Flow control; Congestion control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/10Technologies improving the efficiency by using switched-mode power supplies [SMPS], i.e. efficient power electronics conversion e.g. power factor correction or reduction of losses in power supplies or efficient standby modes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02BCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO BUILDINGS, e.g. HOUSING, HOUSE APPLIANCES OR RELATED END-USER APPLICATIONS
    • Y02B70/00Technologies for an efficient end-user side electric power management and consumption
    • Y02B70/30Systems integrating technologies related to power network operation and communication or information technologies for improving the carbon footprint of the management of residential or tertiary loads, i.e. smart grids as climate change mitigation technology in the buildings sector, including also the last stages of power distribution and the control, monitoring or operating management systems at local level
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks

Abstract

提供了支持如下路由的系统和方法,该路由使用树状或者图形拓扑,该树状或者图形拓扑支持每节点多个链接,其中每个链接在拓扑内指定为向上、向下或者横向链接或者两者。该系统可以使用分段式MAC架构,该架构可以具有一种将MACIP地址多目的化用于内部MAC和外部MAC并且将通常是物理信令的内容用于MAC以向交换机中馈送的方法。

Description

用于高性能、低功率数据中心互连结构的系统和方法
优先权要求 / 相关申请
本专利申请要求35 USC 119(e)下的2009年10月30日提交的并且标题为“System and Method for Enhanced Communications in a Multi-Processor System of a Chip (SOC) ”的美国临时专利申请系列号61/256,723的优先权,通过引用将其合并于此。
技术领域
本公开主要地涉及用于基于计算的系统的交换结构(switching fabric)。
背景技术
随着因特网、基于web的公司和系统的持续成长以及计算机的激增,如公知的那样,存在在温度受控制的位置容纳多个服务器计算机并且可以外部管理的许多数据中心。
图1A和1B示出了如目前众所周知的经典数据网络聚合。图1A示出了典型网络数据中心架构100的图解视图,其中顶级交换机101a-n在机架102a-n的顶层,这些机架102a-n由点缀有本地路由器103a-f的刀片服务器107a-n填充。附加存储路由器和核心交换机105a-b以及附加机架单元108a-n包含附加服务器104e-k和路由器106a-g。图1b示出了如下系统的示例性物理视图110,该系统具有布置于边缘路由器系统112a-h周围的外围服务器111a-bn,这些边缘路由器系统112a-h放置于位于中央的核心交换系统113周围。通常,这样的聚合110具有从机架服务器到它们的机架顶交换机的1-Gb以太网以及经常具有通向边缘和核心路由器的10Gb以太网端口。
然而需要的是一种着重于网络聚合的用于分组交换功能的系统和方法,该系统和方法减少典型系统的大小和功率要求,而又同时减少成本,并且这一点正是本公开所涉及的。
附图说明
图1A和1B图示了典型数据中心系统;
图2是网络聚合系统的概况;
图3图示了机架系统中的示例性数据中心的概况;
图4图示了网络聚合系统的高级拓扑;
图5A图示了网络聚合系统的示例性交换机的框图;
图5B图示了MAC地址编码;
图6图示了网络聚合系统的广播机制的第一实施例;
图7图示了网络聚合系统的单播路由的示例;
图8图示了网络聚合系统的防故障单播路由的示例;并且
图9图示了网络聚合系统的广播机制的第二实施例。
具体实施方式
本公开特别可应用于如图所示和下文描述的网络聚合系统和方法,并且正是将在这一背景中描述本公开。然而将理解该系统和方法具有更大效用,因为可以使用在本公开的范围内的其他元件和架构来实施该系统和方法,并且本公开并不限于下文描述的示范实施例。
该系统和方法也支持如下路由,该路由使用树状或者图形拓扑,该树状或者图形拓扑支持每节点多个链接,其中每个链接在拓扑内指定为向上、向下或者横向链接或者两者。此外,如下文更具体描述的那样,系统中的每个节点可以是组合计算/交换机节点或者仅为交换机节点,并且输入/输出(I/O)可以驻留于任何节点上。系统也可以提供具有分段式以太网介质访问控制(MAC)架构的系统,该架构可以具有将MAC IP地址多目的化(re-purpose)用于内部MAC和外部MAC并且将通常是物理信令的内容用于MAC以向交换机中馈送的方法。系统也可以提供一种非欺骗(non-spoofing)通信方法以及一种故障恢复(fault-resilient)广播方法,该故障恢复广播方法可以具有一种用于故障恢复的单播误路由方法。在网络安全的背景中,欺骗攻击是如下情形,在该情形中,一个人或者程序通过伪造数据并且由此获得非法利益来成功地冒充为另一个人或者程序。
系统也可以提供在管理处理器之间的严格安全性,使得管理处理器可以相互“信任”。在(下文更详细描述的)图5A中所示的示例系统中,在每个SoC内有管理处理器(M3微处理器,框906,图5A)。信任管理处理器上运行的软件,因为a)销售商(在这一情况下为Smooth-Stone)已经开发和验证代码、b)不允许非销售商代码在处理器上运行。维持在管理处理器之间的信任关系允许它们传送命令(例如重新引导另一节点)或者请求来自另一节点的敏感信息而不担心用户可能欺骗请求并且获得对信息的访问或者系统的控制。
系统也可以提供如下网络代理,该网络代理在片上系统(SOC)内的常通(always-on)功率域中具有集成微控制器,该微控制器可以接管用于更大板上处理器的网络代理并且可以应用于子树。系统也提供如下多域(multi-domaining)技术,该技术可以明显扩展可路由粗树(fat tree)状结构的大小而仅有对路由报头和路由表的不重要改变。
图2图示了网络聚合系统300。网络聚合支持一个或者多个高速链接301(粗线)(诸如10-Gb/秒以太网通信),该链接301连接聚合路由器302和一个或者多个机架303(诸如如图3中所示的三个机架303a-c)。在第一机架303a中,网络聚合系统提供在机架内的架子上的一个或者多个Smooth-Stone计算单元306a-d(诸如服务器计算机)之间的由粗线表示的多个高速10Gb路径。在于2009年10月30日提交并且标题为“System and Method for Enhanced Communications in a Multi-Processor System of a Chip (SOC) ”的美国临时专利申请系列号61/256,723中更详细描述了每个Smooth-Stone计算单元的更多细节,通过引用将该申请整体结合于此。Smooth-Stone计算单元中的嵌入式交换机306a-d可以替换机架顶交换机,因此节省大量功率和成本而又仍然提供通向聚合路由器302的10Gb以太网端口。网络聚合系统交换结构可以将传统以太网(1Gb或者10Gb)集成到XAUI结构中,并且Smooth-Stone计算单元可以充当用于第三方以太网连接的服务器的机架顶交换机。
中间机架303b图示了网络聚合系统中的机架的另一配置,其中一个或者多个Smooth-Stone计算单元306e、f可以集成到已经包含机架顶交换机308a的现有数据中心机架中。在这一情况下,IT团体可以继续让它们的其他计算单元经由1Gb以太网直至现有机架顶交换机连接,并且内部Smooth-Stone计算单元可以经由10Gb XAUI结构来连接,并且它们可以如图2中所示用1Gb或者10Gb以太网互连集成直至现有机架顶交换机。第三机架303c图示了一种传统上部署数据中心机架的目前方式。第三机架303c中的细红线表示1Gb以太网。因此,数据中心机架的目前部署在传统上是直至机架顶交换机308b的1Gb以太网,并且然后是从机架顶交换机出来通向聚合路由器的10Gb(粗红线310)。注意所有服务器以未知量存在,而出于清楚和简单的目的,这里以有限量描绘它们。另外,使用增强型SS服务器,无需附加路由器,因为它们操作它们自己的XAUI交换结构,下文讨论。
图3示出了根据本系统一个实施例的示例性“机架中的数据中心”400的概况。“机架中的数据中心”400可以具有10-Gb以太网PHY 401a-n和1-Gb私有以太网PHY 402。大型计算机(功率服务器)403a-n支持搜索;数据挖掘;编索引;Apache Hadoop(Java软件框架);MapReduce(Google引入的软件框架,该软件框架用于支持计算机集群上的对大数据集的分布式计算);云应用等。具有本地闪存和/或固态盘(SSD)的计算机(服务器)404a-n支持搜索、MySQL、CDN、软件即服务(SaaS)、云应用等。单个大型慢速风扇405扩增它上方的竖直安装的服务器的对流冷却。数据中心400具有例如简单磁盘捆绑(JBOD)配置的硬盘阵列406并且可选地具有盘外形规格的Smooth-Stone计算单元(例如阵列406和407中的绿框),这些计算单元可选地充当盘控制器。硬盘服务器或者SS盘服务器可以用于web服务器、用户应用和云应用等。也示出了存储服务器的阵列407和历史服务器408a、b(任何大小、任何销售商),历史服务器408a、b具有用于旧式应用的标准以太网接口。
机架400中的数据中心使用专用系统互连方式,该方式明显减少功率和接线并且实现异构系统,从而将集成现有的基于以太网的服务器并且实现旧式应用。在一个方面中,完整服务器或者存储服务器置于具有盘或者SSD外形规格中,具有带有4个ServerNodeTM的8-16个SATA接口和带有4个ServerNodeTM的8个PCIe x4接口。它使用如下专用板来支持盘和/或SSD + ServerNodeTM,该专用板与(一个或多个)盘配对并且支持Web应用、用户应用、云应用、盘高速缓存等。
Smooth-Stone XAUI系统互连减少机架的功率、接线和大小。无需个别服务器上的高功率、昂贵以太网交换机和高功率以太网Phy。它明显减少线缆(线缆复杂性、成本和显著故障源)。它也实现机架以内的异构服务器混合,从而支持任何使用以太网或者SATA或者PCIe的设备。它可以集成到系统互连中。
具有分组交换机功能的片上服务器(SOC)的这里呈现的方面着重于网络聚合。SOC在功能上不是完全等同于工业标准网络交换机(如例如Cisco交换机或者路由器)。但是对于贯穿本文档讨论的某些应用,它赋予更佳价格/性能比以及功率/性能比。它包含层2分组交换机,基于源/目的地MAC地址路由。它还支持虚拟局域网(VLAN)而在域上可配置VLAN过滤传入分组以最小化域中的不必要的业务。在没有嵌入式交换机明确地具有VLAN支持的情况下,SOC内的嵌入式MAC具有向整个SOC提供VLAN能力的完整VLAN支持。它也可以通过管理处理器唤醒系统从而向管理处理器通知链接状态转变以对路由配置重新编程来绕过(route around)故障。这样的功能无需层3(或者以上)处理(即它不是路由器)。它也未赋予完整VLAN支持、针对QoS/CoS的支持、地址学习、过滤、生成树协议(STP)等。
图4示出了网络系统的高级拓扑800,该拓扑图示了由交换结构连接的XAUI连接SoC节点。10Gb以太网端口Eth0 801a和Eth1 801b来自树的顶层。椭圆802a-n是包括计算处理器以及嵌入式交换机两者的Smooth-Stone节点。这些节点具有连接到内部交换机的五个XAUI链接。交换层将所有五个XAUI链接用于交换。0级叶节点802d、e(即N0n节点或者Nxy,其中x=级别并且y=项目编号)仅使用一个XAUI链接以附着到互连,从而留下可以用作XAUI、10Gb以太网、PCIe、STAT等的四个高速端口用于附着到I/O。大多数树和粗树仅以活跃节点作为叶节点,并且其他节点为纯交换节点。这一方式使路由简单直接得多。拓扑800具有允许每个节点为组合计算和交换节点或者仅为交换节点的灵活性。多收树型实施在叶节点上具有I/O,但是拓扑800让I/O在任何节点上。一般而言,将以太网置于树的顶层最小化了通向以太网的平均跳数。
更详细而言,图6中的面向树的拓扑中所示椭圆表示计算集群内的独立节点。图5A图示了集群的个别节点的一个示例实施。当着眼于例如图6中的拓扑的常规实施时,通常在更下级叶节点(例如N00-N08)中发现计算节点,并且更上级节点无计算元件而是仅为网络交换元件(N10-N21)。就图6A中所示节点架构而言,可以可选地实现A9核(905)或者可以仅让它们掉电。因而图6中的更上级交换节点(N10-N21)可以用作纯交换元件(如同传统实施),或者我们可以使A9核模块上电并且使用它们作为计算集群内的完整节点。
交换机结构需要路由帧预加(prepend)到以太网帧。交换机仅针对路由帧内的字段操作并且不直接检查以太网帧。图5a示出了根据这里公开的系统和方法的一个方面的示例性交换机900的框图。它具有四个兴趣区域910a-d。区域910a对应于在CPU与内部MAC之间的以太网分组。区域910b对应于在内部MAC的以太网物理接口处的以太网帧,该帧包含前导、帧开始和帧间间隙字段。区域910c对应于在外部MAC的以太网物理接口处的以太网帧,该帧包含前导、帧开始和帧间间隙字段。区域910d对应于在路由报头901的处理器与外部MAC 904之间的以太网分组。这一分段式MAC架构是不对称的。内部MAC具有通入路由报头处理器的以太网物理信令接口并且外部MAC具有通入路由报头处理器的以太网分组接口。因此,针对内部MAC和外部MAC多目的化MAC IP,并且利用通常是用于MAC向交换机中馈送的物理信令的内容。MAC配置使得A9核905的操作系统设备驱动器管理和控制内部Eth0 MAC 902和内部ETH1 MAC 903。管理处理器906的设备驱动器管理和控制内部Eth2 MAC 907。外部Eth MAC 904不受设备驱动器控制。以混杂(promiscuous)模式配置MAC 904以传递所有帧而无用于网络监视的任何过滤。在MAC的硬件实例化与任何其他必需管理处理器初始化之间协调这一MAC的初始化。外部Eth MAC 904寄存器对于A9 905和管理处理器906地址映射两者而言可见。用于外部Eth MAC 904的中断可路由到A9或者管理处理器。XGMAC支持CPU可能想要监视的若干可中断事件,包括XGMII链接故障状态的任何改变、热插拔或者去除PHY、活状态或者链接状态改变以及任何RMON计数器达到与阈值寄存器相等的值。
在一些情况下,根据具体微结构可以有前导、帧开始和跨越XAUI的帧间间隙。路由帧报头处理器可以标准化这些字段。XAUI接口可能需要一些或者所有这些字段。在这一情况下,在区域910d的路由报头处理器需要添加进入交换机的这些字段并且去除离开交换机的它们。为了减少需要通过XAUI发送的字节数量,可以去除这三个字段(如果XAUI接口允许它)。在这一情况下,在区域910b的路由报头处理器将需要剥离进入交换机的这些字段并且添回离开交换机的字段。
路由帧报头处理器从MAC接收以太网帧,从而向交换机发送路由帧。它也标准化前导、帧开始和帧间间隙字段、预加路由报头并且从交换机接收路由帧,从而向MAC中发送以太网帧。这一处理器然后剥离路由报头并且标准化前导、帧开始和帧间间隙字段。注意,在结构内流动的所有帧是路由帧而不是以太网帧。仅在分组经由MAC进入或者离开结构时完成以太网/路由帧转换。也注意,交换机内的路由逻辑可以改变路由帧内的字段。从未修改以太网帧(除了添加/去除前导、帧开始和帧间间隙字段之外)。
路由帧由路由帧报头加上以太网帧的核心部分组成并且结构化为如下表1中所示:
1
Figure 2010800601535100002DEST_PATH_IMAGE001
注意用于位大小设定(sizing)的实施假设是4096个节点→12位节点ID。可以按需在实施期间重新设定这些字段的大小。
路由帧报头由下表2中所示字段构成。
2
字段 宽度(位) 备注
Domain ID 5 与这一分组关联的域ID。0指示尚未指定域。
Mgmt Domain 1 指定在私有管理域上允许分组
Source Node 12 源节点ID
Source Port 2 0=MAC0, 1=MAC1, 2=MAC_管理处理器, 3=MAC_OUT
Dest Node 12 目的地节点ID
Dest port 2 0=MAC0, 1=MAC1, 2=MAC_管理处理器, 3=MAC_OUT
RF Type 2 路由帧类型 (0=单播,1=多播,2=邻居多播,3=有向链接)
TTL 6 存活时间-这一帧已经存在的跳跃数量。如果超过TTL阈值,则交换机将丢弃分组(并且向管理处理器通知异常)。
Broadcast ID 5 用于这一广播分组的这一源节点的广播ID。
Checksum 帧报头字段的校验和。
Total 46 +checksum
如果交换机接收校验和失败的分组,则丢弃分组、递增统计计数器并且通知管理处理器。
路由帧处理器在若干目的地MAC地址编码之间进行区分。作为提示,如图5b中所示格式化MAC地址。下表描述了MAC地址内的3字节OUI和3字节NIC特定字段的使用。这里公开的系统和方法的新颖方面之一是使用附加地址位以对内部到外部MAC映射编码,也如下表3中在“结构内部节点本地地址命中MAC查找CAM”下的第二条目中所示。
3
Figure 308132DEST_PATH_IMAGE002
另外,可以在表3中的“编码节点单播”以及允许一个内部节点或者链接对所有外部MAC部分寻址的“编码链接单播”和允许向邻近节点多播的“邻居多播”条目中发现其他新颖方面。
注意值SS_MAC_NODE_ENCODED_MAGIC和SS_MAC_LINK_ENCODED_MAGIC是用于唯一标识这些MAC地址类型的恒定标识符。术语“幻(magic)数”是用于如下恒定数值或者文本值的标准行业术语,该数值或者文本值用来标识文件格式或者协议。在两个寄存器(magicNodeEncodedMAC和macgicLinkEncodedMAC,这些寄存器在硬件初始化期间默认为标准值、但是允许管理处理器软件以如果必要则改变它们)中配置这些幻数。
报头处理器包含MAC查找CAM(内容可寻址存储器)、即macAddrLookup,其如下表4中所示从6字节MAC地址映射到12位节点ID。
4
Figure 2010800601535100002DEST_PATH_IMAGE003
这一CAM中的行数依赖于实施,但是将有望在256-1204行的级。管理处理器利用用于SS结构内的所有节点的节点ID映射初始化CAM。根据用于行的本地节点(Node Local)位的设置有两类行。本地节点字段针对默认MAC地址允许CAM中的MAC地址的4:1压缩,从而将所有四个MAC映射到CAM表(下表5)中的单行。
5
MAC地址类型 本地节点 MAC地址 端口ID
本地节点 1 编码节点地址指代用于节点的Smooth Stone分配的MAC地址。它将端口#(MAC0,MAC1,管理处理器,Rsvd)编码成NIC地址字段的最低两位中的2位端口ID。在匹配期间忽略低2位。 取自MAC地址输入的低2位
任意 0 与所有6字节匹配 取自CAM输出字段
CAM中的任意行允许将MAC地址别名映射到节点。Linux(和MAC)允许在网络接口上重新分配MAC地址(例如利用ifconfig eth0 hw ether 00:80:48:BA:d1:30)。这有时由虚拟化/云计算用来避免需要在开始会话之后对重新ARP(re-ARP)的需要。
交换机架构提供如下次级MAC查找CAM,该CAM仅存储用于与交换机OUI匹配的那些地址的MAC地址的NIC特定部分的3字节。这一本地OUI CAM的可用性由实施确定。见下表6。
6
Figure 523475DEST_PATH_IMAGE004
可以评估用于三类MAC地址编码的最大节点数量限制如下:
1.默认MAC地址-管理处理器设置用于结构中的每个节点的本地节点映射。在CAM中有用于每个节点的一个条目。最大节点数量由MAC地址查找CAM中的最大行数控制。
2.编码节点地址-对所有MAC重新编程以使用编码节点地址。以这一方式,将节点ID直接编码成MAC地址。未使用MAC查找CAM中的条目。最大节点数量由单播查找表中的最大行数控制(与查找CAM相比更容易变大)。注意这也在MAC查找CAM逻辑失败的情况下给予我们一些风险减轻。针对编码节点地址思想提供用例。
3.任意MAC地址别名-取CAM中的行。作为示例,512行CAM可以保持256个节点(本地节点地址)+每个节点1个MAC地址别名。
由于仅在路由报头创建期间访问查找CAM,所以如果结构内的MAC地址用作分组内的源或者目的地MAC地址,则管理处理器实际上仅需填充行。换而言之,如果两个节点将从不相互通话,则无需创建映射行。但是通常管理处理器不会具有该知识,因此期望在所有节点中创建用于所有节点的映射。也注意即使未在查找CAM中创建条目,实际上仍将通过将分组通过外部路由器路由除以太网网关、回到结构、去往目的地阶段来使路由成功。
表7定义如何针对除了目的地节点和端口之外的所有字段设置路由报头内的字段。
7
表8定义如何设置用于结构内的地址的目的地节点和端口。
8
用例 字段:Destination Node 字段:Destination Port
编码节点目的地地址 目的地节点 目的地端口
编码链接目的地地址 编码链接 目的地端口
命中查找CAM(本地节点) CAM目的地节点 目的地MAC(低2位)
命中查找CAM(不是本地节点) CAM目的地节点 CAM目的地端口
表9定义如何设置用于结构以外的地址的目的地节点和端口。
9
用例 字段:Destination Node 字段:Destination Port
进入OUT以太网,但是没有定义的次级网关 丢弃分组、更新统计计数器
进入OUT以太网和定义的次级网关 secondaryEthGatewayNode[OUT] OUT
来自内部MAC,但是没有定义的初级网关 丢弃分组、更新统计计数器并且通知管理处理器
来自内部MAC和定义的初级网关 primaryEthGatewayNode[来自端口] OUT
此外,这里公开的系统和方法的管理处理器软件架构依赖于管理处理器节点相互“信任”的能力。关于管理处理器到管理处理器通信的这一更严格安全性以及跨越结构的在私有管理LAN上的更佳安全性是希望的。可以通过针对需要多个“硬”安全域的环境简单地定义客户简单地不混合结构内的安全域来减轻这一结构问题。在这样的情况下,可以有可能将14节点的板连接到机架顶交换机,从而允许客户具有每个14节点板的VLAN粒度控制。
已经描述的多域结构架构通过跨越结构创建安全“隧道”和域来解决VLAN支持的缺乏,并且它可以在1:1基础上与VLAN保护的路由器端口互操作。
这里公开的系统和方法中的用于域管理的方式如下:支持结构内的多个域ID。允许向域ID个别分配(并且如果未设置则用域0标注)节点内的每个MAC(管理处理器、MAC0、MAC1、网关)。允许节点内的每个MAC具有指示对管理域的访问的位。与MAC关联的域ID仅可以由管理处理器分配并且不可以由A9变更。对于MAC(内部和外部两者)生成的帧,路由帧处理器将用与该MAC关联的域ID和管理域状态标注路由帧。域将提供隧道或者VLAN的效果,其中它们将分组(单播和多播)保持于该域内,从而允许该域以外的MAC不能够嗅探或者欺骗那些分组。此外,这一方式将运用五位域ID。它将添加用于控制域处理的选项(如例如具有每MAC的布尔值的交换机,该布尔值定义分组是否与非定义(即零)域ID一起递送,或者具有每MAC的布尔值的交换机,该布尔值定义分组是否与已定义(非零)、但是非匹配域ID一起递送。交换机中的又一选项可以关断每MAC的编码节点MAC地址(消除另一潜在攻击矢量样式)。
为了保持管理处理器到管理处理器通信安全,可以标记所有管理处理器MAC上的管理域位。一般而言,管理处理器应当在域1上(按照惯例)路由。这样的技术允许所有管理处理器隧穿管理域上的分组,使得其他VLAN或者域上的任何其他设备(在结构以内或者以外)不能检查或者欺骗它们。另外,为了提供安全管理LAN,可以分配具有设置的管理域位的网关MAC,从而保持管理分组对于于管理处理器域而言是私有的。此外,交换机结构可以通过关联每个网关MAC与单独域来支持它本身内的“多租户(tenant)”。例如每个网关MAC可以连接到外部路由器上的个别端口,从而允许该端口可选地与VLAN关联。在分组进入网关时,用域ID标注它们,从而保持该业务对于跨越结构的与该域关联的MAC而言私有。
交换机支持多个寄存器(aka CSR、aka MMR)以允许软件或者固件控制交换机。这些寄存器的实际布局将由实施限定。表10中列举的字段为软件读/写。所有这些寄存器需要具有一种用于保护它们不被A9写入的机制(可以是安全模式或者在管理处理器私有总线上)。
10
Figure 2010800601535100002DEST_PATH_IMAGE007
Figure 823056DEST_PATH_IMAGE008
表11中所示寄存器包含于交换机实施中,但是无需软件可访问。
11
字段 大小 备注
bcastIDNext 5位 将接着发出的下一广播序列ID。硬件将针对这一节点发起的每个广播分组递增这一字段。
bcastIDSeen[BCAST_ID_LEN] 5位数组[BCAST_ID_LEN]。 这一节点所见的广播标签的FIFO列表。
bcastIDSeenNext 用于编索引成BCAST_ID_LEN的位数 向bcastIDSeen[]中插入广播标签的下一数组位置。
注意软件应当能够关于活跃分组路由自动更新路由表(unicastRoute)和macAddrLookup CAM。一个实施将是在更新操作期间拖延对这些表的路由访问。
广播/多播路由
图6示出了根据这里公开的系统和方法的一个方面的示例性广播机制100。在节点N101001与N21 1002之间的链接如虚线1003所示向下。在多播分组的路由报头生成期间,源节点将用于该源节点的递增的广播ID置于路由帧(rframe.bcastID)中。当节点接收多播路由帧(即rframe.rfType==多播|| rframe.rfType ==邻居多播)时,它检查以查看它是否已经看见这一广播分组。通过访问具有如下标签的bcastIDseen CAM来完成检查,该标签形成有广播源节点和广播ID。如果已经看见它(即CAM命中),则不执行动作。如果以前尚未看见广播帧,则它将它广播到适当内部端口和外部网关(intPortBroadcastVec寄存器)并且经过除了它参与的链接之外的所有向外XAUI链接重新广播它。注意如果设置broadcastLateral寄存器则它仅经过横向广播。不必广播多数拓扑上的横向,并且这样做可以来通过禁用它来减少重复的广播分组数量。它然后按照FIFO顺序向bcastIDSeen CAM添加这一广播标签。在图7中,N04 1004向所有邻居(即N11 1105)发起广播。N11尚未看见分组,因而它向所有非传入邻居(在这一示例中为N21 1002、N20 1006、N03、1007和N05 1008)广播并且内部接受分组。节点N03和N05尚未看见分组,因而它们内部接受广播并且完成。N21尚未看见分组,因而它向所有活跃非传入链接(例如N10、N12 1009)广播分组并且内部接受分组。N20向所有活跃非传入链接(即N12)广播分组并且内部接受分组。N10向N00 1010、N01 1011和N02 1012向下广播。N12向N06 1013、N07 1014、N08 1015并且向N21和N20之一(它未从其获得广播分组的一个)重新广播。注意N20和N21之一以及N12看见分组两次。它们仅作用于它们的第一实例,它二次命中广播CAM视为重复,并且忽略分组。
单播路由
到其他节点的单播路由
单播路由(如图7中所示)负责将非多播(即单播)分组向下一节点路由。这通过利用软件计算的unicastRoute[]下一节点路由表来完成,该路由表提供用于到达目的地节点的可用链接的矢量。
条件
rframe.rfType==Unicast(单播)
路由
有与绕过故障有关的大量复杂性。将单独讨论无故障路由和绕过故障。
传统上,在树形路由中,分组将向上路由直至到达(源、目的地)的共同父亲。这一向上路由可以是确定性的、遗忘的(oblivious)或者自适应的。分组然后使用确定性路由向目的地向下路由。
作为示例,图7图示了从节点N00 1010到N08 1015的分组路由。分组在向上阶段中经过节点N10 1001向共同祖先(N21))路由,并且然后在下降阶段中向目的地路由。
注意在节点N10处的向上阶段期间有两个候选链接(N10,N21)和(N10,N20)。可以确定性地选择第一候选链接,或者自适应算法可以动态选择任一链接。但是一旦节点到达共同祖先并且向下转向,就没有用于节点到达目的地的冗余路径(一般而言)。
在不存在故障时的单播路由
每个链接在这一unicastRoute表内加注有2位链接权重,其中软件可以表达经由这一链接到目的地节点的相对成本/距离。按照惯例,链接权重应当表示:
· 0=无路由
· 3=直接下一跳连接
· 1和2=软件计算的相对成本。作为示例,如果有跨越3个链接(这些链接具有2个跳跃、3个跳跃和6个跳跃的成本)的路由,则可以向前两个链接分配权重=2并且可以向6个跳跃的路径分配权重=1。
用于无故障单播路由的算法:
· 从单播路由表获得链接权重矢量
◦ linkWeightVector = unicastRoute[rframe.dstNode]
· 去除它参与的链接以去除将它发送回的可能性
· 去除未向上的任何链接
· 在这一点具有如下链接的候选列表,这些链接具有关联链接权重。
· 从最高优先级(3)经过1向下开始经过链接权重迭代。收集在这一优先级的链接的候选列表,从而一旦候选列表具有至少一个链接就停止。结果是在最高优先级的链接的候选列表。作为示例,如果有处于权重=2的2个链接和处于权重=1的2个链接,则优先级化的候选列表将包含处于权重=2的两个链接。
· 检查自适应寄存器以确定是进行自适应还是确定性路由。
◦ adaptive(自适应)==0指示将使用确定性路由,因而从优先级化的候选列表选择第一链接。
◦ adaptive==1指示将使用自适应路由。交换机实施将选择一种用于从优先级化的候选列表自适应地选择目标链接的算法。该自适应算法可以与围绕列表的循环法(round-robin)一样简单。替代地,可以选择以考虑(factor in)其他属性(例如FIFO自由深度、链接速度…)
▪ 一个实施选项可以是添加寄存器选项以允许路由器从所有非零权重自适应地选择或者仅从最高优先级的候选列表自适应地选择。
· 从选择的链接发送分组。
故障恢复单播路由
数个问题造成故障恢复单播路由的复杂性:
· 希望仅用本地化知识完成故障路由。节点隐式地知道链接向下通向邻居节点。选择设计以避免由于在存在故障时维持全局统一状态的复杂性而必须传送链接(或者节点)向下去往结构中的别处。
· 树中的路由的性质。在分组路由的上升阶段期间,可以从冗余链接自适应地选择链接,因而避免具有正常自适应链接选择的链接可以是简单直接的。
· 但是一旦分组开始下降,传统上就没有用于下降路径的冗余路径(这些冗余路径遵循路由规则),因而故障路由可能变得有挑战性。
图8图示了链接故障(N10,N21)以及单播路由使用前文描述的正常自适应路由算法来选择(N10,N20)链接。但是注意如果分组向N20向上路由并且链接(N20,N12)向下,则没有用于到达目的地的容易路径。
具有用于处置绕过故障的两种方式:
· 软件可以组成具有权重=1的替代、但是非所需路由。将调用这些逃逸路由。这些是可能违反在绕过故障期间使用的严格路由规则的低优先级路由。作为示例,如果链接(N20,N12)向下,则用于N20的unicastRoute[N08]条目可以包含具有权重=2的通向N12的链接和具有权重=1的通向N11的链接。以这一方式,正常自适应路由算法将自动完成N20->N11->N21->N12->N08路径。
· 结构架构包括一种称为“误路由(misroute)”的技术。误路由提供迭代退回(backtrack)。
· 这两种技术将提供实质上的单播故障恢复。
单播误路由
作为示例,考虑以下拓扑,该拓扑具有已经出故障的3个链接1101、1102和1103(在图9中以红色示出)。考虑从N0到N3的单播路由。将考虑以下路由以理解误路由技术,从而理解这仅为可能已经自适应选择的若干路由之一。
· N0到N6路由的分组
· N6到N10路由的分组
· N10看见它除了它参与的链接之外没有用于达到N3的路径。N10在路由报头中设置misrouting位并且将它发送回到N6。
· N6看见分组被误路由、在路由报头中的misrouteVector中设置用于N10链接的位、选择尚未误路由的替代链接并且向N11发送分组。
· N11看见它除了它参与的链接之外没有通向N3的路径。misrouting位已经接通并且将它发送回到N6。
· N6看见分组被误路由、将N11链接添加到misrouteVector(现在包含N10和N11链接ID)、选择尚未误路由的替代链接并且将它发送到N7。
· N7看见设置了misrouting位、但是确实具有通向N3(通向N12)的有效链接,并且因此清除报头中的misrouting位并且向N12转发分组。
· N12向N9发送。
· N9 unicastRoute现在可能包含通向N3的链接(权重=3)和通向N8的链接(权重=2)。正常自适应路由将不选择通向N3的直接链接,因为它向下,并且将把分组向N8、然后最终向N3路由。
· 如果N6已经穷举它的候选链接列表(意味着misrouteVector将它们全部掩蔽),则实施然后具有两个选择:
◦ 丢弃分组并且向M3通知路由失败。
◦ 清除misrouteVector从而留下misrouting被设置并且经过向下链接之一(如果存在一个)转发分组。这将在更低一层重试误路由。实施可能想要具有用于在更低层选项实现这一重试的寄存器位(enableRecursiveMisrouting)。
有如下寄存器 enableMisrouting ,该寄存器允许软件控制交换机是否将发起误路由算法。
多域
发明人也知道如下多域,多域的目的在于增加节点到大量节点(例如64K个节点)的寻址性,而不必将单播路由表的大小增加至64K个节点。
如当前描述的那样,单播路由表是按照节点编号(即0到MAX_NODES-1)编索引的单维数组,其中一个典型实施将在256与4K个节点之间。
这一节现在将描述如何变更当前架构以支持具有最多64K个节点的多个域。
· 节点名称空间从自0到MAX_NODES-1的节点ID改变成(域ID,节点ID)的2元组,其中域ID和节点ID两者范围从0到255。因而可以有效地有256个域,其中每个域可以包含上至256个节点。
· 单播路由表从大小为MAX_NODES的单维表改变成大小为256的二维表。单播路由表现在从unicastRoute[NODES]的结构改变成unicastRoute[2][256]。
◦ 本地域路由:当向这一域内的节点路由时,单播路由表作为unicastRoute[0][节点ID]来访问并且提供用于从当前节点向指定的节点ID路由的加权链接矢量。
◦ 远程域路由:当向远程域内的节点路由时,单播路由表作为unicastRoute[1][域ID]来访问并且提供用于从当前节点向指定的域ID路由的加权链接矢量。
· 路由帧:向路由帧添加一位dstRemote,其在向远程域路由时被设置为真(true)。
· 本地监管的MAC地址:下面的节描述编码节点单播MAC地址编码如下:
Figure 2010800601535100002DEST_PATH_IMAGE009
这针对多域而获得更改如下:
Figure 637428DEST_PATH_IMAGE010
· 创建路由帧报头:表2描述用于创建路由帧报头的算法。这在多域情况下由以下扩充:
If ( dstDomain == myDomainID) { //路由到本地域
rframe.dstRemote = false;
rframe.dstNode = dstNode;
}
else { // 路由到远程域
rframe.dstRemote = true;
rframe.dstNode = dstDomain;
网络代理
网络代理的概念是主处理器(图5A,905)用于在处于低功率睡眠/冬眠(hibernation)状态时维持网络存在并且当需要进一步处理时智能醒来的能力。有与网络代理有关的若干架构特征:
· 有用于允许重新映射端口ID的CSR(portRemap)。在效果上,当交换机将向内部MAC0端口(例如图5A,902)递送分组时,这一端口重新映射CSR允许软件将MAC0重新映射到管理处理器MAC(例如图5A,907)并且让分组递送到管理处理器用于网络代理处理。这一重新映射CSR也可以用来将MAC1业务重新映射到MAC0或者MAC1业务重新映射到管理处理器。
· 通常,交换机看到路由帧的目的地节点ID以决定分组是向节点内的内部端口递送还是获得向其他XAUI连接节点路由。这通过匹配目的地节点ID与“我的节点ID”来完成。如果nodeRangeLo <= Destination_Node <= nodeRangeHi || myNodeID==Destination_Node,则节点ID匹配寄存器(nodeRangeLo,nodeRangeHi)使分组向节点内的内部端口递送。这允许节点为节点子树做代理。
典型使用序列将是以下形式:
· 管理处理器维持节点上的用于MAC0和MAC1的IP到MAC地址映射。这可以经由这些映射的从主处理器OS到管理处理器的显式通信来完成或者可以通过让管理处理器探听本地无偿ARP广播来隐式地完成。
· 主处理器与管理处理器协调以进入低功率休眠(dormant)状态。在这一转变期间,管理处理器建立用于将MAC0和MAC1业务向管理处理器路由的端口ID重新映射CSR。
· 管理处理器处理任何传入MAC0/MAC1分组。有3类处理:
· 对需要简单响应(例如ARP响应和ICMP ping)的一些事务类做出响应。
· 倾卸(dump)和忽略一些分组类,通常为以其他计算机为目标的单播或者广播分组。
· 决定必须唤醒主处理器以处理一些分组类。管理处理器将唤醒主处理器、撤消端口ID重新映射寄存器并且经过交换机重新发送回分组,其中它们将获得重新路由回到MAC/1。
LAN 上唤醒的幻分组( magic packet
在传统桌面型计算机中,待唤醒的计算机被关闭(睡眠、冬眠或者软关断;即ACPI状态G1或者G2)而为网卡保留功率,但是未从它的电源断开。网卡监听包含它的MAC地址的具体分组、调用幻分组、在用于该特定子网(或者整个LAN,但是这需要特殊硬件或者配置)的广播地址上广播。在OSI模型中的数据链路或者层2上发送幻分组并且向广播地址的网络内的所有NIC广播该分组;未使用IP地址(OSI模型中的层3)。当监听计算机接收这一分组时,网卡为了正确信息检查分组。如果幻分组有效,则网卡使计算机脱离冬眠或者待机或者启动它。
幻分组是如下广播分组,该分组在它的净荷内别处包含:6个字节的一(产生十六进制FF FF FF FF FF FF)、跟随有目标计算机的MAC地址的十六次重复。由于仅针对上述串扫描幻分组而不是通过全协议栈实际解析该幻分组,所以可以发送它作为任何网络和传送层协议的广播分组。通常将它发送到端口0、7或者9作为UDP数据报或者在以前时间作为IPX分组。
使用刚才描述的网络代理结构,管理处理器可以支持这些LAN上唤醒的分组。它将获得这些广播分组、将知道用于节点上的其他MAC的MAC地址并且能够适当地唤醒主处理器。在交换机中无需进一步功能以支持这些LAN上唤醒的分组。
尽管前文已经参照本发明的特定实施例,但是本领域技术人员将理解可以做出这一实施例中的改变而不脱离本公开的原理和精神,本公开的范围由所附权利要求书限定。

Claims (24)

1.一种交换结构系统,包括:多个节点;与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的所述交换结构的树形拓扑和图形拓扑之一;并且其中指定每个链接为拓扑内的向上链接、向下链接和横向链接之一。
2.根据权利要求1所述的交换结构系统,其中每个节点可以是计算和交换节点以及交换节点之一。
3.根据权利要求2所述的系统,其中每个节点包括输入/输出。
4.一种具有多个节点的交换结构系统,其中每个节点可以是计算和交换节点以及交换节点之一。
5.一种用于交换结构系统的交换机,所述交换结构系统具有多个节点,所述多个节点具有分段式介质访问控制(MAC)架构,所述交换机包括:
至少一个处理器单元,控制所述交换机中的数据路由;
所述交换机的第一部分,连接到所述至少一个处理器并且具有用于数据的通向以太网控制器的接口;
所述交换机的第二部分,将所述数据转换成多个分组并且向结构交换机发送所述多个分组;以及
分组交换机,具有连接到所述交换机的所述第二部分的端口,所述端口执行以太网信令。
6.根据权利要求1所述的系统,其中所述交换结构还包括:至少一个处理器单元,控制所述交换机中的数据路由;交换机的第一部分,连接到所述至少一个处理器并且具有用于数据的通向以太网控制器的接口;所述交换机的第二部分,将所述数据转换成多个分组并且向结构交换机发送所述多个分组;以及分组交换机,具有连接到所述交换机的所述第二部分的端口,所述端口执行以太网信令。
7.根据权利要求4所述的系统,其中所述交换结构还包括:至少一个处理器单元,控制交换机中的数据路由;所述交换机的第一部分,连接到所述至少一个处理器并且具有用于数据的通向以太网控制器的接口;所述交换机的第二部分,将所述数据转换成多个分组并且向结构交换机发送所述多个分组;以及分组交换机,具有连接到所述交换机的所述第二部分的端口,所述端口执行以太网信令。
8.一种交换结构系统,包括多个节点;每个节点具有管理处理器;并且其中在所述多个节点的所述管理处理器之间的通信路径安全,使得所述管理器可以相互信任。
9.一种针对内部MAC和外部MAC多目的化以太网MAC控制器IP并且利用通常是用于所述MAC向交换机中馈送的物理信令的内容的方法。
10.一种交换结构系统中的非欺骗通信的方法,所述方法包括:
提供多个节点,其中每个节点具有管理处理器并且所述管理处理器执行的一款或者多款软件是验证的软件;并且
在所述多个节点之间建立信任关系,其中建立所述信任关系还包括在分组的源节点处向路由报头中插入域ID;并且
在所述源节点和目的地节点的所述管理处理器之间安全通信,其中安全通信出现于具有域ID的所述分组的所述路由报头由所述目标节点验证时。
11.一种在交换结构中的故障恢复单播路由的方法,所述交换结构具有:多个节点;以及与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建具有多个路由的交换结构,所述方法包括:
生成从所述交换结构中的第一节点到第二节点的逃逸路由,其中所述逃逸路由具有低优先级权重;并且
当链接不活跃时将数据从所述第一节点向所述第二节点误路由,其中所述逃逸路由和误路由向所述交换结构提供容错性。
12.根据权利要求11所述的方法,其中将数据从所述第一节点向所述第二节点误路由还包括迭代地退回以当在所述第一与第二节点之间的链接不活跃时经过一个或者多个居间节点将数据从所述第一节点向第二节点路由。
13.根据权利要求12所述的方法,其中所述迭代地退回还包括:
当数据路径中的节点无通向所述第二节点的链接路径时在所述数据的报头中设置误路由位;
将所述数据发送回到原始节点,所述原始节点向设置所述误路由位的所述节点发送所述数据;
所述原始节点为所述数据选择一个或者多个替代链接;并且
如果所述数据通过所述替代链接之一到达所述第二节点,则清除所述数据的报头中的所属误路由位。
14.一种交换机,包括:
一个或者多个主处理器,在不活跃时进入冬眠状态;
管理处理器,不进入所述冬眠状态;
具有第一地址的第一以太网端口和具有第二地址的第二以太网端口;并且
其中所述第一和第二地址在所述一个或者多个主处理器处于所述冬眠状态中时重新映射到所述管理处理器。
15.根据权利要求14所述的交换机,其中所述管理处理器通过丢弃具有特定类的分组、充当代理并且对所述分组做出响应以维持冬眠的主处理器的网络存在并且确定所述分组应当由所述主处理器处置并且唤醒冬眠的处理器以处置传入分组之一来处理重新映射到所述管理处理器的所述传入分组。
16.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;以及
管理处理器,控制经过所述交换结构的数据路由,其中所述管理处理存储节点名称空间数组,其中每个节点名称具有域标识符和节点标识符,以及二维路由表,其中数据分组向所述节点标识符和所述域标识符标识的多域节点路由。
17.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;以及
至少一个路由报头处理器,使用路由表来生成用于以太网帧分组的路由帧报头,所述路由表将两个或者更多邻接MAC地址压缩成所述路由表中的单个条目。
18.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;以及
至少一个路由报头处理器,使用路由表来生成用于以太网帧分组的路由帧报头,所述路由表具有编码链接单播条目,其中通过具体链接向目的地节点传送特定以太网帧分组。
19.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;以及
至少一个路由报头处理器,使用路由表来生成用于以太网帧分组的路由帧报头,所述路由表具有编码节点单播条目,使得向具有特定节点ID的节点发送以太网帧分组,使得将所述节点ID编码成地址。
20.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;
至少一个路由报头处理器,使用路由表来生成用于以太网帧分组的路由帧报头;并且
其中所述管理处理器探听本地发起的广播并且向所述管理处理器发送所述本地发起的广播。
21.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;以及
至少一个路由报头处理器,使用路由表来生成用于以太网帧分组的路由帧报头,所述路由表将非交换结构地址映射到节点。
22.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;以及
至少一个路由报头处理器,使用路由表来生成用于以太网帧分组的路由帧报头,所述路由表具有用于每个链接的路径成本和权重以允许数据分组的自适应路由。
23.一种交换机系统,包括:
多个节点;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;以及
至少一个路由报头处理器,使用路由表来生成用于以太网帧分组的路由帧报头,所述路由表具有邻居多播条目,使得经过所述链接向源节点的邻近节点发送、但是不向不是邻近节点的其他节点广播一个或者多个分组。
24.一种交换机系统,包括:
多个节点,其中每个节点具有交换机;
与每个节点关联的多个链接,将所述节点连接到所述多个节点中的另一节点以创建用于经过所述多个节点路由数据的交换结构的拓扑;
管理处理器,控制经过所述交换结构的数据路由;以及
在每个交换机处的至少一个路由报头处理器,将用于来自源节点的传入广播分组的广播ID与广播ID和源节点的广播条目进行比较以确定所述传入广播分组是否已经穿过特定交换机,并且其中如果所述传入广播分组尚未穿过所述特定交换机,则经过连接到具有所述特定交换机的节点的所有链接重新广播所述传入广播分组。
CN201080060153.5A 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法 Expired - Fee Related CN102668473B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201510827453.6A CN105357152B (zh) 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法
CN201510217826.8A CN104836755B (zh) 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US25672309P 2009-10-30 2009-10-30
US61/256723 2009-10-30
US12/794,996 US20110103391A1 (en) 2009-10-30 2010-06-07 System and method for high-performance, low-power data center interconnect fabric
US12/794996 2010-06-07
PCT/US2010/053227 WO2011053488A1 (en) 2009-10-30 2010-10-19 System and method for high-performance, low-power data center interconnect fabric

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201510827453.6A Division CN105357152B (zh) 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法
CN201510217826.8A Division CN104836755B (zh) 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法

Publications (2)

Publication Number Publication Date
CN102668473A true CN102668473A (zh) 2012-09-12
CN102668473B CN102668473B (zh) 2015-12-16

Family

ID=43922457

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510217826.8A Expired - Fee Related CN104836755B (zh) 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法
CN201080060153.5A Expired - Fee Related CN102668473B (zh) 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510217826.8A Expired - Fee Related CN104836755B (zh) 2009-10-30 2010-10-19 用于高性能、低功率数据中心互连结构的系统和方法

Country Status (6)

Country Link
US (11) US20110103391A1 (zh)
EP (2) EP2494748B1 (zh)
JP (1) JP2013509808A (zh)
KR (1) KR101516216B1 (zh)
CN (2) CN104836755B (zh)
WO (1) WO2011053488A1 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103297560A (zh) * 2013-05-21 2013-09-11 江苏物联网研究发展中心 一种数据流分类的方法及服务器
CN104737507A (zh) * 2012-10-10 2015-06-24 思科技术公司 在大规模数据中心环境中用机会第3层转发确保任意到任意可达性
CN105359468A (zh) * 2013-12-06 2016-02-24 英特尔公司 使用与链路结构分组异步的微片捆包的链路传送、位错误检测以及链路重试
CN105426245A (zh) * 2014-06-30 2016-03-23 伊姆西公司 包括分散的部件的动态地组成的计算节点
CN105550157A (zh) * 2015-12-24 2016-05-04 中国科学院计算技术研究所 一种分形树结构通信结构、方法、控制装置及智能芯片
CN106537363A (zh) * 2014-07-07 2017-03-22 赛灵思公司 桥接的总线间通信
CN109690502A (zh) * 2016-09-08 2019-04-26 高通股份有限公司 使用硬件控制的分离监听目录的相干互连功率降低
CN110149235A (zh) * 2019-05-28 2019-08-20 中山大学 一种支持多用户和多网络协议、可动态扩展的树状网络代理系统
CN112532501A (zh) * 2019-09-18 2021-03-19 中国电信股份有限公司 主机物理地址处理方法和装置、计算机可读存储介质
CN113824632A (zh) * 2021-09-03 2021-12-21 比威网络技术有限公司 安全分级多径路由中的途径点压缩方法和装置

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8782654B2 (en) 2004-03-13 2014-07-15 Adaptive Computing Enterprises, Inc. Co-allocating a reservation spanning different compute resources types
WO2005089241A2 (en) 2004-03-13 2005-09-29 Cluster Resources, Inc. System and method for providing object triggers
US20070266388A1 (en) 2004-06-18 2007-11-15 Cluster Resources, Inc. System and method for providing advanced reservations in a compute environment
US8176490B1 (en) 2004-08-20 2012-05-08 Adaptive Computing Enterprises, Inc. System and method of interfacing a workload manager and scheduler with an identity manager
US8271980B2 (en) 2004-11-08 2012-09-18 Adaptive Computing Enterprises, Inc. System and method of providing system jobs within a compute environment
US8863143B2 (en) 2006-03-16 2014-10-14 Adaptive Computing Enterprises, Inc. System and method for managing a hybrid compute environment
US9231886B2 (en) 2005-03-16 2016-01-05 Adaptive Computing Enterprises, Inc. Simple integration of an on-demand compute environment
EP3203374B1 (en) 2005-04-07 2021-11-24 III Holdings 12, LLC On-demand access to compute resources
US8041773B2 (en) 2007-09-24 2011-10-18 The Research Foundation Of State University Of New York Automatic clustering for self-organizing grids
US20130107444A1 (en) 2011-10-28 2013-05-02 Calxeda, Inc. System and method for flexible storage and networking provisioning in large scalable processor installations
US20110103391A1 (en) 2009-10-30 2011-05-05 Smooth-Stone, Inc. C/O Barry Evans System and method for high-performance, low-power data center interconnect fabric
US8599863B2 (en) * 2009-10-30 2013-12-03 Calxeda, Inc. System and method for using a multi-protocol fabric module across a distributed server interconnect fabric
US9465771B2 (en) 2009-09-24 2016-10-11 Iii Holdings 2, Llc Server on a chip and node cards comprising one or more of same
US9876735B2 (en) 2009-10-30 2018-01-23 Iii Holdings 2, Llc Performance and power optimized computer system architectures and methods leveraging power optimized tree fabric interconnect
US9069929B2 (en) 2011-10-31 2015-06-30 Iii Holdings 2, Llc Arbitrating usage of serial port in node card of scalable and modular servers
US9054990B2 (en) * 2009-10-30 2015-06-09 Iii Holdings 2, Llc System and method for data center security enhancements leveraging server SOCs or server fabrics
US9077654B2 (en) 2009-10-30 2015-07-07 Iii Holdings 2, Llc System and method for data center security enhancements leveraging managed server SOCs
US11720290B2 (en) 2009-10-30 2023-08-08 Iii Holdings 2, Llc Memcached server functionality in a cluster of data processing nodes
US9648102B1 (en) * 2012-12-27 2017-05-09 Iii Holdings 2, Llc Memcached server functionality in a cluster of data processing nodes
US9311269B2 (en) 2009-10-30 2016-04-12 Iii Holdings 2, Llc Network proxy for high-performance, low-power data center interconnect fabric
US9680770B2 (en) 2009-10-30 2017-06-13 Iii Holdings 2, Llc System and method for using a multi-protocol fabric module across a distributed server interconnect fabric
US10877695B2 (en) 2009-10-30 2020-12-29 Iii Holdings 2, Llc Memcached server functionality in a cluster of data processing nodes
US8594100B2 (en) 2010-03-31 2013-11-26 International Business Machines Corporation Data frame forwarding using a distributed virtual bridge
US8358661B2 (en) * 2010-04-20 2013-01-22 International Business Machines Corporation Remote adapter configuration
US8619796B2 (en) 2010-04-22 2013-12-31 International Business Machines Corporation Forwarding data frames with a distributed fiber channel forwarder
WO2011156746A2 (en) * 2010-06-11 2011-12-15 California Institute Of Technology Systems and methods for rapid processing and storage of data
US9525647B2 (en) 2010-07-06 2016-12-20 Nicira, Inc. Network control apparatus and method for creating and modifying logical switching elements
US8750164B2 (en) * 2010-07-06 2014-06-10 Nicira, Inc. Hierarchical managed switch architecture
US8447909B2 (en) 2010-07-19 2013-05-21 International Business Machines Corporation Register access in distributed virtual bridge environment
US8856321B2 (en) * 2011-03-31 2014-10-07 International Business Machines Corporation System to improve operation of a data center with heterogeneous computing clouds
US8924752B1 (en) 2011-04-20 2014-12-30 Apple Inc. Power management for a graphics processing unit or other circuit
US9066160B2 (en) * 2011-07-07 2015-06-23 Alcatel Lucent Apparatus and method for protection in a data center
US8612583B2 (en) * 2011-07-29 2013-12-17 Cisco Technology, Inc. Network management system scheduling for low power and lossy networks
WO2012083705A1 (zh) * 2011-08-11 2012-06-28 华为技术有限公司 一种实现对称多处理系统的节点聚合系统
US8861400B2 (en) 2012-01-18 2014-10-14 International Business Machines Corporation Requesting multicast membership information in a distributed switch in response to a miss event
US8891535B2 (en) 2012-01-18 2014-11-18 International Business Machines Corporation Managing a global forwarding table in a distributed switch
US9128949B2 (en) 2012-01-18 2015-09-08 Cloudera, Inc. Memory allocation buffer for reduction of heap fragmentation
US20130250802A1 (en) * 2012-03-26 2013-09-26 Praveen Yalagandula Reducing cabling costs in a datacenter network
US9390461B1 (en) * 2012-05-08 2016-07-12 Apple Inc. Graphics hardware mode controls
US20130346655A1 (en) * 2012-06-22 2013-12-26 Advanced Micro Devices, Inc. Bus agent capable of supporting extended atomic operations and method therefor
CN102801599B (zh) * 2012-07-26 2015-09-30 华为技术有限公司 一种通信方法和系统
US9699263B1 (en) 2012-08-17 2017-07-04 Sandisk Technologies Llc. Automatic read and write acceleration of data accessed by virtual machines
JP2015532985A (ja) * 2012-09-06 2015-11-16 ピーアイ−コーラル、インク. 大規模なデータ記憶および受け渡しシステム
US10454997B2 (en) * 2012-09-07 2019-10-22 Avigilon Corporation Distributed physical security system
US9507406B2 (en) 2012-09-21 2016-11-29 Atmel Corporation Configuring power domains of a microcontroller system
US9618991B1 (en) 2012-09-27 2017-04-11 Google Inc. Large-scale power back-up for data centers
US9170971B2 (en) * 2012-12-26 2015-10-27 Iii Holdings 2, Llc Fabric discovery for a cluster of nodes
US11132277B2 (en) 2012-12-28 2021-09-28 Iii Holdings 2, Llc System and method for continuous low-overhead monitoring of distributed applications running on a cluster of data processing nodes
US9356884B2 (en) * 2013-01-17 2016-05-31 Cisco Technology, Inc. MSDC scaling through on-demand path update
US9372825B1 (en) * 2013-02-27 2016-06-21 Netapp, Inc. Global non-volatile solid-state cache in a network storage system
US9389940B2 (en) * 2013-02-28 2016-07-12 Silicon Graphics International Corp. System and method for error logging
US9870830B1 (en) 2013-03-14 2018-01-16 Sandisk Technologies Llc Optimal multilevel sensing for reading data from a storage medium
KR102044023B1 (ko) * 2013-03-14 2019-12-02 삼성전자주식회사 키 값 기반 데이터 스토리지 시스템 및 이의 운용 방법
US20140344431A1 (en) * 2013-05-16 2014-11-20 Aspeed Technology Inc. Baseboard management system architecture
CN104166628B (zh) * 2013-05-17 2018-05-18 华为技术有限公司 管理内存的方法、装置和系统
US9330055B2 (en) * 2013-06-04 2016-05-03 International Business Machines Corporation Modular architecture for extreme-scale distributed processing applications
US9304577B2 (en) 2013-06-05 2016-04-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Reducing power consumption and wakeup latency in SSD controllers by not resetting flash devices
US9477276B2 (en) 2013-06-13 2016-10-25 Dell Products L.P. System and method for switch management
US9619389B1 (en) * 2013-07-11 2017-04-11 Unigen Corporation System for a backward and forward application environment compatible distributed shared coherent storage
US9146814B1 (en) * 2013-08-26 2015-09-29 Amazon Technologies, Inc. Mitigating an impact of a datacenter thermal event
US9639463B1 (en) 2013-08-26 2017-05-02 Sandisk Technologies Llc Heuristic aware garbage collection scheme in storage systems
US9383807B2 (en) 2013-10-01 2016-07-05 Atmel Corporation Configuring power domains of a microcontroller system
KR102147629B1 (ko) 2013-11-18 2020-08-27 삼성전자 주식회사 플렉시블 서버 시스템
US9703816B2 (en) 2013-11-19 2017-07-11 Sandisk Technologies Llc Method and system for forward reference logging in a persistent datastore
US9582058B2 (en) 2013-11-29 2017-02-28 Sandisk Technologies Llc Power inrush management of storage devices
KR200476881Y1 (ko) * 2013-12-09 2015-04-10 네이버비즈니스플랫폼 주식회사 냉기공급용 부스장치
US9497283B2 (en) * 2013-12-13 2016-11-15 Oracle International Corporation System and method for providing data interoperability in a distributed data grid
US9654852B2 (en) * 2013-12-24 2017-05-16 Nec Corporation Scalable hybrid packet/circuit switching network architecture
US10313438B1 (en) * 2013-12-30 2019-06-04 Emc Corporation Partitioned key-value store with one-sided communications for secondary global key lookup by range-knowledgeable clients
WO2015100558A1 (zh) * 2013-12-30 2015-07-09 华为技术有限公司 管理网络设备的物理位置的方法和装置
US9438435B2 (en) 2014-01-31 2016-09-06 Intenational Business Machines Corporation Secure, multi-tenancy aware and bandwidth-efficient data center multicast
US9734063B2 (en) 2014-02-27 2017-08-15 École Polytechnique Fédérale De Lausanne (Epfl) Scale-out non-uniform memory access
US9703636B2 (en) * 2014-03-01 2017-07-11 Sandisk Technologies Llc Firmware reversion trigger and control
US9547553B1 (en) 2014-03-10 2017-01-17 Parallel Machines Ltd. Data resiliency in a shared memory pool
EP2924934B1 (en) 2014-03-28 2018-09-26 Airbus Operations GmbH Ethernet switch and method for establishing forwarding patterns in an ethernet switch
US9626399B2 (en) 2014-03-31 2017-04-18 Sandisk Technologies Llc Conditional updates for reducing frequency of data modification operations
US9626400B2 (en) 2014-03-31 2017-04-18 Sandisk Technologies Llc Compaction of information in tiered data structure
US9697267B2 (en) 2014-04-03 2017-07-04 Sandisk Technologies Llc Methods and systems for performing efficient snapshots in tiered data structures
US9781027B1 (en) 2014-04-06 2017-10-03 Parallel Machines Ltd. Systems and methods to communicate with external destinations via a memory network
US9866587B2 (en) * 2014-04-09 2018-01-09 Entit Software Llc Identifying suspicious activity in a load test
US9846658B2 (en) * 2014-04-21 2017-12-19 Cisco Technology, Inc. Dynamic temporary use of packet memory as resource memory
US9690713B1 (en) 2014-04-22 2017-06-27 Parallel Machines Ltd. Systems and methods for effectively interacting with a flash memory
US9594688B1 (en) 2014-12-09 2017-03-14 Parallel Machines Ltd. Systems and methods for executing actions using cached data
US10050901B2 (en) * 2014-04-22 2018-08-14 Cisco Technology, Inc. Efficient management and configuration of in-band resources
US9529622B1 (en) 2014-12-09 2016-12-27 Parallel Machines Ltd. Systems and methods for automatic generation of task-splitting code
US20170048167A1 (en) * 2014-04-30 2017-02-16 Hewlett Packard Enterprise Development Lp Flood disable on network switch
US9497140B2 (en) * 2014-05-14 2016-11-15 International Business Machines Corporation Autonomous multi-node network configuration and self-awareness through establishment of a switch port group
US10162748B2 (en) 2014-05-30 2018-12-25 Sandisk Technologies Llc Prioritizing garbage collection and block allocation based on I/O history for logical address regions
US10656840B2 (en) 2014-05-30 2020-05-19 Sandisk Technologies Llc Real-time I/O pattern recognition to enhance performance and endurance of a storage device
US10146448B2 (en) 2014-05-30 2018-12-04 Sandisk Technologies Llc Using history of I/O sequences to trigger cached read ahead in a non-volatile storage device
US10656842B2 (en) 2014-05-30 2020-05-19 Sandisk Technologies Llc Using history of I/O sizes and I/O sequences to trigger coalesced writes in a non-volatile storage device
US10114557B2 (en) 2014-05-30 2018-10-30 Sandisk Technologies Llc Identification of hot regions to enhance performance and endurance of a non-volatile storage device
US10372613B2 (en) 2014-05-30 2019-08-06 Sandisk Technologies Llc Using sub-region I/O history to cache repeatedly accessed sub-regions in a non-volatile storage device
US9703491B2 (en) 2014-05-30 2017-07-11 Sandisk Technologies Llc Using history of unaligned writes to cache data and avoid read-modify-writes in a non-volatile storage device
US9652381B2 (en) 2014-06-19 2017-05-16 Sandisk Technologies Llc Sub-block garbage collection
US9397939B2 (en) * 2014-06-24 2016-07-19 International Business Machines Corporation Hybrid approach for performance enhancing proxies
US9684367B2 (en) * 2014-06-26 2017-06-20 Atmel Corporation Power trace port for tracing states of power domains
US9852138B2 (en) 2014-06-30 2017-12-26 EMC IP Holding Company LLC Content fabric for a distributed file system
US10133611B2 (en) * 2014-10-07 2018-11-20 Synopsys, Inc. Side channel communication hardware driver
US9588863B2 (en) * 2014-10-21 2017-03-07 International Business Machines Corporation Generation and application of stressmarks in a computer system
JP6525555B2 (ja) * 2014-11-04 2019-06-05 キヤノン株式会社 情報処理装置、その制御方法及びプログラム
CN104378237A (zh) * 2014-11-24 2015-02-25 英业达科技有限公司 判定服务节点状态的方法
US9753873B1 (en) 2014-12-09 2017-09-05 Parallel Machines Ltd. Systems and methods for key-value transactions
US9690705B1 (en) 2014-12-09 2017-06-27 Parallel Machines Ltd. Systems and methods for processing data sets according to an instructed order
US9639473B1 (en) 2014-12-09 2017-05-02 Parallel Machines Ltd. Utilizing a cache mechanism by copying a data set from a cache-disabled memory location to a cache-enabled memory location
US9781225B1 (en) 2014-12-09 2017-10-03 Parallel Machines Ltd. Systems and methods for cache streams
US10298709B1 (en) * 2014-12-31 2019-05-21 EMC IP Holding Company LLC Performance of Hadoop distributed file system operations in a non-native operating system
US11755202B2 (en) 2015-01-20 2023-09-12 Ultrata, Llc Managing meta-data in an object memory fabric
CN113704141A (zh) 2015-01-20 2021-11-26 乌尔特拉塔有限责任公司 对象存储器数据流指令执行
US9684689B2 (en) * 2015-02-03 2017-06-20 Ca, Inc. Distributed parallel processing system having jobs processed by nodes based on authentication using unique identification of data
US10169467B2 (en) * 2015-03-18 2019-01-01 Microsoft Technology Licensing, Llc Query formulation via task continuum
US9792248B2 (en) 2015-06-02 2017-10-17 Microsoft Technology Licensing, Llc Fast read/write between networked computers via RDMA-based RPC requests
US9886210B2 (en) 2015-06-09 2018-02-06 Ultrata, Llc Infinite memory fabric hardware implementation with router
US10698628B2 (en) 2015-06-09 2020-06-30 Ultrata, Llc Infinite memory fabric hardware implementation with memory
US9971542B2 (en) 2015-06-09 2018-05-15 Ultrata, Llc Infinite memory fabric streams and APIs
US20160378344A1 (en) * 2015-06-24 2016-12-29 Intel Corporation Processor and platform assisted nvdimm solution using standard dram and consolidated storage
EP3314366A4 (en) * 2015-06-24 2019-02-20 INTEL Corporation Systems and methods for isolating input / output computer resources
US10148592B1 (en) * 2015-06-29 2018-12-04 Amazon Technologies, Inc. Prioritization-based scaling of computing resources
US10021008B1 (en) 2015-06-29 2018-07-10 Amazon Technologies, Inc. Policy-based scaling of computing resource groups
US10034070B1 (en) * 2015-09-06 2018-07-24 Netronome Systems, Inc. Low cost multi-server array architecture
US10725963B2 (en) 2015-09-12 2020-07-28 Microsoft Technology Licensing, Llc Distributed lock-free RDMA-based memory allocation and de-allocation
US9658671B2 (en) 2015-09-28 2017-05-23 Qualcomm Incorporated Power-aware CPU power grid design
US10713210B2 (en) 2015-10-13 2020-07-14 Microsoft Technology Licensing, Llc Distributed self-directed lock-free RDMA-based B-tree key-value manager
US9906370B2 (en) 2015-11-16 2018-02-27 International Business Machines Corporation Trust relationship management amongst racks in a data center
US10375167B2 (en) 2015-11-20 2019-08-06 Microsoft Technology Licensing, Llc Low latency RDMA-based distributed storage
US9985954B2 (en) 2015-11-25 2018-05-29 International Business Machines Corporation Sponsored trust relationship management between multiple racks
US10235063B2 (en) 2015-12-08 2019-03-19 Ultrata, Llc Memory fabric operations and coherency using fault tolerant objects
WO2017100288A1 (en) 2015-12-08 2017-06-15 Ultrata, Llc. Memory fabric operations and coherency using fault tolerant objects
US10241676B2 (en) 2015-12-08 2019-03-26 Ultrata, Llc Memory fabric software implementation
WO2017100281A1 (en) 2015-12-08 2017-06-15 Ultrata, Llc Memory fabric software implementation
US10523796B2 (en) 2015-12-22 2019-12-31 Intel Corporation Techniques for embedding fabric address information into locally-administered Ethernet media access control addresses (MACs) and a multi-node fabric system implementing the same
US10355992B2 (en) * 2016-01-27 2019-07-16 Oracle International Corporation System and method for supporting router SMA abstractions for SMP connectivity checks across virtual router ports in a high performance computing environment
US10581711B2 (en) 2016-01-28 2020-03-03 Oracle International Corporation System and method for policing network traffic flows using a ternary content addressable memory in a high performance computing environment
US10659340B2 (en) 2016-01-28 2020-05-19 Oracle International Corporation System and method for supporting VM migration between subnets in a high performance computing environment
US10630816B2 (en) 2016-01-28 2020-04-21 Oracle International Corporation System and method for supporting shared multicast local identifiers (MILD) ranges in a high performance computing environment
US10616118B2 (en) 2016-01-28 2020-04-07 Oracle International Corporation System and method for supporting aggressive credit waiting in a high performance computing environment
US10536334B2 (en) 2016-01-28 2020-01-14 Oracle International Corporation System and method for supporting subnet number aliasing in a high performance computing environment
US10171353B2 (en) 2016-03-04 2019-01-01 Oracle International Corporation System and method for supporting dual-port virtual router in a high performance computing environment
US9921997B2 (en) * 2016-04-01 2018-03-20 Intel Corporation Mechanism for PCIE cable topology discovery in a rack scale architecture environment
US10114790B2 (en) * 2016-05-17 2018-10-30 Microsemi Solutions (U.S.), Inc. Port mirroring for peripheral component interconnect express devices
US10762030B2 (en) * 2016-05-25 2020-09-01 Samsung Electronics Co., Ltd. Storage system, method, and apparatus for fast IO on PCIE devices
US10713202B2 (en) * 2016-05-25 2020-07-14 Samsung Electronics Co., Ltd. Quality of service (QOS)-aware input/output (IO) management for peripheral component interconnect express (PCIE) storage system with reconfigurable multi-ports
US10547412B2 (en) 2016-06-30 2020-01-28 Cisco Technology, Inc. System and method to measure and score application health via correctable errors
US11042496B1 (en) * 2016-08-17 2021-06-22 Amazon Technologies, Inc. Peer-to-peer PCI topology
US10891253B2 (en) 2016-09-08 2021-01-12 Microsoft Technology Licensing, Llc Multicast apparatuses and methods for distributing data to multiple receivers in high-performance computing and cloud-based networks
US10277677B2 (en) 2016-09-12 2019-04-30 Intel Corporation Mechanism for disaggregated storage class memory over fabric
US11138146B2 (en) 2016-10-05 2021-10-05 Bamboo Systems Group Limited Hyperscale architecture
US20180150256A1 (en) 2016-11-29 2018-05-31 Intel Corporation Technologies for data deduplication in disaggregated architectures
US11093311B2 (en) 2016-11-29 2021-08-17 Intel Corporation Technologies for monitoring node cluster health
US10530643B2 (en) * 2016-12-09 2020-01-07 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Automatic management network provisioning
US10911261B2 (en) * 2016-12-19 2021-02-02 Intel Corporation Method, apparatus and system for hierarchical network on chip routing
US10534598B2 (en) 2017-01-04 2020-01-14 International Business Machines Corporation Rolling upgrades in disaggregated systems
US11153164B2 (en) 2017-01-04 2021-10-19 International Business Machines Corporation Live, in-line hardware component upgrades in disaggregated systems
US10394475B2 (en) 2017-03-01 2019-08-27 International Business Machines Corporation Method and system for memory allocation in a disaggregated memory architecture
US10228884B2 (en) 2017-03-08 2019-03-12 Hewlett Packard Enterprise Development Lp Issuing write requests to a fabric
CN110710139A (zh) 2017-03-29 2020-01-17 芬基波尔有限责任公司 具有光置换器的无阻塞全网状数据中心网络
CN110731070A (zh) 2017-03-29 2020-01-24 芬基波尔有限责任公司 通过多个交替数据路径进行分组喷射的无阻塞的任意到任意数据中心网络
WO2018183553A1 (en) 2017-03-29 2018-10-04 Fungible, Inc. Non-blocking any-to-any data center network having multiplexed packet spraying within access node groups
WO2018191257A1 (en) 2017-04-10 2018-10-18 Fungible, Inc. Relay consistent memory management in a multiple processor system
US11216306B2 (en) * 2017-06-29 2022-01-04 Intel Corporation Technologies for dynamically sharing remote resources across remote computing nodes
US10649829B2 (en) * 2017-07-10 2020-05-12 Hewlett Packard Enterprise Development Lp Tracking errors associated with memory access operations
US10725825B2 (en) 2017-07-10 2020-07-28 Fungible, Inc. Data processing unit for stream processing
WO2019014265A1 (en) * 2017-07-10 2019-01-17 Fungible, Inc. DATA PROCESSING UNIT FOR CALCULATION NODES AND STORAGE NODES
US10757040B2 (en) * 2017-07-11 2020-08-25 Cisco Technology, Inc. Efficient distribution of peer zone database in Fibre Channel fabric
US10489304B2 (en) 2017-07-14 2019-11-26 Arm Limited Memory address translation
US10613989B2 (en) 2017-07-14 2020-04-07 Arm Limited Fast address translation for virtual machines
US10467159B2 (en) * 2017-07-14 2019-11-05 Arm Limited Memory node controller
US10353826B2 (en) 2017-07-14 2019-07-16 Arm Limited Method and apparatus for fast context cloning in a data processing system
US10534719B2 (en) 2017-07-14 2020-01-14 Arm Limited Memory system for a data processing network
US10592424B2 (en) 2017-07-14 2020-03-17 Arm Limited Range-based memory system
US10565126B2 (en) 2017-07-14 2020-02-18 Arm Limited Method and apparatus for two-layer copy-on-write
US10911405B1 (en) * 2017-07-31 2021-02-02 Amazon Technologies, Inc. Secure environment on a server
US11178262B2 (en) 2017-09-29 2021-11-16 Fungible, Inc. Fabric control protocol for data center networks with packet spraying over multiple alternate data paths
WO2019068017A1 (en) 2017-09-29 2019-04-04 Fungible, Inc. RESILIENT NETWORK COMMUNICATION USING SELECTIVE PULVER FLOW SPRAY BY MULTIPATH PATH
US10841245B2 (en) 2017-11-21 2020-11-17 Fungible, Inc. Work unit stack data structures in multiple core processor system for stream data processing
KR102524290B1 (ko) 2017-12-26 2023-04-21 현대자동차주식회사 이더넷 스위치, 차량 내 네트워크 구성 방법 및 차량
US11321136B2 (en) * 2017-12-28 2022-05-03 Intel Corporation Techniques for collective operations in distributed systems
WO2019152063A1 (en) 2018-02-02 2019-08-08 Fungible, Inc. Efficient work unit processing in a multicore system
US11016823B2 (en) 2018-03-16 2021-05-25 Apple Inc. Remote service discovery and inter-process communication
US10489331B2 (en) 2018-03-16 2019-11-26 Apple Inc. Remote service discovery and inter-process communication
US10986043B1 (en) * 2018-03-30 2021-04-20 Facebook, Inc. Distributed network switches of data centers
US10608921B2 (en) 2018-04-19 2020-03-31 Cisco Technology, Inc. Routing in fat tree networks using negative disaggregation advertisements
US11330042B2 (en) 2018-05-17 2022-05-10 International Business Machines Corporation Optimizing dynamic resource allocations for storage-dependent workloads in disaggregated data centers
US10977085B2 (en) 2018-05-17 2021-04-13 International Business Machines Corporation Optimizing dynamical resource allocations in disaggregated data centers
US10601903B2 (en) 2018-05-17 2020-03-24 International Business Machines Corporation Optimizing dynamical resource allocations based on locality of resources in disaggregated data centers
US10841367B2 (en) 2018-05-17 2020-11-17 International Business Machines Corporation Optimizing dynamical resource allocations for cache-dependent workloads in disaggregated data centers
US10936374B2 (en) 2018-05-17 2021-03-02 International Business Machines Corporation Optimizing dynamic resource allocations for memory-dependent workloads in disaggregated data centers
US11221886B2 (en) 2018-05-17 2022-01-11 International Business Machines Corporation Optimizing dynamical resource allocations for cache-friendly workloads in disaggregated data centers
US10893096B2 (en) 2018-05-17 2021-01-12 International Business Machines Corporation Optimizing dynamical resource allocations using a data heat map in disaggregated data centers
US10983881B2 (en) 2018-05-31 2021-04-20 International Business Machines Corporation Disaster recovery and replication in disaggregated datacenters
US10891206B2 (en) * 2018-05-31 2021-01-12 International Business Machines Corporation Disaster recovery orchestration and capacity planning in disaggregated datacenters
US11243846B2 (en) 2018-05-31 2022-02-08 International Business Machines Corporation Replicating workload and state data for disaster recovery in disaggregated datacenters
US11036599B2 (en) 2018-05-31 2021-06-15 International Business Machines Corporation Disaster recovery and replication according to workload priorities in disaggregated datacenters
US10719418B2 (en) 2018-05-31 2020-07-21 International Business Machines Corporation Replicating workload data according to a degree of resiliency for disaster recovery in disaggregated datacenters
US10929035B2 (en) * 2018-07-18 2021-02-23 Sap Se Memory management via dynamic tiering pools
US10884850B2 (en) 2018-07-24 2021-01-05 Arm Limited Fault tolerant memory system
US10942861B2 (en) * 2018-07-30 2021-03-09 Micron Technology, Inc. Configurable logic block networks and managing coherent memory in the same
US10824215B2 (en) 2018-07-31 2020-11-03 Nutanix, Inc. Managing power budget of multiple computing node clusters in a computing rack system
US10977198B2 (en) * 2018-09-12 2021-04-13 Micron Technology, Inc. Hybrid memory system interface
FR3087979B1 (fr) * 2018-10-31 2021-08-06 Silkan Rt Systeme de transmission de donnees
US10929175B2 (en) 2018-11-21 2021-02-23 Fungible, Inc. Service chaining hardware accelerators within a data stream processing integrated circuit
US10915370B2 (en) 2018-11-29 2021-02-09 International Business Machines Corporation Inter-host communication without data copy in disaggregated systems
US11038749B2 (en) * 2018-12-24 2021-06-15 Intel Corporation Memory resource allocation in an end-point device
US11068424B2 (en) * 2019-02-04 2021-07-20 American Megatrends International, Llc Enablement of software defined storage solution for NVME over ethernet fabric management on a processor
US10884642B2 (en) * 2019-03-27 2021-01-05 Silicon Motion, Inc. Method and apparatus for performing data-accessing management in a storage server
US20200371692A1 (en) * 2019-05-22 2020-11-26 Microsoft Technology Licensing, Llc Memory disaggregation for compute nodes
CN113728596A (zh) * 2019-05-23 2021-11-30 慧与发展有限责任合伙企业 在网络接口控制器(nic)中促进对幂等操作进行高效管理的系统和方法
CN110188948B (zh) * 2019-05-30 2022-03-08 广西防城港核电有限公司 模拟机故障的处理导引方法
CN110399753A (zh) * 2019-06-27 2019-11-01 苏州浪潮智能科技有限公司 一种服务器机箱锁的控制方法、系统、存储介质及服务器
CN110719193B (zh) * 2019-09-12 2021-02-02 无锡江南计算技术研究所 一种面向高性能计算的高可靠泛树网络拓扑方法及结构
US11184245B2 (en) 2020-03-06 2021-11-23 International Business Machines Corporation Configuring computing nodes in a three-dimensional mesh topology
WO2021215011A1 (ja) * 2020-04-24 2021-10-28 株式会社東陽テクニカ パケットキャプチャ装置及び方法
US11620254B2 (en) * 2020-06-03 2023-04-04 International Business Machines Corporation Remote direct memory access for container-enabled networks
US11853798B2 (en) 2020-09-03 2023-12-26 Microsoft Technology Licensing, Llc Disaggregated memory pool assignment
US11481116B2 (en) * 2020-09-09 2022-10-25 Microsoft Technology Licensing, Llc Computing device with independently coherent nodes
CN114124814B (zh) * 2021-11-19 2023-08-29 海光信息技术股份有限公司 片上网络、控制及配置方法、装置、路由单元及设备
WO2023128357A1 (ko) * 2021-12-29 2023-07-06 한국과학기술원 소프트웨어 기반의 개별분리 아키텍처 시스템 시뮬레이터 및 그의 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030231624A1 (en) * 2002-06-12 2003-12-18 Alappat Kuriappan P. Backplane for switch fabric
US20050254490A1 (en) * 2004-05-05 2005-11-17 Tom Gallatin Asymmetric packet switch and a method of use
US20080013453A1 (en) * 2006-07-13 2008-01-17 Sbc Knowledge Ventures, L.P. Method and apparatus for configuring a network topology with alternative communication paths
CN101369958A (zh) * 2007-08-15 2009-02-18 华为技术有限公司 一种快速重路由方法及标签交换路由器
US20090080428A1 (en) * 2007-09-25 2009-03-26 Maxxan Systems, Inc. System and method for scalable switch fabric for computer network
CN101507235A (zh) * 2006-08-24 2009-08-12 西门子公司 用于提供无线网状网的方法和设备
US20090225751A1 (en) * 2007-05-22 2009-09-10 Koenck Steven E Mobile nodal based communication system, method and apparatus

Family Cites Families (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5594908A (en) 1989-12-27 1997-01-14 Hyatt; Gilbert P. Computer system having a serial keyboard, a serial display, and a dynamic memory with memory refresh
US5396635A (en) 1990-06-01 1995-03-07 Vadem Corporation Power conservation apparatus having multiple power reduction levels dependent upon the activity of the computer system
US5451936A (en) 1991-06-20 1995-09-19 The Johns Hopkins University Non-blocking broadcast network
US5781187A (en) 1994-05-31 1998-07-14 Advanced Micro Devices, Inc. Interrupt transmission via specialized bus cycle within a symmetrical multiprocessing system
JPH08123763A (ja) 1994-10-26 1996-05-17 Nec Corp 分散処理システムにおけるメモリ割り当て方式
US6055618A (en) * 1995-10-31 2000-04-25 Cray Research, Inc. Virtual maintenance network in multiprocessing system having a non-flow controlled virtual maintenance channel
JP3541335B2 (ja) * 1996-06-28 2004-07-07 富士通株式会社 情報処理装置及び分散処理制御方法
US6842430B1 (en) * 1996-10-16 2005-01-11 Koninklijke Philips Electronics N.V. Method for configuring and routing data within a wireless multihop network and a wireless network for implementing the same
JP3662378B2 (ja) * 1996-12-17 2005-06-22 川崎マイクロエレクトロニクス株式会社 ネットワーク中継器
US5908468A (en) 1997-10-24 1999-06-01 Advanced Micro Devices, Inc. Data transfer network on a chip utilizing a multiple traffic circle topology
US5968176A (en) 1997-05-29 1999-10-19 3Com Corporation Multilayer firewall system
US5971804A (en) 1997-06-30 1999-10-26 Emc Corporation Backplane having strip transmission line ethernet bus
US6507586B1 (en) 1997-09-18 2003-01-14 International Business Machines Corporation Multicast data transmission over a one-way broadband channel
KR100286375B1 (ko) 1997-10-02 2001-04-16 윤종용 전자 시스템의 방열장치 및 방열장치가 사용된 컴퓨터 시스템
US6252878B1 (en) * 1997-10-30 2001-06-26 Cisco Technology, Inc. Switched architecture access server
US5901048A (en) 1997-12-11 1999-05-04 International Business Machines Corporation Printed circuit board with chip collar
KR100250437B1 (ko) * 1997-12-26 2000-04-01 정선종 라운드로빈 중재 및 적응 경로 제어를 수행하는경로제어 장치
US6192414B1 (en) 1998-01-27 2001-02-20 Moore Products Co. Network communications system manager
US6373841B1 (en) 1998-06-22 2002-04-16 Agilent Technologies, Inc. Integrated LAN controller and web server chip
US8108508B1 (en) 1998-06-22 2012-01-31 Hewlett-Packard Development Company, L.P. Web server chip for network manageability
US6181699B1 (en) * 1998-07-01 2001-01-30 National Semiconductor Corporation Apparatus and method of assigning VLAN tags
US6314501B1 (en) 1998-07-23 2001-11-06 Unisys Corporation Computer system and method for operating multiple operating systems in different partitions of the computer system and for allowing the different partitions to communicate with one another through shared memory
US6574238B1 (en) * 1998-08-26 2003-06-03 Intel Corporation Inter-switch link header modification
EP1166569B1 (en) 1999-03-31 2008-07-30 BRITISH TELECOMMUNICATIONS public limited company Progressive routing in a communications network
US20060034275A1 (en) * 2000-05-03 2006-02-16 At&T Laboratories-Cambridge Ltd. Data transfer, synchronising applications, and low latency networks
US6711691B1 (en) 1999-05-13 2004-03-23 Apple Computer, Inc. Power management for computer systems
US7970929B1 (en) * 2002-03-19 2011-06-28 Dunti Llc Apparatus, system, and method for routing data to and from a host that is moved from one location on a communication system to another location on the communication system
US6442137B1 (en) 1999-05-24 2002-08-27 Advanced Micro Devices, Inc. Apparatus and method in a network switch for swapping memory access slots between gigabit port and expansion port
US7020695B1 (en) 1999-05-28 2006-03-28 Oracle International Corporation Using a cluster-wide shared repository to provide the latest consistent definition of the cluster (avoiding the partition-in time problem)
US6446192B1 (en) 1999-06-04 2002-09-03 Embrace Networks, Inc. Remote monitoring and control of equipment over computer networks using a single web interfacing chip
US6697359B1 (en) 1999-07-02 2004-02-24 Ancor Communications, Inc. High performance switch fabric element and switch systems
US7801132B2 (en) 1999-11-09 2010-09-21 Synchrodyne Networks, Inc. Interface system and methodology having scheduled connection responsive to common time reference
US6857026B1 (en) * 1999-12-14 2005-02-15 Nortel Networks Limited Using alternate routes for fail-over in a communication network
US8171204B2 (en) 2000-01-06 2012-05-01 Super Talent Electronics, Inc. Intelligent solid-state non-volatile memory device (NVMD) system with multi-level caching of multiple channels
US6608564B2 (en) 2000-01-25 2003-08-19 Hewlett-Packard Development Company, L.P. Removable memory cartridge system for use with a server or other processor-based device
US20020107903A1 (en) 2000-11-07 2002-08-08 Richter Roger K. Methods and systems for the order serialization of information in a network processing environment
US6990063B1 (en) * 2000-03-07 2006-01-24 Cisco Technology, Inc. Distributing fault indications and maintaining and using a data structure indicating faults to route traffic in a packet switching system
US6556952B1 (en) 2000-05-04 2003-04-29 Advanced Micro Devices, Inc. Performance monitoring and optimizing of controller parameters
US7080078B1 (en) 2000-05-09 2006-07-18 Sun Microsystems, Inc. Mechanism and apparatus for URI-addressable repositories of service advertisements and other content in a distributed computing environment
US7143153B1 (en) 2000-11-09 2006-11-28 Ciena Corporation Internal network device dynamic health monitoring
JP2001333091A (ja) * 2000-05-23 2001-11-30 Fujitsu Ltd 通信装置
US6816750B1 (en) 2000-06-09 2004-11-09 Cirrus Logic, Inc. System-on-a-chip
US6668308B2 (en) 2000-06-10 2003-12-23 Hewlett-Packard Development Company, L.P. Scalable architecture based on single-chip multiprocessing
US6452809B1 (en) 2000-11-10 2002-09-17 Galactic Computing Corporation Scalable internet engine
US7032119B2 (en) 2000-09-27 2006-04-18 Amphus, Inc. Dynamic power and workload management for multi-server system
US6760861B2 (en) * 2000-09-29 2004-07-06 Zeronines Technology, Inc. System, method and apparatus for data processing and storage to provide continuous operations independent of device failure or disaster
US7274705B2 (en) 2000-10-03 2007-09-25 Broadcom Corporation Method and apparatus for reducing clock speed and power consumption
US20020040391A1 (en) 2000-10-04 2002-04-04 David Chaiken Server farm formed of systems on a chip
US7165120B1 (en) 2000-10-11 2007-01-16 Sun Microsystems, Inc. Server node with interated networking capabilities
US6954463B1 (en) 2000-12-11 2005-10-11 Cisco Technology, Inc. Distributed packet processing architecture for network access servers
US7616646B1 (en) 2000-12-12 2009-11-10 Cisco Technology, Inc. Intraserver tag-switched distributed packet processing for network access servers
JP3532153B2 (ja) 2000-12-22 2004-05-31 沖電気工業株式会社 レベルシフタ制御回路
US20020124128A1 (en) 2000-12-29 2002-09-05 Ming Qiu Server array hardware architecture and system
US20020097732A1 (en) * 2001-01-19 2002-07-25 Tom Worster Virtual private network protocol
US6977939B2 (en) * 2001-01-26 2005-12-20 Microsoft Corporation Method and apparatus for emulating ethernet functionality over a serial bus
US7339786B2 (en) 2001-03-05 2008-03-04 Intel Corporation Modular server architecture with Ethernet routed across a backplane utilizing an integrated Ethernet switch module
US7093280B2 (en) 2001-03-30 2006-08-15 Juniper Networks, Inc. Internet security system
US20030196126A1 (en) 2002-04-11 2003-10-16 Fung Henry T. System, method, and architecture for dynamic server power management and dynamic workload management for multi-server environment
US20020159468A1 (en) 2001-04-27 2002-10-31 Foster Michael S. Method and system for administrative ports in a routing device
US20020161917A1 (en) * 2001-04-30 2002-10-31 Shapiro Aaron M. Methods and systems for dynamic routing of data in a network
US7161901B2 (en) 2001-05-07 2007-01-09 Vitesse Semiconductor Corporation Automatic load balancing in switch fabrics
WO2002091672A2 (en) 2001-05-07 2002-11-14 Vitesse Semiconductor Corporation A system and a method for processing data packets or frames
US6766389B2 (en) 2001-05-18 2004-07-20 Broadcom Corporation System on a chip for networking
DE10127198A1 (de) 2001-06-05 2002-12-19 Infineon Technologies Ag Vorrichtung und Verfahren zum Ermitteln einer physikalischen Adresse aus einer virtuellen Adresse unter Verwendung einer hierarchischen Abbildungsvorschrift mit komprimierten Knoten
US6950895B2 (en) 2001-06-13 2005-09-27 Intel Corporation Modular server architecture
US6501660B1 (en) 2001-06-22 2002-12-31 Sun Microsystems, Inc. Reliable card detection in a CPCI system
US7159017B2 (en) * 2001-06-28 2007-01-02 Fujitsu Limited Routing mechanism for static load balancing in a partitioned computer system with a fully connected network
US7200662B2 (en) * 2001-07-06 2007-04-03 Juniper Networks, Inc. Integrated rule network management system
US6813676B1 (en) 2001-07-27 2004-11-02 Lsi Logic Corporation Host interface bypass on a fabric based array controller
US6944786B2 (en) * 2001-07-27 2005-09-13 International Business Machines Corporation Network node failover using multicast address or port
US6968470B2 (en) 2001-08-07 2005-11-22 Hewlett-Packard Development Company, L.P. System and method for power management in a server system
US6724635B2 (en) 2001-08-07 2004-04-20 Hewlett-Packard Development Company, L.P. LCD panel for a server system
US7337333B2 (en) 2001-09-19 2008-02-26 Dell Products L.P. System and method for strategic power supply sequencing in a computer system with multiple processing resources and multiple power supplies
US7325050B2 (en) 2001-09-19 2008-01-29 Dell Products L.P. System and method for strategic power reduction in a computer system
US6779086B2 (en) 2001-10-16 2004-08-17 International Business Machines Corporation Symmetric multiprocessor systems with an independent super-coherent cache directory
US7447197B2 (en) * 2001-10-18 2008-11-04 Qlogic, Corporation System and method of providing network node services
US8325716B2 (en) 2001-10-22 2012-12-04 Broadcom Corporation Data path optimization algorithm
US6963948B1 (en) 2001-11-01 2005-11-08 Advanced Micro Devices, Inc. Microcomputer bridge architecture with an embedded microcontroller
US7310319B2 (en) 2001-11-02 2007-12-18 Intel Corporation Multiple-domain processing system using hierarchically orthogonal switching fabric
US7464016B2 (en) 2001-11-09 2008-12-09 Sun Microsystems, Inc. Hot plug and hot pull system simulation
US7209657B1 (en) 2001-12-03 2007-04-24 Cheetah Omni, Llc Optical routing using a star switching fabric
US7599360B2 (en) * 2001-12-26 2009-10-06 Cisco Technology, Inc. Methods and apparatus for encapsulating a frame for transmission in a storage area network
US20030140190A1 (en) 2002-01-23 2003-07-24 Sun Microsystems, Inc. Auto-SCSI termination enable in a CPCI hot swap system
US7340777B1 (en) 2003-03-31 2008-03-04 Symantec Corporation In memory heuristic system and method for detecting viruses
US7284067B2 (en) 2002-02-20 2007-10-16 Hewlett-Packard Development Company, L.P. Method for integrated load balancing among peer servers
US20030172191A1 (en) 2002-02-22 2003-09-11 Williams Joel R. Coupling of CPU and disk drive to form a server and aggregating a plurality of servers into server farms
US7096377B2 (en) 2002-03-27 2006-08-22 Intel Corporation Method and apparatus for setting timing parameters
US20030202520A1 (en) * 2002-04-26 2003-10-30 Maxxan Systems, Inc. Scalable switch fabric system and apparatus for computer networks
US7095738B1 (en) * 2002-05-07 2006-08-22 Cisco Technology, Inc. System and method for deriving IPv6 scope identifiers and for mapping the identifiers into IPv6 addresses
US7353530B1 (en) 2002-05-10 2008-04-01 At&T Corp. Method and apparatus for assigning communication nodes to CMTS cards
US7161904B2 (en) 2002-06-04 2007-01-09 Fortinet, Inc. System and method for hierarchical metering in a virtual router based network switch
US7376125B1 (en) 2002-06-04 2008-05-20 Fortinet, Inc. Service processing switch
US7415723B2 (en) 2002-06-11 2008-08-19 Pandya Ashish A Distributed network security system and a hardware processor therefor
US7525904B1 (en) * 2002-06-20 2009-04-28 Cisco Technology, Inc. Redundant packet routing and switching device and method
US7180866B1 (en) * 2002-07-11 2007-02-20 Nortel Networks Limited Rerouting in connection-oriented communication networks and communication systems
US7039018B2 (en) * 2002-07-17 2006-05-02 Intel Corporation Technique to improve network routing using best-match and exact-match techniques
US7286544B2 (en) 2002-07-25 2007-10-23 Brocade Communications Systems, Inc. Virtualized multiport switch
US7286527B2 (en) 2002-07-26 2007-10-23 Brocade Communications Systems, Inc. Method and apparatus for round trip delay measurement in a bi-directional, point-to-point, serial data channel
US8295288B2 (en) 2002-07-30 2012-10-23 Brocade Communications System, Inc. Registered state change notification for a fibre channel network
US7055044B2 (en) 2002-08-12 2006-05-30 Hewlett-Packard Development Company, L.P. System and method for voltage management of a processor to optimize performance and power dissipation
EP1394985A1 (de) 2002-08-28 2004-03-03 Siemens Aktiengesellschaft Testverfahren für Nachrichtenpfade in Kommunikationsnetzen sowie Netzelement
US20110090633A1 (en) 2002-09-23 2011-04-21 Josef Rabinovitz Modular sata data storage device assembly
US7080283B1 (en) 2002-10-15 2006-07-18 Tensilica, Inc. Simultaneous real-time trace and debug for multiple processing core systems on a chip
US8199636B1 (en) * 2002-10-18 2012-06-12 Alcatel Lucent Bridged network system with traffic resiliency upon link failure
US7792113B1 (en) 2002-10-21 2010-09-07 Cisco Technology, Inc. Method and system for policy-based forwarding
US6661671B1 (en) 2002-11-27 2003-12-09 International Business Machines Corporation Apparatus, method and article of manufacture for determining power permission for a blade spanning power back planes
US7512788B2 (en) 2002-12-10 2009-03-31 International Business Machines Corporation Method and apparatus for anonymous group messaging in a distributed messaging system
US7917658B2 (en) 2003-01-21 2011-03-29 Emulex Design And Manufacturing Corporation Switching apparatus and method for link initialization in a shared I/O environment
US8024548B2 (en) 2003-02-18 2011-09-20 Christopher Joseph Daffron Integrated circuit microprocessor that constructs, at run time, integrated reconfigurable logic into persistent finite state machines from pre-compiled machine code instruction sequences
US7447147B2 (en) 2003-02-28 2008-11-04 Cisco Technology, Inc. Ethernet switch with configurable alarms
US7039771B1 (en) 2003-03-10 2006-05-02 Marvell International Ltd. Method and system for supporting multiple external serial port devices using a serial port controller in embedded disk controllers
US7216123B2 (en) * 2003-03-28 2007-05-08 Board Of Trustees Of The Leland Stanford Junior University Methods for ranking nodes in large directed graphs
US20040215650A1 (en) 2003-04-09 2004-10-28 Ullattil Shaji Interfaces and methods for group policy management
US7047372B2 (en) * 2003-04-15 2006-05-16 Newisys, Inc. Managing I/O accesses in multiprocessor systems
US7320083B2 (en) 2003-04-23 2008-01-15 Dot Hill Systems Corporation Apparatus and method for storage controller to deterministically kill one of redundant servers integrated within the storage controller chassis
US20040215991A1 (en) 2003-04-23 2004-10-28 Dell Products L.P. Power-up of multiple processors when a voltage regulator module has failed
US20040215864A1 (en) 2003-04-28 2004-10-28 International Business Machines Corporation Non-disruptive, dynamic hot-add and hot-remove of non-symmetric data processing system resources
US7685254B2 (en) 2003-06-10 2010-03-23 Pandya Ashish A Runtime adaptable search processor
US7400996B2 (en) 2003-06-26 2008-07-15 Benjamin Thomas Percer Use of I2C-based potentiometers to enable voltage rail variation under BMC control
US7512067B2 (en) 2003-07-21 2009-03-31 Qlogic, Corporation Method and system for congestion control based on optimum bandwidth allocation in a fibre channel switch
US7477655B2 (en) 2003-07-21 2009-01-13 Qlogic, Corporation Method and system for power control of fibre channel switches
US7894348B2 (en) 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
US7646767B2 (en) 2003-07-21 2010-01-12 Qlogic, Corporation Method and system for programmable data dependant network routing
JP2005041127A (ja) 2003-07-23 2005-02-17 Brother Ind Ltd ステータス情報通知システム及びネットワーク端末装置及び通信処理装置
US7412588B2 (en) 2003-07-25 2008-08-12 International Business Machines Corporation Network processor system on chip with bridge coupling protocol converting multiprocessor macro core local bus to peripheral interfaces coupled system bus
US7353362B2 (en) 2003-07-25 2008-04-01 International Business Machines Corporation Multiprocessor subsystem in SoC with bridge between processor clusters interconnetion and SoC system bus
US7170315B2 (en) 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US7028125B2 (en) 2003-08-04 2006-04-11 Inventec Corporation Hot-pluggable peripheral input device coupling system
US7620736B2 (en) * 2003-08-08 2009-11-17 Cray Canada Corporation Network topology having nodes interconnected by extended diagonal links
US7386888B2 (en) 2003-08-29 2008-06-10 Trend Micro, Inc. Network isolation techniques suitable for virus protection
US7934005B2 (en) 2003-09-08 2011-04-26 Koolspan, Inc. Subnet box
US7174470B2 (en) 2003-10-14 2007-02-06 Hewlett-Packard Development Company, L.P. Computer data bus interface control
JP2007507990A (ja) 2003-10-14 2007-03-29 ラプター・ネツトワークス・テクノロジー・インコーポレイテツド 分散スイッチング構造を備えるスイッチングシステム
US7415543B2 (en) 2003-11-12 2008-08-19 Lsi Corporation Serial port initialization in storage system controllers
US7916638B2 (en) 2003-12-24 2011-03-29 Alcatel Lucent Time-independent deficit round robin method and system
US7380039B2 (en) * 2003-12-30 2008-05-27 3Tera, Inc. Apparatus, method and system for aggregrating computing resources
US7109760B1 (en) 2004-01-05 2006-09-19 Integrated Device Technology, Inc. Delay-locked loop (DLL) integrated circuits that support efficient phase locking of clock signals having non-unity duty cycles
EP1709530A2 (en) * 2004-01-20 2006-10-11 Broadcom Corporation System and method for supporting multiple users
JP4248420B2 (ja) 2004-02-06 2009-04-02 日本電信電話株式会社 移動体通信用ネットワークのハンドオーバ制御方法
US7664110B1 (en) 2004-02-07 2010-02-16 Habanero Holdings, Inc. Input/output controller for coupling the processor-memory complex to the fabric in fabric-backplane interprise servers
US7873693B1 (en) * 2004-02-13 2011-01-18 Habanero Holdings, Inc. Multi-chassis fabric-backplane enterprise servers
US7583661B2 (en) 2004-03-05 2009-09-01 Sid Chaudhuri Method and apparatus for improved IP networks and high-quality services
US7865582B2 (en) 2004-03-24 2011-01-04 Hewlett-Packard Development Company, L.P. System and method for assigning an application component to a computing resource
ITMI20040600A1 (it) 2004-03-26 2004-06-26 Atmel Corp Sistema dsp su chip a doppio processore a virgola mobile nel dominio complesso
EP1591906A1 (en) * 2004-04-27 2005-11-02 Texas Instruments Incorporated Efficient data transfer from an ASIC to a host using DMA
US7203063B2 (en) 2004-05-21 2007-04-10 Hewlett-Packard Development Company, L.P. Small form factor liquid loop cooling system
ES2246702B2 (es) * 2004-06-02 2007-06-16 L &amp; M DATA COMMUNICATIONS, S.A. Servicio universal de telecomunicaciones ethernet.
US7467358B2 (en) 2004-06-03 2008-12-16 Gwangju Institute Of Science And Technology Asynchronous switch based on butterfly fat-tree for network on chip application
WO2005125027A1 (ja) 2004-06-15 2005-12-29 Fujitsu Component Limited トランシーバモジュール
JP4334419B2 (ja) 2004-06-30 2009-09-30 富士通株式会社 伝送装置
US7586904B2 (en) 2004-07-15 2009-09-08 Broadcom Corp. Method and system for a gigabit Ethernet IP telephone chip with no DSP core, which uses a RISC core with instruction extensions to support voice processing
US9264384B1 (en) 2004-07-22 2016-02-16 Oracle International Corporation Resource virtualization mechanism including virtual host bus adapters
JP4455206B2 (ja) 2004-07-29 2010-04-21 キヤノン株式会社 画像形成装置およびその制御方法
US7466712B2 (en) * 2004-07-30 2008-12-16 Brocade Communications Systems, Inc. System and method for providing proxy and translation domains in a fibre channel router
US7657756B2 (en) 2004-10-08 2010-02-02 International Business Machines Corporaiton Secure memory caching structures for data, integrity and version values
US7257655B1 (en) 2004-10-13 2007-08-14 Altera Corporation Embedded PCI-Express implementation
WO2006041218A2 (en) 2004-10-15 2006-04-20 Sony Computer Entertainment Inc. Methods and apparatus for supporting multiple configurations in a multi-processor system
US8230144B1 (en) * 2004-10-19 2012-07-24 Broadcom Corporation High speed multi-threaded reduced instruction set computer (RISC) processor
US20060090025A1 (en) 2004-10-25 2006-04-27 Tufford Robert C 9U payload module configurations
US7760720B2 (en) * 2004-11-09 2010-07-20 Cisco Technology, Inc. Translating native medium access control (MAC) addresses to hierarchical MAC addresses and their use
US7644215B2 (en) 2004-11-10 2010-01-05 Tekelec Methods and systems for providing management in a telecommunications equipment shelf assembly using a shared serial bus
US7278582B1 (en) 2004-12-03 2007-10-09 Sun Microsystems, Inc. Hardware security module (HSM) chip card
US7804504B1 (en) * 2004-12-13 2010-09-28 Massachusetts Institute Of Technology Managing yield for a parallel processing integrated circuit
TWM270514U (en) 2004-12-27 2005-07-11 Quanta Comp Inc Blade server system
US8533777B2 (en) 2004-12-29 2013-09-10 Intel Corporation Mechanism to determine trust of out-of-band management agents
US7676841B2 (en) 2005-02-01 2010-03-09 Fmr Llc Network intrusion mitigation
WO2006082985A2 (en) * 2005-02-07 2006-08-10 Sony Computer Entertainment Inc. Methods and apparatus for providing a secure booting sequence in a processor
US8140770B2 (en) 2005-02-10 2012-03-20 International Business Machines Corporation Data processing system and method for predictively selecting a scope of broadcast of an operation
US7467306B2 (en) 2005-03-08 2008-12-16 Hewlett-Packard Development Company, L.P. Methods and systems for allocating power to an electronic device
US7881332B2 (en) 2005-04-01 2011-02-01 International Business Machines Corporation Configurable ports for a host ethernet adapter
JP4591185B2 (ja) 2005-04-28 2010-12-01 株式会社日立製作所 サーバ装置
US7363463B2 (en) 2005-05-13 2008-04-22 Microsoft Corporation Method and system for caching address translations from multiple address spaces in virtual machines
US7586841B2 (en) * 2005-05-31 2009-09-08 Cisco Technology, Inc. System and method for protecting against failure of a TE-LSP tail-end node
US7596144B2 (en) 2005-06-07 2009-09-29 Broadcom Corp. System-on-a-chip (SoC) device with integrated support for ethernet, TCP, iSCSI, RDMA, and network application acceleration
EP1897317A1 (en) 2005-06-23 2008-03-12 TELEFONAKTIEBOLAGET LM ERICSSON (publ) Arrangement and method relating to load distribution
JP2007012000A (ja) 2005-07-04 2007-01-18 Hitachi Ltd 記憶制御装置及びストレージシステム
US7307837B2 (en) 2005-08-23 2007-12-11 International Business Machines Corporation Method and apparatus for enforcing of power control in a blade center chassis
US7461274B2 (en) 2005-08-23 2008-12-02 International Business Machines Corporation Method for maximizing server utilization in a resource constrained environment
US7315456B2 (en) 2005-08-29 2008-01-01 Hewlett-Packard Development Company, L.P. Configurable IO subsystem
US8982778B2 (en) 2005-09-19 2015-03-17 Qualcomm Incorporated Packet routing in a wireless communications environment
US7382154B2 (en) 2005-10-03 2008-06-03 Honeywell International Inc. Reconfigurable network on a chip
US8516165B2 (en) 2005-10-19 2013-08-20 Nvidia Corporation System and method for encoding packet header to enable higher bandwidth efficiency across bus links
US7574590B2 (en) 2005-10-26 2009-08-11 Sigmatel, Inc. Method for booting a system on a chip integrated circuit
CN100417118C (zh) * 2005-10-28 2008-09-03 华为技术有限公司 一种无线网状网中网络移动节点的位置更新系统和方法
CN2852260Y (zh) 2005-12-01 2006-12-27 华为技术有限公司 一种服务器
EP1808994A1 (fr) 2006-01-12 2007-07-18 Alcatel Lucent Dispositif de commutation à transport universel de trames de paquets de données
WO2007084403A2 (en) 2006-01-13 2007-07-26 Sun Microsystems, Inc. Compact rackmount storage server
WO2007084422A2 (en) 2006-01-13 2007-07-26 Sun Microsystems, Inc. Modular blade server
WO2007084735A2 (en) * 2006-01-20 2007-07-26 Avise Partners Customer service management
US7991817B2 (en) 2006-01-23 2011-08-02 California Institute Of Technology Method and a circuit using an associative calculator for calculating a sequence of non-associative operations
US20070180310A1 (en) 2006-02-02 2007-08-02 Texas Instruments, Inc. Multi-core architecture with hardware messaging
US7606225B2 (en) * 2006-02-06 2009-10-20 Fortinet, Inc. Integrated security switch
US20070226795A1 (en) * 2006-02-09 2007-09-27 Texas Instruments Incorporated Virtual cores and hardware-supported hypervisor integrated circuits, systems, methods and processes of manufacture
US9177176B2 (en) 2006-02-27 2015-11-03 Broadcom Corporation Method and system for secure system-on-a-chip architecture for multimedia data processing
US20090133129A1 (en) * 2006-03-06 2009-05-21 Lg Electronics Inc. Data transferring method
FR2898753B1 (fr) 2006-03-16 2008-04-18 Commissariat Energie Atomique Systeme sur puce a controle semi-distribue
US7555666B2 (en) 2006-05-04 2009-06-30 Dell Products L.P. Power profiling application for managing power allocation in an information handling system
JP2007304687A (ja) 2006-05-09 2007-11-22 Hitachi Ltd クラスタ構成とその制御手段
US7660922B2 (en) 2006-05-12 2010-02-09 Intel Corporation Mechanism to flexibly support multiple device numbers on point-to-point interconnect upstream ports
US20070280230A1 (en) * 2006-05-31 2007-12-06 Motorola, Inc Method and system for service discovery across a wide area network
US7522468B2 (en) 2006-06-08 2009-04-21 Unity Semiconductor Corporation Serial memory interface
CN101094125A (zh) * 2006-06-23 2007-12-26 华为技术有限公司 在atca/atca300扩展交换带宽的交换结构
US20080040463A1 (en) 2006-08-08 2008-02-14 International Business Machines Corporation Communication System for Multiple Chassis Computer Systems
CN101127696B (zh) 2006-08-15 2012-06-27 华为技术有限公司 二层网络中的数据转发方法和网络及节点设备
US20080052437A1 (en) 2006-08-28 2008-02-28 Dell Products L.P. Hot Plug Power Policy for Modular Chassis
US7802082B2 (en) 2006-08-31 2010-09-21 Intel Corporation Methods and systems to dynamically configure computing apparatuses
US8599685B2 (en) * 2006-09-26 2013-12-03 Cisco Technology, Inc. Snooping of on-path IP reservation protocols for layer 2 nodes
US7853754B1 (en) 2006-09-29 2010-12-14 Tilera Corporation Caching in multicore and multiprocessor architectures
US8684802B1 (en) 2006-10-27 2014-04-01 Oracle America, Inc. Method and apparatus for balancing thermal variations across a set of computer systems
US8447872B2 (en) 2006-11-01 2013-05-21 Intel Corporation Load balancing in a storage system
US7992151B2 (en) 2006-11-30 2011-08-02 Intel Corporation Methods and apparatuses for core allocations
WO2008070814A2 (en) * 2006-12-06 2008-06-12 Fusion Multisystems, Inc. (Dba Fusion-Io) Apparatus, system, and method for a scalable, composite, reconfigurable backplane
US20080140930A1 (en) 2006-12-08 2008-06-12 Emulex Design & Manufacturing Corporation Virtual drive mapping
US20080140771A1 (en) * 2006-12-08 2008-06-12 Sony Computer Entertainment Inc. Simulated environment computing framework
US8271604B2 (en) * 2006-12-19 2012-09-18 International Business Machines Corporation Initializing shared memories for sharing endpoints across a plurality of root complexes
CN101212345A (zh) 2006-12-31 2008-07-02 联想(北京)有限公司 一种刀片服务器管理系统
US8407428B2 (en) 2010-05-20 2013-03-26 Hicamp Systems, Inc. Structured memory coprocessor
US8504791B2 (en) 2007-01-26 2013-08-06 Hicamp Systems, Inc. Hierarchical immutable content-addressable memory coprocessor
JP5106020B2 (ja) 2007-02-08 2012-12-26 パナソニック株式会社 パターン形成方法
US7865614B2 (en) 2007-02-12 2011-01-04 International Business Machines Corporation Method and apparatus for load balancing with server state change awareness
FI120088B (fi) 2007-03-01 2009-06-30 Kone Corp Järjestely ja menetelmä turvapiirin valvomiseksi
US7870907B2 (en) 2007-03-08 2011-01-18 Weatherford/Lamb, Inc. Debris protection for sliding sleeve
JP4370336B2 (ja) 2007-03-09 2009-11-25 株式会社日立製作所 低消費電力ジョブ管理方法及び計算機システム
US20080239649A1 (en) 2007-03-29 2008-10-02 Bradicich Thomas M Design structure for an interposer for expanded capability of a blade server chassis system
US7783910B2 (en) 2007-03-30 2010-08-24 International Business Machines Corporation Method and system for associating power consumption of a server with a network address assigned to the server
US20090097200A1 (en) 2007-04-11 2009-04-16 Viswa Sharma Modular blade for providing scalable mechanical, electrical and environmental functionality in the enterprise using advancedtca boards
JP4815385B2 (ja) 2007-04-13 2011-11-16 株式会社日立製作所 ストレージ装置
US7515412B2 (en) 2007-04-26 2009-04-07 Enermax Technology Corporation Cooling structure for power supply
US7715400B1 (en) 2007-04-26 2010-05-11 3 Leaf Networks Node identification for distributed shared memory system
DE102007020296A1 (de) 2007-04-30 2008-11-13 Philip Behrens Gerät und Verfahren zur drahtlosen Herstellung eines Kontakts
US7925795B2 (en) 2007-04-30 2011-04-12 Broadcom Corporation Method and system for configuring a plurality of network interfaces that share a physical interface
PT103744A (pt) * 2007-05-16 2008-11-17 Coreworks S A Arquitectura de acesso ao núcleo de rede.
US7552241B2 (en) 2007-05-18 2009-06-23 Tilera Corporation Method and system for managing a plurality of I/O interfaces with an array of multicore processor resources in a semiconductor chip
US8170040B2 (en) 2007-05-25 2012-05-01 Konda Technologies Inc. Fully connected generalized butterfly fat tree networks
US20080294851A1 (en) * 2007-05-25 2008-11-27 Nokia Corporation Method, apparatus, computer program product, and system for management of shared memory
US8141143B2 (en) * 2007-05-31 2012-03-20 Imera Systems, Inc. Method and system for providing remote access to resources in a secure data center over a network
US8060775B1 (en) 2007-06-14 2011-11-15 Symantec Corporation Method and apparatus for providing dynamic multi-pathing (DMP) for an asymmetric logical unit access (ALUA) based storage system
US7783813B2 (en) 2007-06-14 2010-08-24 International Business Machines Corporation Multi-node configuration of processor cards connected via processor fabrics
JP4962152B2 (ja) 2007-06-15 2012-06-27 日立電線株式会社 光電気複合伝送アセンブリ
US8140719B2 (en) 2007-06-21 2012-03-20 Sea Micro, Inc. Dis-aggregated and distributed data-center architecture using a direct interconnect fabric
EP2009554A1 (en) * 2007-06-25 2008-12-31 Stmicroelectronics SA Method for transferring data from a source target to a destination target, and corresponding network interface
US7761687B2 (en) 2007-06-26 2010-07-20 International Business Machines Corporation Ultrascalable petaflop parallel supercomputer
US8060760B2 (en) 2007-07-13 2011-11-15 Dell Products L.P. System and method for dynamic information handling system prioritization
US7688578B2 (en) 2007-07-19 2010-03-30 Hewlett-Packard Development Company, L.P. Modular high-density computer system
EP2186268B1 (en) 2007-08-10 2015-04-22 Robert B. Smith Balanced redundancy cross-connect communications interconnect and method
US7840703B2 (en) * 2007-08-27 2010-11-23 International Business Machines Corporation System and method for dynamically supporting indirect routing within a multi-tiered full-graph interconnect architecture
US7921686B2 (en) 2007-08-28 2011-04-12 Cisco Technology, Inc. Highly scalable architecture for application network appliances
US7898941B2 (en) * 2007-09-11 2011-03-01 Polycom, Inc. Method and system for assigning a plurality of MACs to a plurality of processors
US20090251867A1 (en) 2007-10-09 2009-10-08 Sharma Viswa N Reconfigurable, modularized fpga-based amc module
US7739475B2 (en) 2007-10-24 2010-06-15 Inventec Corporation System and method for updating dirty data of designated raw device
US7822841B2 (en) 2007-10-30 2010-10-26 Modern Grids, Inc. Method and system for hosting multiple, customized computing clusters
EP2061191A1 (en) 2007-11-13 2009-05-20 STMicroelectronics (Grenoble) SAS Buffering architecture for packet injection and extraction in on-chip networks.
US8068433B2 (en) 2007-11-26 2011-11-29 Microsoft Corporation Low power operation of networked devices
US7877622B2 (en) 2007-12-13 2011-01-25 International Business Machines Corporation Selecting between high availability redundant power supply modes for powering a computer system
US7962771B2 (en) 2007-12-31 2011-06-14 Intel Corporation Method, system, and apparatus for rerouting interrupts in a multi-core processor
US8345431B2 (en) 2008-01-02 2013-01-01 Microelectronics Assembly Technologies, Inc. Thin multi-chip flex module
US7779148B2 (en) 2008-02-01 2010-08-17 International Business Machines Corporation Dynamic routing based on information of not responded active source requests quantity received in broadcast heartbeat signal and stored in local data structure for other processor chips
US8015379B2 (en) * 2008-02-01 2011-09-06 International Business Machines Corporation Wake-and-go mechanism with exclusive system bus response
US20090204837A1 (en) 2008-02-11 2009-08-13 Udaykumar Raval Power control system and method
US20090204834A1 (en) 2008-02-11 2009-08-13 Nvidia Corporation System and method for using inputs as wake signals
US8854831B2 (en) 2012-04-10 2014-10-07 Arnouse Digital Devices Corporation Low power, high density server and portable device for use with same
US8082400B1 (en) 2008-02-26 2011-12-20 Hewlett-Packard Development Company, L.P. Partitioning a memory pool among plural computing nodes
US8156362B2 (en) 2008-03-11 2012-04-10 Globalfoundries Inc. Hardware monitoring and decision making for transitioning in and out of low-power state
TWI354213B (en) 2008-04-01 2011-12-11 Inventec Corp Server
US20090259864A1 (en) 2008-04-10 2009-10-15 Nvidia Corporation System and method for input/output control during power down mode
US8762759B2 (en) 2008-04-10 2014-06-24 Nvidia Corporation Responding to interrupts while in a reduced power state
US8169896B2 (en) 2008-04-16 2012-05-01 Telefonaktiebolaget Lm Ericsson (Publ) Connectivity fault management traffic indication extension
US7742844B2 (en) 2008-04-21 2010-06-22 Dell Products, Lp Information handling system including cooling devices and methods of use thereof
JP5075727B2 (ja) 2008-04-25 2012-11-21 株式会社日立製作所 ストリーム配信システム及び障害検知方法
US7861110B2 (en) 2008-04-30 2010-12-28 Egenera, Inc. System, method, and adapter for creating fault-tolerant communication busses from standard components
US7725603B1 (en) 2008-04-30 2010-05-25 Network Appliance, Inc. Automatic network cluster path management
US20090282419A1 (en) 2008-05-09 2009-11-12 International Business Machines Corporation Ordered And Unordered Network-Addressed Message Control With Embedded DMA Commands For A Network On Chip
US7921315B2 (en) 2008-05-09 2011-04-05 International Business Machines Corporation Managing power consumption in a data center based on monitoring circuit breakers
WO2009138133A1 (en) * 2008-05-12 2009-11-19 Telefonaktiebolaget Lm Ericsson (Publ) Re-routing traffic in a communications network
WO2009140631A2 (en) 2008-05-15 2009-11-19 Smooth-Stone, Inc. Distributed computing system with universal address system and method
US20100008038A1 (en) 2008-05-15 2010-01-14 Giovanni Coglitore Apparatus and Method for Reliable and Efficient Computing Based on Separating Computing Modules From Components With Moving Parts
US8775718B2 (en) 2008-05-23 2014-07-08 Netapp, Inc. Use of RDMA to access non-volatile solid-state memory in a network storage system
US7519843B1 (en) 2008-05-30 2009-04-14 International Business Machines Corporation Method and system for dynamic processor speed control to always maximize processor performance based on processing load and available power
US7904345B2 (en) 2008-06-10 2011-03-08 The Go Daddy Group, Inc. Providing website hosting overage protection by transference to an overflow server
US8244918B2 (en) 2008-06-11 2012-08-14 International Business Machines Corporation Resource sharing expansion card
IL192140A0 (en) * 2008-06-12 2009-02-11 Ethos Networks Ltd Method and system for transparent lan services in a packet network
US8886985B2 (en) 2008-07-07 2014-11-11 Raritan Americas, Inc. Automatic discovery of physical connectivity between power outlets and IT equipment
EP2313819A2 (en) 2008-07-14 2011-04-27 The Regents of the University of California Architecture to enable energy savings in networked computers
US20100026408A1 (en) 2008-07-30 2010-02-04 Jeng-Jye Shau Signal transfer for ultra-high capacity circuits
US8031703B2 (en) 2008-08-14 2011-10-04 Dell Products, Lp System and method for dynamic maintenance of fabric subsets in a network
US8132034B2 (en) 2008-08-28 2012-03-06 Dell Products L.P. System and method for managing information handling system power supply capacity utilization based on load sharing power loss
US8804710B2 (en) 2008-12-29 2014-08-12 Juniper Networks, Inc. System architecture for a scalable and distributed multi-stage switch fabric
JP5428267B2 (ja) 2008-09-26 2014-02-26 富士通株式会社 電源制御システム、および電源制御方法
US8484493B2 (en) 2008-10-29 2013-07-09 Dell Products, Lp Method for pre-chassis power multi-slot blade identification and inventory
US8068482B2 (en) 2008-11-13 2011-11-29 Qlogic, Corporation Method and system for network switch element
US10255463B2 (en) 2008-11-17 2019-04-09 International Business Machines Corporation Secure computer architecture
JP5151924B2 (ja) 2008-11-19 2013-02-27 富士通株式会社 電源管理プロキシ装置、サーバ装置、プロキシ装置を用いたサーバ電源管理方法、プロキシ装置電源管理プログラム、サーバ装置電源管理プログラム
US20100161909A1 (en) 2008-12-18 2010-06-24 Lsi Corporation Systems and Methods for Quota Management in a Memory Appliance
US20100158005A1 (en) * 2008-12-23 2010-06-24 Suvhasis Mukhopadhyay System-On-a-Chip and Multi-Chip Systems Supporting Advanced Telecommunication Functions
US20100169479A1 (en) 2008-12-26 2010-07-01 Electronics And Telecommunications Research Institute Apparatus and method for extracting user information using client-based script
US8122269B2 (en) 2009-01-07 2012-02-21 International Business Machines Corporation Regulating power consumption in a multi-core processor by dynamically distributing power and processing requests by a managing core to a configuration of processing cores
US8775544B2 (en) 2009-02-04 2014-07-08 Citrix Systems, Inc. Methods and systems for dynamically switching between communications protocols
US8510744B2 (en) 2009-02-24 2013-08-13 Siemens Product Lifecycle Management Software Inc. Using resource defining attributes to enhance thread scheduling in processors
GB2468137A (en) 2009-02-25 2010-09-01 Advanced Risc Mach Ltd Blade server with on board battery power
JP5816407B2 (ja) 2009-02-27 2015-11-18 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US8725946B2 (en) 2009-03-23 2014-05-13 Ocz Storage Solutions, Inc. Mass storage system and method of using hard disk, solid-state media, PCIe edge connector, and raid controller
US8140871B2 (en) 2009-03-27 2012-03-20 International Business Machines Corporation Wake on Lan for blade server
TWI358016B (en) 2009-04-17 2012-02-11 Inventec Corp Server
US8127128B2 (en) 2009-05-04 2012-02-28 International Business Machines Corporation Synchronization of swappable module in modular system
TWM377621U (en) 2009-05-25 2010-04-01 Advantech Co Ltd Interface card with hardware monitor and function extension, computer device and single board
US8004922B2 (en) 2009-06-05 2011-08-23 Nxp B.V. Power island with independent power characteristics for memory and logic
US9001846B2 (en) 2009-06-09 2015-04-07 Broadcom Corporation Physical layer device with dual medium access controller path
US8321688B2 (en) * 2009-06-12 2012-11-27 Microsoft Corporation Secure and private backup storage and processing for trusted computing and data services
CN102473157B (zh) 2009-07-17 2015-12-16 惠普开发有限公司 共享i/o环境中的虚拟热插入功能
CN101989212B (zh) 2009-07-31 2015-01-07 国际商业机器公司 提供用于启动刀片服务器的虚拟机管理程序的方法和装置
US8340120B2 (en) 2009-09-04 2012-12-25 Brocade Communications Systems, Inc. User selectable multiple protocol network interface device
US8612711B1 (en) * 2009-09-21 2013-12-17 Tilera Corporation Memory-mapped data transfers
US9465771B2 (en) 2009-09-24 2016-10-11 Iii Holdings 2, Llc Server on a chip and node cards comprising one or more of same
US9054990B2 (en) 2009-10-30 2015-06-09 Iii Holdings 2, Llc System and method for data center security enhancements leveraging server SOCs or server fabrics
US9876735B2 (en) 2009-10-30 2018-01-23 Iii Holdings 2, Llc Performance and power optimized computer system architectures and methods leveraging power optimized tree fabric interconnect
US8599863B2 (en) 2009-10-30 2013-12-03 Calxeda, Inc. System and method for using a multi-protocol fabric module across a distributed server interconnect fabric
US20110103391A1 (en) 2009-10-30 2011-05-05 Smooth-Stone, Inc. C/O Barry Evans System and method for high-performance, low-power data center interconnect fabric
TW201112936A (en) 2009-09-29 2011-04-01 Inventec Corp Electronic device
US20110087979A1 (en) 2009-10-05 2011-04-14 Vss Monitoring, Inc. Method, apparatus and system for stacking network captured traffic distribution devices
US8194659B2 (en) 2009-10-06 2012-06-05 Red Hat, Inc. Mechanism for processing messages using logical addresses
US8571031B2 (en) 2009-10-07 2013-10-29 Intel Corporation Configurable frame processing pipeline in a packet switch
US9311269B2 (en) 2009-10-30 2016-04-12 Iii Holdings 2, Llc Network proxy for high-performance, low-power data center interconnect fabric
US9680770B2 (en) 2009-10-30 2017-06-13 Iii Holdings 2, Llc System and method for using a multi-protocol fabric module across a distributed server interconnect fabric
US9767070B2 (en) 2009-11-06 2017-09-19 Hewlett Packard Enterprise Development Lp Storage system with a memory blade that generates a computational result for a storage device
US20110119344A1 (en) 2009-11-17 2011-05-19 Susan Eustis Apparatus And Method For Using Distributed Servers As Mainframe Class Computers
US20110191514A1 (en) 2010-01-29 2011-08-04 Inventec Corporation Server system
JP5648926B2 (ja) 2010-02-01 2015-01-07 日本電気株式会社 ネットワークシステム、コントローラ、ネットワーク制御方法
TW201128395A (en) 2010-02-08 2011-08-16 Hon Hai Prec Ind Co Ltd Computer motherboard
US20110210975A1 (en) 2010-02-26 2011-09-01 Xgi Technology, Inc. Multi-screen signal processing device and multi-screen system
US8397092B2 (en) 2010-03-24 2013-03-12 Emulex Design & Manufacturing Corporation Power management for input/output devices by creating a virtual port for redirecting traffic
KR101641108B1 (ko) 2010-04-30 2016-07-20 삼성전자주식회사 디버깅 기능을 지원하는 타겟 장치 및 그것을 포함하는 테스트 시스템
US8045328B1 (en) 2010-05-04 2011-10-25 Chenbro Micom Co., Ltd. Server and cooler moduel arrangement
US8839238B2 (en) 2010-06-11 2014-09-16 International Business Machines Corporation Dynamic virtual machine shutdown without service interruptions
US8750164B2 (en) 2010-07-06 2014-06-10 Nicira, Inc. Hierarchical managed switch architecture
US8812400B2 (en) 2010-07-09 2014-08-19 Hewlett-Packard Development Company, L.P. Managing a memory segment using a memory virtual appliance
WO2012023604A1 (ja) 2010-08-20 2012-02-23 日本電気株式会社 通信システム、制御装置、通信方法およびプログラム
CN102385417B (zh) 2010-08-25 2013-02-20 英业达股份有限公司 一种机架式服务器
JP2012053504A (ja) 2010-08-31 2012-03-15 Hitachi Ltd ブレード型サーバ装置
US8601288B2 (en) 2010-08-31 2013-12-03 Sonics, Inc. Intelligent power controller
CN103444133A (zh) 2010-09-16 2013-12-11 卡尔克塞达公司 性能和功率优化计算机系统架构和运用功率优化树结构互连的方法
WO2012050934A2 (en) * 2010-09-28 2012-04-19 Fusion-Io, Inc. Apparatus, system, and method for a direct interface between a memory controller and non-volatile memory using a command protocol
US20120081850A1 (en) 2010-09-30 2012-04-05 Dell Products L.P. Rack Assembly for Housing and Providing Power to Information Handling Systems
US8699220B2 (en) 2010-10-22 2014-04-15 Xplore Technologies Corp. Computer with removable cartridge
US8738860B1 (en) 2010-10-25 2014-05-27 Tilera Corporation Computing in parallel processing environments
DE102011056141A1 (de) 2010-12-20 2012-06-21 Samsung Electronics Co., Ltd. Negativspannungsgenerator, Dekoder, nicht-flüchtige Speichervorrichtung und Speichersystem, das eine negative Spannung verwendet
US20120198252A1 (en) 2011-02-01 2012-08-02 Kirschtein Phillip M System and Method for Managing and Detecting Server Power Connections
US8670450B2 (en) 2011-05-13 2014-03-11 International Business Machines Corporation Efficient software-based private VLAN solution for distributed virtual switches
US8806090B2 (en) * 2011-05-31 2014-08-12 Micron Technology, Inc. Apparatus including buffer allocation management and related methods
US8547825B2 (en) 2011-07-07 2013-10-01 International Business Machines Corporation Switch fabric management
US8683125B2 (en) 2011-11-01 2014-03-25 Hewlett-Packard Development Company, L.P. Tier identification (TID) for tiered memory characteristics
US9565132B2 (en) 2011-12-27 2017-02-07 Intel Corporation Multi-protocol I/O interconnect including a switching fabric
WO2013101142A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Low latency cluster computing
US8782321B2 (en) 2012-02-08 2014-07-15 Intel Corporation PCI express tunneling over a multi-protocol I/O interconnect
US8954698B2 (en) * 2012-04-13 2015-02-10 International Business Machines Corporation Switching optically connected memory
US20130290643A1 (en) 2012-04-30 2013-10-31 Kevin T. Lim Using a cache in a disaggregated memory architecture
US20130290650A1 (en) 2012-04-30 2013-10-31 Jichuan Chang Distributed active data storage system
US20130318280A1 (en) 2012-05-22 2013-11-28 Xockets IP, LLC Offloading of computation for rack level servers and corresponding methods and systems
US20140115278A1 (en) * 2012-10-23 2014-04-24 Analog Devices, Inc. Memory architecture
US9304896B2 (en) 2013-08-05 2016-04-05 Iii Holdings 2, Llc Remote memory ring buffers in a cluster of data processing nodes

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030231624A1 (en) * 2002-06-12 2003-12-18 Alappat Kuriappan P. Backplane for switch fabric
US20050254490A1 (en) * 2004-05-05 2005-11-17 Tom Gallatin Asymmetric packet switch and a method of use
US20080013453A1 (en) * 2006-07-13 2008-01-17 Sbc Knowledge Ventures, L.P. Method and apparatus for configuring a network topology with alternative communication paths
CN101507235A (zh) * 2006-08-24 2009-08-12 西门子公司 用于提供无线网状网的方法和设备
US20090225751A1 (en) * 2007-05-22 2009-09-10 Koenck Steven E Mobile nodal based communication system, method and apparatus
CN101369958A (zh) * 2007-08-15 2009-02-18 华为技术有限公司 一种快速重路由方法及标签交换路由器
US20090080428A1 (en) * 2007-09-25 2009-03-26 Maxxan Systems, Inc. System and method for scalable switch fabric for computer network

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104737507A (zh) * 2012-10-10 2015-06-24 思科技术公司 在大规模数据中心环境中用机会第3层转发确保任意到任意可达性
CN103297560A (zh) * 2013-05-21 2013-09-11 江苏物联网研究发展中心 一种数据流分类的方法及服务器
CN105359468A (zh) * 2013-12-06 2016-02-24 英特尔公司 使用与链路结构分组异步的微片捆包的链路传送、位错误检测以及链路重试
CN105426245B (zh) * 2014-06-30 2019-12-31 伊姆西公司 包括分散的部件的动态地组成的计算节点
CN105426245A (zh) * 2014-06-30 2016-03-23 伊姆西公司 包括分散的部件的动态地组成的计算节点
CN106537363A (zh) * 2014-07-07 2017-03-22 赛灵思公司 桥接的总线间通信
CN106537363B (zh) * 2014-07-07 2019-03-19 赛灵思公司 桥接的总线间通信
CN105550157A (zh) * 2015-12-24 2016-05-04 中国科学院计算技术研究所 一种分形树结构通信结构、方法、控制装置及智能芯片
CN105550157B (zh) * 2015-12-24 2017-06-27 中国科学院计算技术研究所 一种分形树结构通信结构、方法、控制装置及智能芯片
US10805233B2 (en) 2015-12-24 2020-10-13 Institute of Computing Technology, Chinese Academy of Science Fractal-tree communication structure and method, control apparatus and intelligent chip
CN109690502A (zh) * 2016-09-08 2019-04-26 高通股份有限公司 使用硬件控制的分离监听目录的相干互连功率降低
CN110149235A (zh) * 2019-05-28 2019-08-20 中山大学 一种支持多用户和多网络协议、可动态扩展的树状网络代理系统
CN110149235B (zh) * 2019-05-28 2020-11-24 中山大学 一种支持多用户和多网络协议、可动态扩展的树状网络代理系统
CN112532501A (zh) * 2019-09-18 2021-03-19 中国电信股份有限公司 主机物理地址处理方法和装置、计算机可读存储介质
CN112532501B (zh) * 2019-09-18 2023-04-18 中国电信股份有限公司 主机物理地址处理方法和装置、计算机可读存储介质
CN113824632A (zh) * 2021-09-03 2021-12-21 比威网络技术有限公司 安全分级多径路由中的途径点压缩方法和装置
CN113824632B (zh) * 2021-09-03 2023-04-18 比威网络技术有限公司 安全分级多径路由中的途径点压缩方法和装置

Also Published As

Publication number Publication date
EP3070894B1 (en) 2018-07-25
JP2013509808A (ja) 2013-03-14
US9866477B2 (en) 2018-01-09
US20110103391A1 (en) 2011-05-05
EP2494748A4 (en) 2013-07-03
CN104836755A (zh) 2015-08-12
KR101516216B1 (ko) 2015-05-04
CN105357152A (zh) 2016-02-24
US9075655B2 (en) 2015-07-07
US9008079B2 (en) 2015-04-14
US20130097351A1 (en) 2013-04-18
US20140359044A1 (en) 2014-12-04
US20160373354A1 (en) 2016-12-22
US10135731B2 (en) 2018-11-20
US9405584B2 (en) 2016-08-02
US20150071113A1 (en) 2015-03-12
US20130022040A1 (en) 2013-01-24
US20130044587A1 (en) 2013-02-21
US8737410B2 (en) 2014-05-27
US20160239415A1 (en) 2016-08-18
EP2494748A1 (en) 2012-09-05
KR20120095405A (ko) 2012-08-28
US9262225B2 (en) 2016-02-16
US20130097448A1 (en) 2013-04-18
CN102668473B (zh) 2015-12-16
WO2011053488A1 (en) 2011-05-05
US20130089104A1 (en) 2013-04-11
CN104836755B (zh) 2018-06-15
EP2494748B1 (en) 2016-04-20
US20130094499A1 (en) 2013-04-18
US8745302B2 (en) 2014-06-03
US9454403B2 (en) 2016-09-27
EP3070894A1 (en) 2016-09-21

Similar Documents

Publication Publication Date Title
CN102668473B (zh) 用于高性能、低功率数据中心互连结构的系统和方法
US9977763B2 (en) Network proxy for high-performance, low-power data center interconnect fabric
CN107925634B (zh) 用于支持高性能计算环境中的子网间分区的系统和方法
CN112565043B (zh) 用于支持高性能计算环境中的双端口虚拟路由器的系统和方法
CN103477593B (zh) 网络系统、交换机和连接终端检测方法
JP2022122873A (ja) 高性能コンピューティング環境においてパーティションメンバーシップに関連して定義されるマルチキャストグループメンバーシップを提供するシステムおよび方法
US8489754B2 (en) Full mesh optimization for spanning tree protocol
JP2015156697A (ja) スイッチシステム、スイッチ制御方法、及び記憶媒体
WO2014032598A1 (en) Forward packet with edge device
CN104303467A (zh) 集成的异构的软件定义的网络
CN102413190A (zh) 一种基于云计算的网络架构及其虚拟网络管理方法
CN102823198B (zh) 用于虚拟专用联网环境中的客户边缘设备和接口连接的自动发现的系统和方法
JP2011523334A (ja) 検索のためのカスケード型メモリテーブル
Li et al. Towards full virtualization of SDN infrastructure
US20170237691A1 (en) Apparatus and method for supporting multiple virtual switch instances on a network switch
CN108833284A (zh) 一种云平台和idc网络的通信方法及装置
Stephens Designing scalable networks for future large datacenters
CN105357152B (zh) 用于高性能、低功率数据中心互连结构的系统和方法
JP2009081816A (ja) パケット中継装置
Yu et al. Svirt: A substrate-agnostic SDN virtualization architecture for multi-tenant cloud
Benito Hoz Enrutamiento adaptativo no mínimo para redes de interconexión eficientes
CN116155739A (zh) 网络拓扑的还原方法及装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: III HOLDINGS NO. 2 LLC

Free format text: FORMER OWNER: SILICON VALLEY BANK

Effective date: 20140918

Owner name: SILICON VALLEY BANK

Free format text: FORMER OWNER: CALXEDA INC.

Effective date: 20140918

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20140918

Address after: Delaware

Applicant after: III HOLDINGS 2, LLC

Address before: California, USA

Applicant before: Silicon Valley Bank

Effective date of registration: 20140918

Address after: California, USA

Applicant after: Silicon Valley Bank

Address before: Texas, USA

Applicant before: Calxeda, Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20151216