CN102859662A - 用于互连的自对准阻挡层和封盖层 - Google Patents

用于互连的自对准阻挡层和封盖层 Download PDF

Info

Publication number
CN102859662A
CN102859662A CN2010800590545A CN201080059054A CN102859662A CN 102859662 A CN102859662 A CN 102859662A CN 2010800590545 A CN2010800590545 A CN 2010800590545A CN 201080059054 A CN201080059054 A CN 201080059054A CN 102859662 A CN102859662 A CN 102859662A
Authority
CN
China
Prior art keywords
copper
manganese
deposition
layer
iodine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800590545A
Other languages
English (en)
Other versions
CN102859662B (zh
Inventor
R·G·戈登
H·B·班达理
Y·欧
Y·林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Harvard College
Original Assignee
Harvard College
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Harvard College filed Critical Harvard College
Priority to CN201510612232.7A priority Critical patent/CN105304479B/zh
Publication of CN102859662A publication Critical patent/CN102859662A/zh
Application granted granted Critical
Publication of CN102859662B publication Critical patent/CN102859662B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供了用于集成电路中铜导线的集成电路用互连结构和用于制造这种互连结构的方法。含有Mn、Cr和V的层形成抵抗铜从所述导线扩散出来的阻挡体,从而保护绝缘体免于过早断裂并且保护晶体管免于被铜降解。含有Mn、Cr和V的层还增进铜和绝缘体之间的强有力附着,因而保持器件在制造和使用期间的机械完整性,以及在器件的使用期间保护免遭因铜的电迁移所致的失效并且保护铜免受来自其环境的氧或水腐蚀。在形成此类集成电路时,本发明的某些实施方案提供了在铜表面上选择性沉积Mn、Cr、V或Co同时减少或甚至防止Mn、Cr、V或Co在绝缘体表面上沉积的方法。使用含有Mn、Cr或V的前体和含有碘或溴的前体,还提供铜的催化性沉积。

Description

用于互连的自对准阻挡层和封盖层
相关申请
本专利公开要求2009年10月23日提交的美国专利申请No.61/254,601和2010年9月23日提交的美国专利申请No.61/385,868的较早申请日权益,所述申请的内容在此通过引用的方式完整并入本文。
本专利公开涉及2009年3月20日提交的美国专利申请No.12/408,473,该专利申请要求2008年3月21日提交的美国专利申请No.61/038,657、2008年4月8日提交的美国专利申请No.61/043,236和2008年6月20日提交的美国专利申请No.61/074,467的申请日权益,所述申请的内容在此通过引用的方式完整并入本文。
版权声明
该专利公开可能包含受版权保护的材料。当其在美国专利和商标局的专利文件或记录中出现时,版权拥有人对任何人摹真复制专利文献或专利公开没有异议,但另外保留任何及全部版权。
通过引用并入
在此引用的全部专利、专利申请和公开均通过引用的方式完整并入本文,以便更充分地描述截止本文所述的本发明的日期之前本领域技术人员已知的现有技术。
背景
铜(Cu)正在取代铝作为微电子器件(如微处理器和存储器)布线所选用的材料。然而,铜在半导体如硅中的存在造成可能妨碍在半导体中所形成的晶体管正常工作的缺陷。铜还增加了经过置于铜导线之间的绝缘体(如二氧化硅)的电流泄漏。因此,铜布线的使用需要有效的扩散阻挡体包围铜导线,以保持铜被约束至合适的位置。
尽管已经努力进行过在铜周围提供扩散阻挡层的许多工作,但是它们均遭遇某些形式的缺点。这些缺点包括导致电容增加的不可接受性高的介电常数(如SiC或Si3N4),所述电容增加降低了可以经铜布线传输信号的速度;加工(如无电沉积CoWP或CoWB)困难,其导致铜导线之间的绝缘体上的电短路;铜电阻因掺入用来形成阻挡层的其他材料(如CoWP、CoWB或Mn)而增加、铜电阻因退火期间存在杂质(如Mn)所引起的铜晶粒生长限制而增加、阻挡层(如MnOx)与铜附着不良等。
其他工作集中在铜层的生长方面,如在阻挡层顶部上的窄沟槽和窄孔洞(也称作过孔)中生长铜。为这种目的,已经提出碘作为使用CVD技术生长铜时的合适催化剂。然而,因为碘不与阻挡层(如TaN和TiN)轻易地附着,所以在沟槽和孔洞内部需要薄的铜籽晶层或用等离子体预处理活化隔离层,这已经极难进行。
概述
本技术涉及在微电子学中使用的铜互连,并且更具体地,涉及确保铜与周围材料之间稳固附着的材料和技术,从而提供阻挡体以防止铜从布线中扩散出来,保持氧气和水不向铜内扩散,并且保持铜导线不受它们承载的电流损害。
描述了一种方法,该方法用于在微电子器件中形成自对准扩散阻挡体,而没有在退火期间或退火后Cu中存在的金属杂质的缺点。在一个实施方案中,在沉积含Cu籽晶层之前,金属如Mn、Co、Cr或V与绝缘体的表面反应。在某些实施方案中,将Mn、Co、Cr或V通过保形化学气相沉积(CVD)方法输送到表面,其中所述方法不涉及使用任何含氧共反应物以及Mn、Co、Cr或V的前体。
在某些实施方案中,CVD方法可以还包括使用含氮共反应物,如氨,从而在暴露于蒸汽的表面上或其附近掺入导电性金属氮化物。已经发现金属氮化物(如氮化锰)的存在增加与后续沉积的铜层附着。
根据本发明的某些实施方案,该方法不因在过孔底部形成阻挡体而藉以电阻增加。在金属反应和/或产生金属氮化物的反应后,沉积Cu籽晶层,优选通过CVD进行。也可以将籽晶层沉积为铜化合物,如氧化铜(Cu2O)、氮化铜(Cu3N)或氮氧化铜(CuOzNw),其稍后还原成Cu。
在本发明另一个方面,就在CMP步骤后,将Mn、Co、Cr或V沉积在部分完成的互连的平坦表面上(即,平面化结构)。在该表面的绝缘部分的顶部上,Mn、Co、Cr或V与绝缘体中所含的硅和氧反应以形成绝缘性金属硅酸盐层,例如MnSixOy层,其中所述金属是锰。在其中金属Mn沉积于Cu线顶部(填充有Cu的沟槽的顶部)上的区域内,锰溶解入Cu的顶部层中,以形成Cu-Mn合金。随后,在Cu-Mn和MnSixOy区域上方,形成用于下一个更高能级绝缘体的绝缘体覆盖沉积物(blanket deposition)。在其中这种绝缘体的最初沉积部分是Si3N4的实施方案中,在沉积期间和/或随后的退火期间,Cu-Mn表面层中的Mn向上扩散以与该绝缘体反应,从而在Cu和该绝缘体之间形成MnSixNy扩散阻挡体。这种MnSixNy层的存在还增加铜和其上方的绝缘体之间的附着。
可以获得强有力附着的扩散阻挡层和在Cu全部表面上包围Cu的附着层。所述MnSixOy和MnSixNy层提供了高导电性、强有力附着且耐久的铜层用于例如电子元件、电路、器件和系统的生产。
在本发明另一个方面,就在CMP步骤后,仅将Mn、Co、Cr或V选择性地沉积在部分完成的互连的平坦表面(即,平面化结构)的金属性区域上。与此同时,很少或不将Mn、Co、Cr或V沉积在绝缘体的附近表面上。该方法增加铜与后续沉积的绝缘体附着,同时维持跨约相邻铜导线之间绝缘体的很低的电泄漏。该方法增加了铜互连在它们因电迁移而失效之前的寿命。
在某些实施方案中,本申请描述用于形成集成电路互连结构的方法。该方法包括:提供了包括电绝缘区和导电含铜区的部分完成的互连结构,所述部分完成的互连结构具有基本上平坦的表面;在导电含铜区的至少一部分之上或之中沉积选自锰、铬和钒的金属(M);在沉积金属的至少一部分上沉积绝缘膜,其中沉积绝缘膜的与所述沉积金属的至少一部分接触的区域基本上不含氧;并且使沉积金属的至少一部分与绝缘膜反应以形成阻挡层,其中导电含铜区是基本上不含元素金属(M)。
在其它实施方案中,该方法包括:提供具有过孔或沟槽的部分完成的互连结构,所述过孔或沟槽包括由一种或多种电绝缘材料限定的侧壁和导电含铜底部区;在部分完成的互连结构上沉积选自锰、铬和钒的金属(M);通过沉积的金属与所述一种或多种电绝缘材料反应来形成第二绝缘侧壁区;将金属从底部区移除或扩散出去,以暴露导电含铜底部区;并且用铜填充过孔或沟槽。
在其它实施方案中,锰可以由铬或钒取代。
在某些实施方案中,提供了通过使用氮化锰作为底层和使用碘作为表面活性剂催化剂的CVD法而用铜或铜锰合金自底向上填充沟槽或孔洞的方法。铜或铜锰合金以使用适宜蒸气源的CVD法沉积。可以实现低于100nm的沟槽的保形沉积。保形沉积的氮化锰膜显示抗Cu扩散的阻挡体性能并且增强Cu与介电绝缘体之间的附着。吸附的碘原子从氮化锰膜表面的释放允许碘充当漂浮于正在生长的铜层表面上的表面活性剂催化剂。随着铜层生长,碘从沉积界面持续释放以′漂′至正在生长的铜层的顶部并且充当下一个待沉积铜层的表面催化剂。因此,在纵横比超过9∶1的窄于20nm的沟槽中实现纯铜或铜锰合金的CVD的无空洞自底向上填充。一旦退火后,该合金中的锰离开铜越过晶界扩散出来并且形成自对准层以进一步改善铜/绝缘体界面处的附着性能和阻挡性能。这个过程为微电子器件提供具有更高速度和更长寿命的纳米级互连。
提供了材料和技术以确保铜与周围材料之间稳固附着、形成阻挡体以防止铜从布线扩散出来、防止氧气和水腐蚀铜并且保持铜导线不受它们承载的电流损害。
在一个实施方案中,具有开放沟槽(其将含有导线)和孔洞(将一个水平的导线与已经形成的导线连接的过孔)的部分完成的互连结构可以经历一个或多个CVD过程。CVD可以用来在沟槽和过孔的壁上以及在已于过孔底部处形成的任何导线的暴露部分上沉积锰。接下来,CVD可以用来使碘化学吸附到锰包覆的表面上。最后,可以按这样的方式实施铜的CVD,从而碘催化过孔和沟槽的自底向上填充,而无任何缝隙或空洞。
在另一个实施方案中,可以形成氮化锰层(MnNx,0<x<1),随后使碘化学吸附在氮化锰上,并且随后是催化增强的无空洞铜的CVD以填充过孔和沟槽。
在另一个实施方案中,可以形成氮化锰层(MnNx,0<x<1),随后使碘化学吸附在氮化锰上,并且随后是催化增强的铜薄层CVD。随后,额外的碘可以进一步化学吸附到这个薄铜层的表面上,然后是额外的铜CVD,所述额外的铜CVD可以被源自两个化学吸附步骤的更大量的碘甚至更有效地催化。
仍在其他实施方案中,可以进一步交替进行CVD锰和CVD铜的步骤,从而导致用铜锰纳米层(nanolaminate)填充沟槽和过孔。加热这种结构可以允许锰扩散至附近的绝缘体表面,在此处锰可以增加铜与绝缘体的附着强度并且形成对抗铜、水和氧扩散的自对准阻挡体。在锰扩散出去后,所述互连可以变成通过锰与绝缘体表面强力键合的高度导电的纯铜。
在其他实施方案中,在最初锰和碘沉积后,可以将铜前体蒸气和锰前体蒸气,连同任何必需的还原剂如氢,同时导入CVD反应器的沉积带以沉积无空洞铜锰合金。
在替代性实施方案中,CVD可以用来形成包含Mn、I和Cu的薄层,该薄层可以充当用于电镀Cu的籽晶层。
适用于锰的CVD的前体包括可以用氢还原以产生锰金属或与氨反应以在低温沉积氮化锰并且在绝缘体表面上致密成核的脒基锰,如双(N,N′-二烷基脒基)合锰(II)。
已知用于CVD的铜金属的许多前体。脒基铜,如N,N′-二烷基脒基合铜(I)二聚体,是特别合适的,因为它们的高度热稳定性和高度反应性允许通过氢还原在低温沉积纯铜,同时在碘覆盖的锰或氮化锰表面上致密成核。
本发明的其它的特征和优点将从以下描述和附图以及从权利要求中显而易见。
附图简述
图1是化学机械抛光(CMP)步骤后,部分完成的本发明互连布线结构的顶部的示意性截面图。
图2是在金属沉积后的图1的结构。
图3是移除金属硅酸盐后的图2的结构。
图4是沉积包覆绝缘体后的图3的结构。
图5是光刻和蚀刻绝缘体中的过孔和沟槽后的图4的结构。
图6是退火后的图5的结构。
图7是另一金属沉积后的图6的结构。
图8是退火后的图7的结构。
图9是籽晶层沉积和填充铜后的图8的结构。
图10是化学机械抛光后的图9的结构。
图11是在Cu/SiO2/Si衬底上CVD Mn的结果的截面高分辨率透射显微照片。
图12是在500℃下退火和蚀刻掉Cu后(a)Cu/SiO2/Si和(b)Cu/MnSixOy/Si的扫描电子显微镜照片及表面的元素分析。
图13显示在400℃下退火之前和之后样品(a)Cu/SiO2/Si和(b)Cu/MnSixOy/SiO2/Si的电容-电压曲线。
图14显示在250℃在1MV/cm电场下退火之前和之后样品(a)Cu/SiO2/Si和(b)Cu/MnSixOy/SiO2/Si的电容-电压曲线。
图15显示通过在低k绝缘体上的CVD形成的MnSixOy层的截面。
图16显示铜表面和SiO2表面的卢瑟福背散射谱(RBS),每种表面暴露于相同的CVD条件,所述CVD条件仅在铜上沉积8nm锰,而不在SiO2上沉积锰。
图17显示锰在已经暴露于锰CVD的铜层表面附近的分布。
图18显示作为界面处锰/硅比的函数的铜锰合金对含硅绝缘体的附着能的图。
图19显示采用本发明方法连同选择性较低方法下,经历锰CVD的绝缘体表面的X射线光电子能谱。
图20是根据某些实施方案用MnNx衬里的窄孔的扫描电子显微镜照片(SEM)。
图21是根据某些实施方案用MnNx衬里并且用Cu填充的窄孔的透射电子显微镜照片(TEM)。
图22显示X射线光电子能谱(XPS),其表明在铜沉积整个过程期间,碘留在铜的表面上。
图23是根据某些实施方案用MnNx衬里并且用Cu填充的窄孔的扫描电子显微镜照片。
图24显示在MnNx衬里的沟槽上通过碘催化的铜CVD而局部填充的沟槽。
图25显示SEM和能量色散X射线分析(EDX)数据,其显示MnNx是铜扩散的阻挡体。
图26是根据某些实施方案用MnNx衬里并且用Cu-Mn纳米层填充的窄孔的SEM。
图27显示在铜锰纳米层沉积期间,碘留在表面上。
图28是根据某些实施方案用MnNx衬里并且用Cu-Mn合金填充的窄孔的SEM。
图29显示在铜锰合金沉积期间,碘留在表面上。
图30是根据某些实施方案用MnNx和Cu涂镀的聚酰胺塑料的SEM。
图31是根据某些实施方案用MnNx和Cu涂镀的塑料电路板材料的SEM。
发明详述
图1显示了用于微电子器件的部分完成的多级布线结构。该结构包括基本上平坦的表面,该平坦表面包括绝缘区10(例如氧化硅)和形成完成的较低级布线顶部的导电区20(例如铜),这两个区由扩散阻挡体25分隔。在一些实施方案中,该扩散阻挡体可以包含硅酸锰。一般而言,在这个阶段的器件已经由CMP处理,随后进行清洁。应当指出,虽然本讨论使用Mn举例说明本发明,但是也可以轻易地使用含有例如Co、Cr或V的其他金属前体。
接下来,如图2中所示,将Mn(或Co,或Cr,或V)金属沉积在表面上。Mn与绝缘体10的暴露区域反应以形成图2中标记为30的绝缘MnSixOy层。在表面20的Cu暴露区,Mn扩散到Cu的上部以形成CuMn合金40。沉积之前的上表面的位置用箭头45、45′表示。典型地,将Mn沉积在加热的衬底上。如果衬底的温度足够高(一般超过150℃)且Mn的沉积足够慢,则Mn的反应和扩散可以在沉积结束时完成。如果沉积期间与绝缘体的反应以及向Cu中的扩散未结束,则可以使用沉积后退火来完成所述反应和扩散。
Mn可以由任何常规的方法沉积,包括化学方法和物理方法。化学方法包括化学气相沉积(CVD)法和原子层沉积(ALD)。物理方法包括溅射法和蒸发法。因为衬底是平坦的,所以沉积方法的阶梯(step)覆盖率对该步骤而言是不重要的。因此具有不良阶梯覆盖率的物理方法足够用于该沉积步骤。CVD法也可以用在该步骤中,不论特定的CVD法是否具有良好的阶梯覆盖性。
Mn沉积后,可以任选地移除MnSixOy层30,如图3所示。在上个步骤中形成的MnSixOy层30是电绝缘体,但在一些应用中其泄漏电流可能高于预期。在这种情况下,可以移除这个金属硅酸盐层30,以便减少器件中的泄漏电流。可以通过任何方便的方法如抛光法、湿蚀刻法或干蚀刻法移除硅酸盐层30。移除可能是非选择性的,从而以与移除硅酸盐相同的速度移除铜,因而保持平坦表面。或者,可以选择性地移除硅酸盐层30,而不移除铜,如图3中所示。所得的不平表面需要一种保形方法以在下个步骤中沉积包覆绝缘体。
或者,不在图1的绝缘表面和导电表面上沉积Mn(或Co,或Cr,或V),将所述表面可以预处理以在铜表面上选择性地沉积锰。如本文所用,“选择性沉积”指优先地沉积一种材料到一个表面上,同时在不同的表面上很少发生或不发生沉积。因而,表面可以进行预处理以优先地在铜表面上沉积锰并且减少或消除锰在绝缘体区上的沉积。在锰的CVD之前,可以用保护剂使绝缘体表面上的反应位点失活。这种失活可以通过绝缘体表面与烷基硅烷化合物在气相或在溶液中反应来完成。例如,有效的失活性化合物包括与硅键合的二烷基酰胺基团,如双(N,N-二烷基氨基)二烷基硅烷和N,N-二烷基氨基三烷基硅烷。这两种类型的示例性试剂包括双(N,N-二烷基氨基)二烷基硅烷(CH3)2Si(N(CH3)2)2和(N,N-二烷基氨基)三烷基硅烷(CH3)3SiN(CH3)2
在某些实施方案中,可以通过绝缘体表面与两种或更多种烷基硅烷化合物在气相或在溶液中反应以协同地降低绝缘表面的反应性,完成这种失活。如本文所用,“协同的”意指与使用单种保护剂所获得的失活效果相比,两种或更多种保护剂的使用导致更大的失活效果。
在某些实施方案中,已经通过使绝缘体表面依次暴露于双(N,N-二烷基氨基)二烷基硅烷和随后暴露于N,N-二烷基氨基三烷基硅烷实现完全阻止锰或钴沉积在绝缘体上。在相同的反应条件下,已经发现这两个类型的失活性化合物均不与干净的无氧化物的铜表面反应。因此,锰或钴在铜表面上的CVD不受这些试剂阻止。
此后,使脒基锰蒸气和氢气与加热的衬底接触。在由铜20组成的衬底表面的部分上,在铜表面附近形成铜锰合金40的连续薄层。在由绝缘体10(如SiO2或SiCOH)制成的衬底表面的部分上,很少锰或无锰沉积。在某些实施方案中,加热的表面的温度可以是处于合适的范围内,一般200至350℃,或更大优选地250至300℃。
如上文指出,其他金属如Co、Cr或V可以选择性地沉积在铜表面上。例如,钴金属可以沉积在铜表面上,而很少钴或无钴沉积在绝缘体表面上。在此类实施方案中,使脒基钴蒸气和氢气与加热的衬底接触。在由铜20组成的衬底表面的部分上,在铜表面上形成钴40的连续薄层。在由绝缘体10(如SiO2或SiCOH)制成的衬底表面的部分上,很少钴或无钴沉积。在某些实施方案中,加热的表面的温度可以是处于合适的范围内,一般180至250℃,或更大优选地200至220℃。
接下来,如图4中所示,将包覆绝缘体层50沉积在3图中所示的结构上(在平面化或不平面化的情况下)。注意,图4中的结构不包括绝缘层10上方的硅酸盐层30。可以使用本领域中已知的任何方法来制备该绝缘层,这些方法包括等离子增强的CVD法或旋涂法。可以使用包含Si和O的绝缘体组合物。在某些实施方案中,可以使用包括Si但是基本上不含O的绝缘体组合物,例如SiN、SiC、SiCN等。在某些实施方案中,绝缘体层可以通过沉积多个绝缘材料的子层来建立,每个子层对整个绝缘层增加特定功能。例如,可以使用第一绝缘子层51,例如Si3N4,该层增强了与其下的锰掺杂的铜层的附着。在某些实施方案中,子层51基本上不含氧。在某些实施方案中,相比通过附着包含氧的子层51所获得的与锰掺杂铜层附着,基本不含氧的子层51可以增强与锰掺杂的铜层的附着。接下来,止蚀(etch-stop)子层52例如碳化硅可以沉积在子层51的顶部。止蚀子层52可以帮助限定用于蚀刻孔洞(过孔)的合适深度。在某些实施方案中,接下来的绝缘子层53可以是具有非常低的介电常数(典型地k小于约2.5)的多孔电介质。最终的绝缘子层54可以是具有较高介电常数(k大于约2.5)的较致密的非多孔电介质,这可以帮助保护较脆弱的多孔电介质层免受机械损害,以及防止水进入多孔电介质的孔中。在某些实施方案中,子层53和54可以含有Si和O。子层53的另一个功能可以是作为止蚀层,用于限定贯穿子层54的沟槽的底部。如本领域技术人员容易理解,特定绝缘体层50的许多变体(例如厚度、层组合、材料组成等)均属于本发明的范围。为简化起见,对本发明中的绝缘层50的任何参照都应当理解为包括一个或多个本文所述的子层。
使用光刻和蚀刻将孔洞(过孔)100和沟槽110摹刻(pattern)到绝缘体层50中。图5中显示最终结构的示意性截面图。
将该结构退火以在绝缘氧化硅层50和CuMn合金层40之间的界面处形成MnSixNy层60(假设使用Si3N4作为子层51),如图6中所示。MnSixNy层60充当阻挡体,抵抗Cu扩散出层20,还提供Cu 20和绝缘体50之间的牢固附着。MnSixNy还可以用来防止氧或水由绝缘体层50扩散到Cu层20中。退火后,将来自于Mn-Cu合金层40的大多数Mn置于MnSixNy层60中;然而,一些Mn可能在退火期间迁移到层20的上表面以形成氧化锰层(未显示)。可以通过定向溅射、或通过由气体例如甲酸或由液体酸溶液选择性地蚀刻来移除留在Cu表面上的任何氧化锰。这由Cu层20的上表面和接邻的MnSixNy层60之间的轻微凹处65所显示。
接下来,沉积另一Mn层,优选通过保形方法例如CVD或ALD进行。这个步骤在过孔和沟槽的壁上形成层80,如果采用氧化硅作为子层54并且使用氮化硅作为子层51,则层80可以在顶部附近的MnSixOy和底部附近的MnSixNy之间变化。该步骤可以进一步在绝缘体层50的上表面上形成MnSixOy 90的顶层,如图7中所示。CuMn合金层70最初在层20的暴露铜表面上形成,但是随后,Mn扩散以形成多个的绝缘体表面如层60。如果这些层的形成没有在沉积结束时完成,则可以使用另外的退火和可能的酸蚀刻来形成图8中所示的结构,其中铜20层基本上不含Mn杂质。
在某些实施方案中,氮化锰MnNx也可以沉积在过孔100和沟槽110的暴露表面上。在一些其他的实施方案中,氮化锰MnNx也可以沉积在层70、80和90上。令人惊讶地,发现氮化锰使用提供至少5种有益功能。首先,氮化锰可以增加在绝缘材料和后续沉积的铜之间附着的强度。其次,氮化锰可以充当抗铜、氧和水扩散的有效阻挡层。第三,氮化锰可以增强表面催化剂(如碘或溴)的捕获和释放,如下文更详细地讨论。MnNx的这3种益处与锰金属所赋予的那些益处相似。作为额外的第四益处,氮化锰比纯锰金属在表面上更连续和均一地沉积,因为我们发现MnNx比Mn更耐受团聚。优选地通过保形方法如CVD、ALD或电离物理气相沉积法(IPVD)沉积氮化锰。第五,我们发现MnNx的CVD和ALD可以在较低温度并且以比沉积锰金属更高的速率完成。如果需要使用连续和非团聚的锰金属,则可以通过从氮化锰移除氮,例如通过使用氢等离子体移除氮,便利地形成这种锰金属。
接下来,优选通过保形方法例如CVD、ALD或IPVD形成Cu的籽晶层。然后,通过电镀填充过孔和沟槽以形成图9中所示的结构。将这个纯Cu层120退火以增加晶粒度并且降低电阻。
在某些备选实施方案中,可以在过孔和沟槽(如与8图中所示结构具有相似结构的过孔和沟槽)、具有用含锰层如氮化锰层沉积过的侧壁和底部表面的过孔和沟槽或具有绝缘体表面(如二氧化硅)的过孔和沟槽中催化地长出铜。
在某些实施方案中,过孔和沟槽可以暴露于含锰前体以形成含锰层。在形成含锰层后(例如,通过气相沉积技术如CVD),可以将含有碘或溴的前体如乙基碘、甲基碘、二碘代甲烷、分子碘(I2)、溴代甲烷或分子溴(Br2)导入以吸附或化学吸附到含锰表面上。此后,可以使用气相沉积技术如CVD催化地长出铜。
不希望受理论约束,出于本领域技术人员本来没有预料到的以下原因,含有碘或溴的前体可以充当用于铜生长的催化剂。以碘为例,碘原子和锰原子之间的键强度已知远大于碘和铜之间的键强度,至少在气相中如此。(可以在CRCHandbook of Chemistry and Physics中找到数据:对于Cu-I,D°298=197+21kJ/mol,并且对于Mn-I,D°298=282.8+9.6kJ/mol)。因此,本领域技术人员原本预计少量的碘催化剂(小于一个单层)将被锰原子牢固地固定。尽管可能需要碘-锰强键以允许碘结合至Mn表面并且启动后续的铜生长,但是与锰连接的碘将不能够漂向铜表面并且因此将不能够在铜表面上催化铜沉积反应。因此,在某些实施方案中,锰膜中其他组分(氮)的存在也许能够充分地削弱锰-碘键,从而可以释放碘至铜膜。然而,如上文指出,MnNx-I键还必须足够地强以在最初化学吸附碘期间从气相获取碘。找到允许最初化学吸附、随后从含Mn表面释放的合适特征组合需要大量的工作并且对于本发明人来说不是显而易见的。例如,其他材料如二氧化硅和氮化钛不能化学吸附碘,而其他材料如钴和镍轻易地化学吸附碘,但是随后不能释放它。
在含有碘或溴的前体已经沉积到含锰层上后,可以使用技术如CVD、ALD或IPVD形成铜层。
在某些实施方案中,在含有碘或溴的第一前体已经沉积到含锰层上后,可以将含锰前体和含铜前体作为混合的前体或独立的前体共沉积以形成铜锰合金。
在一些其它实施方案中,在含有碘或溴的第一前体已经沉积到含锰层上后,可以将含锰前体、含铜前体和含碘/溴前体作为混合的前体或独立的前体共沉积以形成铜锰合金,其中额外的碘/溴可以起到确保或甚至进一步促进铜锰合金催化性生长的作用。
在某些实施方案中,铜的电镀可以在上述的铜或铜锰合金沉积后进行。
在已经沉积和/或电镀Cu或Cu-Mn合金后,可以将Cu或Cu-Mn合金层120退火以增加晶粒度和降低电阻。
最后,通过CMP移除过多的铜以构成图10中所示的结构。本阶段与图1的结构相对应,而又完成一个阶段的布线。
在一个或多个实施方案中,气相沉积法用来沉积选自Mn、Co、Cr和V的金属M。式[M(AMD)m]n的脒基金属(metal amidinate)化合物可以用作前体,其中AMD是脒基配位体,并且通常m=2或3和n=1或2。在m=2和n=1的情况下,这些化合物可具有以下结构:
Figure BPA00001565297900121
其中R1、R2、R3、R1’、R2’和R3’是由一个或多个非金属性原子组成的基团,例如氢、烃基、取代烃基和其它非金属性原子基团。在用于沉积锰的一种CVD方法中,使脒基锰蒸气与加热的衬底接触。如果衬底是Cu,则CuMn合金形成。在衬底含有硅和氧的情况下,MnSixOy的绝缘表面层形成。为了形成这些层,加热表面的温度应该足够高,一般超过150℃,或者优选超过300℃。
在一个或多个实施方案中,含Mn前体可以是具有式[Mn(AMD)m]n的脒基锰,其中AMD是脒基,并且m=2或3,并且n可以是1至3。m=2,n=1的这些组合物中的一些具有结构1,
Figure BPA00001565297900122
其中R1、R2、R3、R1’、R2’和R3’是由一个或多个非金属性原子组成的基团,例如氢、烃基、取代烃基和其它非金属性原子基团。在一些实施方案中,R1、R2、R3、R1’、R2’和R3’可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基、烷基酰胺基或者氟烷基或其它非金属性原子或基团。
示例性烃基包括C1-C6烷基、C2-C6链烯基和C2-C6炔基。它们可以是分枝或不分枝的。
“烷基”是指可为直链或者支链或者环烃基的饱和烃链,含有指定的碳原子数。例如,C1-C6表示该基团可能在其中具有1-6个(含)个碳原子。烷基的实例包括但不限于乙基、丙基、异丙基、丁基和叔丁基。环状烷基的实例包括但不限于环丙基、环丙基甲基、环丁基、环戊基、环己基、环己基甲基、环己基乙基和环庚基。
“C2-C6烯基”是指含有2-6个碳原子和至少一个双键的直链或者支链不饱和烃基。C2-C6烯基的实例包括但不限于,通过由乙烯、丙烯、1-丁烯、2-丁烯、异丁烯、仲丁烯、1-戊烯、2-戊烯、异戊烯、1-己烯、2-己烯、3-己烯和异己烯移除氢获得的基团。
“C2-C6炔基”是指含有2-6个碳原子和至少一个叁键的直链或支链不饱和烃基。C2-C6炔基的实例包括但不限于,通过由乙炔、丙炔、1-丁炔、2-丁炔、异丁炔、仲丁炔、1-戊炔、2-戊炔、异戊炔、1-己炔、2-己炔和3-己炔中移除氢获得的基团。
“取代烃基”是指包含能够进一步用其它官能团(例如卤素或硼、或者含硼基团)取代的含1-6个碳原子的饱和或不饱和、直链或支链烃基。
“卤素”是指氟、氯、溴或碘的原子。卤代烃包括氟化、氯化或溴化烷基。示例性的氟化烃包括氟烷基、氟烯基和氟炔基以及它们的组合。
“非金属性原子基团”包括含氮和含硅的基团。示例性的含氮R基团包括胺(NR′R″),其中R′和R″包括H、C1-C6烷基、C2-C6链烯基或C2-C6炔基及它们的组合的一种或多种。
示例性的含硅R基团包括甲硅烷基(SSiR′R″R″′),其中R′、R″和R″′包括H、C1-C6烷基、C2-C6链烯基或C2-C6炔基以及它们组合物中的一种或者多种。
在一些实施方案中,R1、R2、R3、R1’、R2’和R3’各自独立地是烷基或氟烷基或甲硅烷基烷基或烷基酰胺基。在一些实施方案中,Rn基团含有1至4个碳原子。在其它实施方案中,Mn前体是结构1的低聚物,n=2或更大。脒基锰可以包括双(N,N′-二异丙基戊脒基)合锰(II),与通式1中取R1、R2、R1’和R2’为异丙基而取R3和R3’为正丁基相对应。
在CVD方法中,双(N,N′-二异丙基戊脒基)合锰(II)蒸气流过已经加热到100至500℃、或更优选150至400℃的表面。在暴露的铜表面上形成CuMn合金。形成MnxOy层作为绝缘区上方的扩散阻挡体。在一些实施方案中,MnSixOy层和CuMn层中的锰含量与具有1至10nm厚度或更优选2至5nm厚度的锰金属膜相等。或者,蒸气在超过90℃的温度与氢气(H2)混合,并用于CVD方法。
脒基锰可以通0过任何常规方法制得。参见例如,WO 2004/046417,该文献通过引用的方式完整并入。
在一个或多个实施方案中,金属前体可以包括环戊二烯基和羰基配位体,对应于通式(Cp)qMr(CO)s,其中Cp是被至多五个基团取代的环戊二烯基,并且q、r和s可以是任何正整数。这些化合物可具有以下结构:
Figure BPA00001565297900141
在一个或多个实施方案中,含Mn前体可以是具有式(Cp)Mn(CO)3的环戊二烯三羰基锰。这些化合物的一些具有结构2,
Figure BPA00001565297900142
其中R1、R2、R3、R4和R5基团由一个或多个非金属原子构成,例如氢、烃基、取代烃基和其它非金属性原子,如本文以上所述。在一些实施方案中,R1、R2、R3、R4和R5可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基或氟烷基或其它非金属性原子或基团。在一些实施例中,R1、R2、R3、R4和R5各自独立地是烷基或氟烷基或甲硅烷基烷基或烷基酰胺基。在一些实施方案中,Rn基团含有1至4个碳原子。该类型的优选化合物是可商购的三羰基甲基环戊二烯基锰,(MeCp)Mn(CO)3,其中R1是甲基并且其它Rn’是氢。
在一个或者多个实施方案中,金属前体可包括两个Cp配位体,具有式M(Cp)2,其中Cp是被至多五个基团取代的环戊二烯基。这些化合物可具有以下结构:
Figure BPA00001565297900151
在一个或多个实施方案中,含锰前体可以是具有式Mn(Cp)2的环戊二烯基锰。这些化合物的一些具有式3,
Figure BPA00001565297900152
其中R1、R2、R3、R4、R5、R1’、R2’、R3’、R4’和R5’是由一种或多种非金属性原子构成的基团,例如氢、烃基、取代烃基和其它非金属性原子基团,如本文以上所述。在一些实施方案中,R1、R2、R3、R4、R5、R1’、R2’、R3’、R4’和R5’可以独立地选自氢、烷基、芳基、烯基、炔基、三烷基甲硅烷基或氟烷基或其它非金属原子或基团。在一些实施例中,R1、R2、R3、R4、R5、R1’、R2’、R3’、R4’和R5各自独立地是烷基或氟烷基或甲硅烷基烷基或烷基酰胺基。在一些实施方案中,Rn基团含有1至4个碳原子。
在某些实施方案中,锰前体,双(N,N′-二异丙基戊脒基)合锰(II)可以如美国专利申请US 2009/0263965中所述制备,该申请的内容通过引用方式完整并入,或从Dow Chemical Company获得。下文显示其化学式:
Figure BPA00001565297900153
碘前体如乙基碘或元素I2是从众多商业来源可商业获得。
可以通过方法如CVD或ALD法保形地沉积Cu层(籽晶层或完整层)。ALD法例如由Zhengwen Li,Antti Rahtu和Roy G.Gordon在Journal of theElectrochemical Society,第153卷,第C787-C794页(2006)以及由Zhengwen Li和Roy G.Gordon在journal Chemical Vapor Deposition,第12卷,第435-441页(2006)中描述。CVD法在Hoon Kim,Harish B.Bhandari,Sheng Xu和Roy G.Gordon在Journal of the Electrochemi cal Society,第155卷,第7期,第H496-H503页(2008)的论文中描述。在该文献中,首先使用常规气相沉积技术沉积氮氧化铜或氧化铜的平滑薄层,然后通过用氢等离子体在室温还原,将沉积的层将还原成平滑的铜膜。另一种用于还原氧化铜膜成金属铜的方法是通过与还原剂例如二甲胺硼烷或者金属硼氢化物的液态溶液反应。
在某些实施方案中,可以利用铜前体,N,N-二仲丁基乙脒基合铜,该前体可以如Inorganic Chemistry,第44卷,第1728-1735页(2005)中所述制备,该文献的内容通过引用方式完整并入,或从Dow Chemical Company获得。下文显示其化学式:
Figure BPA00001565297900161
其他铜化合物也可以用于碘催化的铜,CVD,包括β-二酮合铜、β-二酮亚胺合铜、β-二酮烯亚胺合铜(copper diketiminate)、环戊二烯基铜、铜醇盐和铜氨基醇盐。这些一般类别的具体实例包括1,1,1,5,5,5-六氟-2,4-戊二酮合铜(I)乙烯基三甲基硅烷(有时称作(hfac)CuVTMS)、1,1,1,5,5,5-六氟-2,4-戊二酮合铜(I)(3,3-二甲基-丁烯)(有时称作(hfac)CuDMB)、双(1,1,1,5,5,5-六氟-2,4-戊二酮合)铜(II)(有时称作Cu(hfac)2)、(N(1(二甲基乙烯基硅氧基)-1-甲基乙醇合)-2-亚胺基-4-戊酮合)铜(I)(酮亚胺铜)、(N(2(乙烯基二甲基硅氧基)丙基)-2-亚胺基-4-戊酮合)铜(I)、双[[2-(4,5-二氢-3H-吡咯-基)-1-甲基-乙烯基]乙基-胺化)]铜(II)(二酮亚胺铜)和甲基环戊二烯基铜(I)乙烯。另一种合适的铜前体是β-二酮烯亚胺合铜(I),下文显示其式:
Figure BPA00001565297900171
如上文指出,电化学沉积法可以用来通过本领域已知的技术以铜填充沟槽和过孔。电化学沉积法能够以成本有效的方法提供无空洞或缝隙的纯铜。
在上述说明中,已经主要根据Mn金属描述了本发明。然而,本发明也包括其他金属,如金属钴、钒和铬。因此,对于本文提供的描述,这些金属这些金属可以与锰互换。例如,上述的前体可以是具有[Co(AMD)m]n、[Cr(AMD)m]n或[V(AMD)m]n结构的脒基钴、脒基铬或脒基钒,其中AMD是脒基,并且m=2或3,并且n可以是1至3。
实施例
实施例1
充当锰的前体的化合物称作双(N,N′-二异丙基丙脒基合)锰(II),其化学式显示如下。
Figure BPA00001565297900172
这种化合物由以下方法合成。使用惰性气氛箱或标准Schlenk技术在纯双氮气氛下进行所有的反应和操作。在进行反应前,全部玻璃器皿在150℃的烘箱中存贮于超过12小时。使用Innovative Technology溶剂净化系统纯化二乙醚,并且从净化中新近使用而不做任何贮存。丁基锂(在己烷中1.6M)、N,N′-二异丙基碳二亚胺和氯化锰(II)(无水珠)从Aldrich购买并且如接收那样使用。在真空下进行体积缩减和蒸发步骤。
二(N,N′-二异丙基丙脒基)合锰(II)。在-30℃,将丁基锂溶液(己烷中1.6M,100ml,160mmol)逐滴加入至充分搅拌的N,N′-二异丙基碳二亚胺(20.2g,160mmol)在250ml二乙醚中的溶液。在允许加温至环境温度之前,将浅黄色混合物在-30℃下保持4小时。将氯化锰(10.0克,79.5mmol)作为固体加入到该溶液中,并且搅拌反应混合物,直到全部粉红色的氯化锰珠反应(约48小时)。将所得的云雾状橙色混合物经玻璃粗粒(glass frit)上的Celite衬垫过滤,以产生清亮的橙褐色溶液。移除全部挥发物,留下黄褐色固体,其中将所述固体在120℃,于20mTorr真空蒸馏至冷凝器中并且将接收瓶加热至超过60℃(产物熔点)。随着浅黄色液体冷凝物冷却至室温,它在接收瓶中固化,产生27.4克、65mmol或者82%产率的纯产物。二(N,N′-二异丙基丙脒基)合锰(II)是浅黄色晶状固体,当暴露于空气时,所述固体迅速变黑。
对于CVD实验,将液态锰前体在90℃的温度蒸发入高度纯化的氮气流(水和氧气的浓度小于N2的10-9)中。在此温度,该前体的蒸气压估计是约0.1毫巴。
二氧化硅衬底是热氧化的硅或是通过等离子体增强型CVD或通过ALD法沉积的二氧化硅。CVD在管式炉内部的热壁管式反应器(直径36mm)中在200-400℃的温度于总压约5托下实施。N2载气的流率为60sccm。通过卢瑟福背散射光谱(RBS)测量沉积的锰量。
通过截面高分辨率透射电子显微镜(HRTEM)评价MnSixOy的形成。用四种方法测试MnSixOy作为铜扩散阻挡体的有效性:光学外观、薄层电阻、Cu硅化物的形成以及电容器的电容-电压(CV)分析。对于铜扩散试验,通过ALD法在215℃在HF蚀刻的硅晶片上生长8nm厚的SiO2层,随后在350℃下CVD Mn 10分钟,其沉积的Mn金属的量等于2.3nm厚的Mn金属膜,该Mn金属膜与氧化硅表面反应以形成较厚的MnSixOy层。SiO2的对照样品省略CVD Mn处理。然后,将约200nm厚的铜层沉积在CVD MnSixOy或SiO2层的顶部。纯氮气氛下的退火在400℃、450℃和500℃的温度进行1小时。对于CV分析,将CVD Mn沉积在300nm的热SiO2上。通过热蒸发经阴影掩模形成Cu垫(500μm直径圆形)。
沉积在SiO2上的薄Mn层(等同于2.3nm厚的Mn金属层)没有明显的导电性,估计原因是Mn与绝缘体反应形成具有高电阻率的MnSixOy。因此,这个结果没有证明金属Mn的沉积。为了确认初步沉积了Mn金属,将Mn沉积于已经蒸发到SiO2/Si衬底上的50nm厚的Cu上。通过截面HRTEM检查所得到的结构。图11显示了CVD Mn金属穿过Cu层扩散并与SiO2反应以在Cu和SiO2之间形成约2-5nm厚的非晶态MnSixOy层。MnSixOy层在铜中的晶界附近较厚,沿该晶界Mn扩散得较快。这个结果是Mn金属沉积的清楚证明。
这些层显示了Mn沉积后的有力附着。在胶带附着性试验后,无材料移除。采用四点弯曲试验,测得这些层的附着性在数量上大于5Jm-2。这个值高到足以经受得住CMP以及微电子器件中随后的机械应力。在对照实验中,沉积在SiO2上而没有后续Mn沉积的Cu因其不良附着性而被胶带轻易拉出。
用样品结构PVD Cu(200nm)/CVD Mn(2.3nm)/ALD SiO2(8nm)/Si,评价MnSixOy作为铜阻挡体的有效性。MnSixOy层在Cu和ALD SiO2层之间形成。在400℃或450℃下在氮气中通过退火未改变这些样品的光泽Cu色以及薄层电阻。在500℃退火之后,无Mn的对照样品变黑,并且其薄层电阻增加200倍,因为铜贯穿薄的ALD SiO2大量扩散到硅中。相比之下,即使在500℃,CVD Mn样品保留其光泽铜色,仅显示电阻略有增加。
为了分析铜扩散,将其余的Cu层溶解于硝酸中,然后用稀氢氟酸移除硅酸锰和二氧化硅。然后通过能量色散X射线谱仪(EDX)和扫描电子显微镜照片(SEM)分析蚀刻的表面。图12显示在500℃退火1小时后的SEM结果。少数含Cu的点似乎是由硅的晶向所定向的Cu硅化物微晶。对照样品显示其表面大部分被Cu硅化物覆盖。在EDX分析中对照样品显示比硅信号强的巨大Cu信号,从而确认薄ALD SiO2允许Cu扩散。通过广域EDAX(Iarge-area ED AX),CVD Mn处理的样品没有显示Cu。通过EDAX,少数小面积的SEM图像确实显示出一些Cu,从而表明在500℃下的MnSixOy阻挡体的一些局限化击穿。这些点可能因灰尘或者膜中的其它缺陷产生,其中所述膜未在洁净室环境下加工。
通过将Cu摹刻到电容器电极中进行阻挡体性能的电试验。图13显示在450℃退火1小时的样品的CV曲线。在对照样品中向负电压的巨大偏移(-4.9V)由正向Cu离子扩散至氧化硅绝缘体中引起。相反,被MnSixOy保护的氧化硅仅显示非常小的偏移(-0.1V)。对于少量Cu的扩散,这种电试验比其它试验更敏感。这些CV曲线还表明,通过CVD Mn处理,SiO2的电容没有显著改变。
在250℃于1MV/cm的施加电压下也进行了相似电容器的退火。偏压温度应力(BTS)是用于Cu扩散入SiO2的更灵敏方法。在BTS条件下(图14(a))仅2分钟之后,对照样品丧失电容特性,这表明大量Cu扩散到Si中,从而Si不能作为半导体工作。然而,CVD Mn处理的试样在其CV曲线(图14(b))中没有显著的变化。这个BTS试验的结果证实MnSixOy层的良好Cu阻挡性能。
还发现MnSixOy层也是可能腐蚀铜层的氧和水的良好阻挡体。为了检验金属硅酸盐层如何好地保护铜,来自Applied Materials的商业低k多孔绝缘体层用上述锰、随后用CVD铜涂镀。通过Science,第298卷,第402-406页(2002)中所述的方法,用20nm的ALD二氧化硅保护铜的顶表面。将样品切割成片以暴露低k绝缘体的边缘,从而氧或水蒸汽可以扩散到低k层中。在300℃下暴露在干燥空气中24小时后,样品保持其光泽铜色。未CVD锰处理的对照试样在相同暴露下被腐蚀成暗的氧化铜。该试验表明,硅酸锰层是对氧的良好阻挡体。在潮湿气氛(在85℃,85%湿度,持续24小时)下的相似试验显示硅酸锰层是水蒸汽的良好阻挡体。
MnSixOy层的形成增加Cu/SiO2界面的附着性,该界面在Mn的CVD之前没有通过胶带附着试验,但在Mn的CVD之后则通过试验。采用四点弯曲测试来测量附着性。通过Mn的CVD在硅晶片上的热SiO2上制备样品。然后,在200℃,通过N,N′-二仲丁基乙脒基合铜蒸气和氢气(H2)反应使用CVD形成铜。发现附着能是10.1±1Jm-2。一般而言,将5Jm-2视为制造耐久性互连的最低阈值要求。
使用截面透射电子显微镜(TEM)来产生MnSixOy层在低k绝缘体表面中的图像(图15)。该图像显示MnSixOy层为暗的无特征带,从而表明该层是非晶态玻璃。通过截面SEM研究和TEM研究证实孔洞中CVD Mn和CuON沉积物的保形性,纵横比至多40∶1。
实施例2
用环戊二烯三羰基锰MnCp(CO)3代替双(双(N,N′-二异丙基-戊基脒基)合锰(II),重复实施例1。得到类似的结果。
实施例3
用铬代替锰,重复实施例1。得到类似的结果。
实施例4
用钒代替锰,重复实施例1。得到类似的结果。
实施例5
获得了在Mn扩散的Cu和SiCN绝缘膜之间改善的附着。进行了Mn扩散的Cu和SiCN层之间附着能的定量性四点弯曲试验。将50nm的铜蒸发到SiCN层上(BLoKTM,Applied Materials)。铜显示附着很差,附着能低于3Jm-2。接下来,通过CVD Mn在350℃处理相似的Cu/SiCN层10分钟。因为铜中的锰杂质,该方法将薄层电阻从0.5欧姆/平方提高到1欧姆/平方。然后,该结构在氮气氛中于400℃下退火1小时。然后因为锰扩散到表面或界面,故薄层电阻恢复至略低于0.5欧姆每方。通过SIMS分析证实锰从Cu膜向外扩散。在热处理之后,附着能明显增加到大于12Jm-2,因为锰扩散到界面并且产生界面或反应层。该附着能大于在实施例1中得到的10.1±1Jm-2
实施例6
观测到在Mn扩散的铜和Si3N4层之间甚至更大的附着。在通过等离子体活化CVD先前用Si3N4涂覆的硅晶片上,如实施例1那样,通过CVD沉积20nm的铜。然后,通过如实施例1中所述的CVD方法沉积2.3nm的锰。接下来,通过CVD沉积另外的20nm铜,随后通过等离子体活化CVD(PECVD)沉积30nm的Si3N4。这些层附着得如此稳固,以至于在四点弯曲测试期间不能分开。相反,高强度环氧树脂在超过80Jm-2的解键合能量密度下失效。因此使用Si3N4层而非实施例1中的二氧化硅层时,观察到附着增加至少8倍。
未经CVD Mn步骤制得的对照样品在低得多的约7Jm-2解键合能量密度时失效。
这些结果表明,通过由CVD将Mn添加到Cu层中能够极大增强Cu与Si3N4封盖层之间的键合。Mn掺杂的Cu与封盖层的显著较强的键合能够抑制沿封盖线顶部的电迁移。因此,该封盖过程导致互连线在它们因电迁移失效之前具有长得多的寿命。包含Mn、Si和N的界面键合层使铜金属与Si3N4键合强于含氧的界面层。
实施例7
此外,锰封盖过程能够维持铜线之间的绝缘。为了证明这种效果,使用被70nm宽的SiO2基绝缘线分开的长(~4厘米)并行的铜互联制备了梳式(comb)试验结构。通过化学-机械抛光准备上表面,使其基本上是平坦的。在2伏特测量时,线间的泄漏电流小于10-12安培。在如实施例1中持续5分钟的Mn的CVD以及20nm Si3N4的PECVD之后,泄漏电流维持在这种低基线水平。可能由于在CVD过程期间铜晶粒尺寸增长,沿该线长度的电阻由其初始值略微下降。
实施例8
将几种在硅上商业绝缘层的衬底连同在氧化硅上的薄(20nm)铜载入CVD反应器中。这些绝缘样品包括具有介电常数2.7或2.5的热生长的二氧化硅、等离子体沉积的二氧化硅和非多孔低k碳化硅氧化物(SiCOH)绝缘体以及具有介电常数2.4或2.2的多孔低kSiCOH绝缘体。将另一个衬底摹刻,铜区域由非多孔SiCOH(k=2.5)区域分隔。全部这些样品在蒸气处理之前已经接受化学抛光。将衬底载入反应器后,将它们用纯化氮气冲洗,同时将它们加热至250℃。随后铜表面上的铜氧化物在1托的纯化氢气中在250℃还原1小时。该处理还从绝缘体移除吸附水。接下来,将反应器冷却至室温。随后,如下应用两个自装配单层(SAM)蒸气预处理。将反应器用泵抽吸至基础压力(约20毫托),并且随后用室温来源的双(N,N′-二甲基氨基)二甲基硅烷(CH3)2Si(N(CH3)2)2蒸气(约14托)填充,并且随后加热90℃持续1/2小时。随后将反应器用泵再次抽吸至基础压力,冷却至室温并且用双(N,N-二甲基氨基)二甲基硅烷(CH3)2Si(N(CH3)2)2蒸气(约75托)再填充,并且加热90℃持续1/2小时。随后将样品加热至锰沉积温度300℃。将锰前体即双(N,N′-二异丙基戊脒基合)锰(II)从扩散器中的液体在90℃温度蒸发入60sccm流量的高度纯化的氮气(水和氧气的浓度小于N2的10-9)中。就在进入管式反应器的一端之前,这种蒸气混合物与60sccm的纯化氢在三通管处混合。反应器管具有36mm内径。铝半圆柱体在反应器内部支撑衬底。该反应器中的压力由控制该反应器和真空泵之间蝶阀的压力传感器维持在5托。在温度稳定后,使CVD蒸气混合物通过该反应器持续20分钟。随后,将反应器冷却至室温并且取出样品用于分析。
卢瑟福背散射光谱(RBS)用来测量沉积在样品上的锰量。在图16中显示铜衬底和低k衬底(k=2.5)的所得数据。这些RBS数据的分析显示,在铜衬底之上和之中沉积了每平方厘米6.6×1016个锰原子,这个量将形成8nm厚的层,如果该层具有锰金属体积密度。在二氧化硅样品上或在k=2.4、2.5或2.7的低k SiCOH绝缘体的任一者上,不能通过RBS检测到锰(检测限<5×1013个原子cm-2)。因而相对于沉积在所述绝缘体上,这种方法具有支持在Cu上沉积的>1000∶1的选择性。还在扫描电子显微镜(SEM)中通过能量色散X射线(ED AX)分析测量摹刻样品的锰含量。5.08原子%锰存在铜区域于,而在绝缘区域上检测不到锰(<0.01%)。根据EDAX结果,选择性是>500∶1。X射线光电子能谱(XPS)也在铜上找到锰,但是在非多孔绝缘体上找不到锰,从而显示>100∶1的选择性,如图19中底部曲线显示。因为RBS具有这些分析方法的最大灵敏度,我们得出结论:选择性超过1000∶1。
在具有k=2.2的多孔SiCOH绝缘体上,该绝缘体上检测到低水平的Mn(1.2×1014个原子cm-2),这对应于约500∶1的选择性。
图17中显示CVD锰在铜衬底中的分布,如XPS分析所测定。点是实验值,并且线是扩散方程的理论拟合,假定锰的表面浓度在CVD过程期间保持恒定并且衬底在沉积后迅速冷却。从这个拟合中测定的扩散常数是3×10-21m2s-1,此值大于先前对于300℃下Mn扩散入单晶Cu中所报道的值约30倍。
实施例9
多孔SiCOH绝缘体(k=2.2或2.4)的样品首先由SiO2进行孔密封,如专利申请US2008/0032064中所述,所述文献通过引用的方式完整并入本文。随后,将它们用如实施例8中所述的CVD锰处理。RBS、ED AX和XPS分析显示,没有锰沉积在绝缘体的密封表面上。
实施例10
实施额外的试验以观察需要多少锰来增加铜和沉积有铜的绝缘体之间的附着。使用二氧化硅、氮化硅和氮化硅碳的绝缘衬底。首先,如实施例1中那样,使用CVD以在绝缘体上形成铜层,随后如实施例1中那样实施锰的CVD,并且随后沉积第二CVD铜层。将这些样品经气闸送入下述室中,在所述室中它们接受约0.1μm的溅射铝,并且随后它们由高强度环氧树脂连接至一块第二硅晶片。4点探针法附着试验产生18图中所示的结果,在图18中解键合能对如XPS所测定的留在绝缘体断裂面上的锰/硅的比率作图。这些结果显示,增加在铜和绝缘体之间界面处的锰量大大增加这些材料之间的附着强度。
实施例11
实施额外的试验以观察锰怎样强化先沉积的铜层和随后沉积在铜顶部上的氮化硅之间的界面。首先,将钛溅射到热氧化硅的衬底上,随后溅射铜层。在空气断路(air break)后,氧化的铜表面通过在1托的纯化氢气中于250℃加热1小时来还原。随后如实施例8中所述施加CVD锰。在另一个空气断路(air break)后,样品由氨等离子体处理,并且随后在溅射0.1μm铝之前,沉积约20nm厚的等离子体CVD氮化硅层。在氮化硅和沉积有氮化硅的铜之间的界面处发生断裂。图18中还标出在这个封盖界面处的断裂能量,其中所述图18显示,该封盖界面甚至比沉积在如实施例10中所述的氮化硅衬底顶部上的铜锰更有力地结合。
对比实施例1
实施对照实验以与实施例8比较。重复实施例8中的步骤,除了省略与双(N,N-二甲基氨基)二甲基硅烷(CH3)2Si(N(CH3)2)2和(N,N-二甲基氨基)三甲基硅烷(CH3)3SiN(CH3)2的反应外。通过RBS分析在绝缘体表面上找到每平方厘米约3×1015个锰原子。虽然XPS的确不像RBS那样定量地计数原子,但是通过XPS轻易地观察到这个锰量,如图19中顶部曲线中所示。
对比实施例2
实施对照实验以与实施例8比较。重复实施例8中的步骤,除了省略与双(N,N-二甲基氨基)二甲基硅烷(CH3)2Si(N(CH3)2)2的反应并且仅实施与(N,N-二甲基氨基)三甲基硅烷(CH3)3SiN(CH)2的反应外。通过XPS在绝缘体的表面上检测到锰,因此没有获得完全的选择性,如来自图19顶部的第二曲线中所显示。
对比实施例3
实施对照实验以与实施例8比较。重复实施例8中的步骤,除了省略与(N,N-二甲基氨基)三甲基硅烷(CH3)3SiN(CH3)2的反应并且仅实施与双(N,N-二甲基氨基)二甲基硅烷(CH3)2Si(N(CH3)2)2的反应外。通过XPS在绝缘体的表面上检测到锰,因此没有获得完全的选择性,如来自图19顶部的第三曲线中所显示。
从对比实施例1、2和3中得出结论:用双(N,N-二甲基氨基)二甲基硅烷((CH3)2Si(N(CH3)2)2)和(N,N-二甲基氨基)三甲基硅烷((CH3)3SiN(CH3)2)预处理有助于通过CVD锰在稳定铜表面期间使锰在绝缘体上的沉积最小化。
对比实施例4
实施对照实验以与实施例8比较。重复实施例8中的步骤,除了氢(H2)流被氮(N2)流替换外。XPS分析显示在绝缘体的表面上存在锰。从对比实施例4中得出结论:CVD期间氢的存在有助于通过CVD锰在稳定铜表面期间使锰在绝缘体上的沉积最小化。
实施例12
通过CVD,在铜表面上选择性地沉积钴金属,而在适当预处理的绝缘体表面上沉积很少的钴或不沉积钴。如实施例8中所述,通过在1托的纯化氢气中于250℃加热1小时首先准备好铜衬底和二氧化硅衬底,并且随后暴露于硅烷蒸气。如2008年Dalton Transactions of the Royal Society of Chemistry,第2592-2597页发表的论文:“Synthesis and characterization of volatile liquid cobalt amidinates(挥发性液态脒基钴的合成和表征)”中所述,制备双(N-叔丁基-N′-乙基丙脒基合)钴(II),所述文献通过引用的方式完整并入本文。将这种液态钴前体置于85℃下的扩散器,在此温度下所述钴前体具有约0.26托的蒸气压。通过使60sccm高纯度N2气经过扩散器,将所述钴前体的蒸气输送至CVD反应器。将具有60sccm流率的共反应物气体H2与前体蒸气在即将进入CVD反应器之前混合。将衬底维持在200℃的温度。沉积20分钟足以用钴完全覆盖铜表面。这种覆盖的证据是,XPS仅显示钴的信号,而无铜的特征性信号。在二氧化硅表面上,检测不到钴的XPS信号,而RBS分析显示每平方厘米少于1014个钴原子。
实施例13
使用硅上的等离子体二氧化硅层作为在实施例1中所述的条件下锰沉积的衬底以形成MnSixOy层。随后,通过相同的锰前体与分压2托的氨和分压1托的氢及在衬底温度130℃时反应5分钟,沉积CVD氮化锰,产生组成为Mn4N约2.5nm厚的敷层。通过原子力显微镜测量均方根表面粗度是0.3nm,其勉强大于衬底的表面粗度0.2nm。该结果显示,氮化锰保持光滑并且在这个低的沉积温度上不团聚。
实施例14
氮化锰如实施例13中那样沉积。氮化锰层随后由氢等离子体在略高于室温的衬底温度(通过氢原子在表面上的复合而加热至约50℃)还原,以产生光滑的不团聚的锰金属层。
实施例15
作为氮化锰CVD的另一个实例,将所述锰前体从扩散器中的液体在90℃温度蒸发进入60sccm流量的高度纯化的氮气(水和氧气的浓度小于N2的10-9)中。在即将进入管式反应器的一端之前,这种蒸气混合物与60sccm高度纯化的氮气和60sccm纯化的氨气(NH3)在三通管处混合。反应器管具有36mm内径。铝半圆柱体在恒热反应器内部支撑衬底。反应器温度控制在130℃并且该反应器中的总压力由控制该反应器和真空泵之间的蝶阀的压力传感器维持在5托。在这些条件下,约2.5nm的氮化锰膜在5分钟内沉积。
以这种方式用MnNx,x~0.25镀覆具有纵横比(长度对直径的比率)52∶1的孔洞的衬底。图20显示贯穿这些孔洞中一些孔洞的截面的SEM。勾勒出孔洞的亮线来自MnNx膜,表明该材料均匀和保形地沉积在这些孔洞的内侧面内。X射线衍射显示,该材料具有已知属于Mn4N的立方结构。原子力显微镜(AFM)显示,Mn4N膜尚光滑,其均方根粗度等于其厚度的7%。
实施例16
Mn4N如实施例15中那样沉积。将Mn4N膜在反应器中保存在纯氮流中,同时将其冷却至约50℃,以保护其表面免受氧化。随后使用乙基碘蒸气(CH3CH2I,沸点72℃)作为碘源以使碘原子吸附到氮化锰膜的新鲜表面上。在室温于扩散器中容纳乙基碘,并且连同流率100sccm和总压力0.5托的氮载气,将乙基碘的蒸气以0.05托的分压直接送至中反应器持续30秒。随后使用铜前体在相同的反应器中沉积CVD铜,其中将所述铜前体从扩散器中的液体在130℃温度蒸发入40sccm流量的高度纯化的氮气中。将氢(40sccm)与铜前体蒸气就在进入反应器之前混合,其中将所述反应器维持在180℃衬底温度和总压力5托上。在这些条件下,约65nm的铜在40分钟内沉积。
图21显示,该方法用铜完全填充宽度小于30nm和深度超过150nm的具有超过5∶1纵横比的沟槽。沿着铜的中心线没有见到空隙或空洞,这表明预先吸附在M4N上的碘从Mn4N释放并且随后作为漂浮在铜的生长表面上的表面活性剂,催化这些沟槽的自底向上填充。图22显示在沉积后,通过X射线光电子能谱(XPS)仅在铜的顶表面上找到碘。当从顶部溅射该膜时,碘的信号连同来自表面污染的氧和碳的信号一起消失,并且在铜膜块体内中检测不到杂质。这些XPS数据证明,碘成功地从Mn4N表面释放并且作为催化性表面活性剂漂浮在生长着的铜表面上。还通过这种方法用Cu成功地填充宽度小至17nm、深度超过150nm和纵横比9∶1的甚至更窄的沟槽,如图23中的SEM显示。传统上,认为如果沟槽的纵横比超过5∶1,则铜的催化性CVD不能提供沟槽的无空洞填充。然而,与传统认识相反,用碘催化的铜CVD实现了基本上无空洞的沟槽填充,其中所述碘从MnNx的表面释放。通过相同的沉积条件,用铜部分地填充了更宽的沟槽,如图24中所示。铜从沟槽底部比从沟槽侧面生长更快的事实显示,碘催化剂从MnNx层的表面释放。
来自图21中显微照片的另一个令人惊讶的观察结果是,大的铜晶粒完全跨越沟槽的宽度,甚至在没有任何沉积后退火的情况下也是如此。这种“竹结构”是十分合乎需要的,因为它在铜线因电迁移而失效之前延长铜线的寿命。延长电迁移寿命的另一个因素是铜与周围材料的附着是否牢固。因此,我们测试了根据实施例16中描述的方法在Mn4N上生长的平坦铜膜的附着性。在沉积后,将结构在350℃在纯氮气氛下退火1小时。在这些样品上的4点弯曲试验显示解键合能大于每平方米6.5焦耳,这是高到足以经受住进一步化学机械抛光制造的值。
通过寻找氮化锰与硅形成铜硅化物的反应,测试氮化锰作为铜扩散阻挡体的有效性。对于这个铜扩散试验,通过原子层沉积法(ALD)在215℃在HF蚀刻的硅晶片上生长9nm厚的SiO2层,随后在130℃下CVD氮化锰5分钟,这形成2.3nm膜,并且在氮气氛下在350℃沉积后退火1小时。SiO2的对照样品省略CVD氮化锰处理。然后将约200nm厚的铜层沉积在氮化锰或SiO2层的顶部。样品随后在纯氮气氛下于500℃退火1小时。为了观察铜是否已经扩散入硅衬底中,将Cu层溶解于硝酸中,并且用稀氢氟酸移除氮化锰和二氧化硅。然后通过能量色散X射线谱仪(EDX)和SEM分析蚀刻的表面,结果示于图25中。参比样品显示其表面大部分被Cu硅化物微晶覆盖,从而表明铜已经贯穿二氧化硅薄层扩散。通过广域EDX,氮化锰处理的样品不显示任何Cu,表明MnNx或其与SiO2的反应产物形成抵抗铜扩散的有效阻挡体。
对比实施例5
重复实施例16,除了省略MnNx的CVD之外。因而,乙基碘蒸气暴露于裸露SiO2表面,而不是MnNx。比实施例16中少得多的铜沉积,并且铜以团聚晶粒的形式而不是以保形膜或填充窄沟槽的形式存在。这种对比结果显示,与如实施例16中所显示MnNx相比,SiO2不能化学吸附碘并且随后释放碘以充当催化性表面活性剂。
实施例17
重复实施例16,除了第一铜层仅生长5分钟之外。随后,将额外的碘吸附步骤应用于新鲜的铜表面。随后,将Cu的额外CVD实施40分钟。发现相似的结果,差异在于沉积的铜总量比实施例16中多50%,可能原因是供应了额外量的碘催化剂。
实施例18
首先在130℃沉积氮化锰5分钟以形成2.5nm的膜。随后将乙基碘以0.05托的压力导入50℃的室中持续30秒。随后将铜在180℃沉积5分钟以形成连续层,并且使乙基碘蒸气再次暴露于50℃的Cu表面30秒。随后,锰前体和铜前体由50sccm的氮交替载入室中并且与50sccm的氢在180℃的衬底温度和5托的总压力下混合。在一个循环中,供应锰前体3分钟并且供应铜前体5分钟。重复该循环直至沟槽完全用铜锰纳米层填充。Mn/Cu比由X射线荧光法(XRF)定量并且发现足大约0.5原子百分数锰。Cu-Mn纳米层完全填充窄沟槽,如图26中的SEM所示。通过XPS在纳米层表面的顶部上找到碘催化剂,如图27中所示。
在退火后,根据实施例18制备的样品显示与绝缘体表面如SiO2、Si3N4和低k绝缘体的更有力附着。当锰对硅的比率在Cu-Mn和绝缘体之间的界面处超过约0.5时,解键合能变得大于每平方米约15焦耳。在4点弯曲试验期间,此类牢固的界面不能被破坏。这种很牢固的附着期望大大增加铜互连在因电迁移而失效之前的寿命。锰在铜中实现这种界面浓度的量将取决于铜互连的尺寸和形状。锰在铜中范围从0.1%至4%或更优选地在0.2%和2%之间的浓度可能足以获得与绝缘体表面的有力附着。
实施例19
重复实施例18直至第二次碘暴露。随后,Mn前体蒸气由60sccm的氮携载,并且同时Cu前体蒸气由40sccm的氮携载。这些前体蒸气流与100sccm的氢在120℃温度和5托压力下混合在一起。这种气体混合物流入加热至180℃的沉积区持续45分钟。沟槽完全被铜锰合金填充,如图28中所显示,并且沟槽的顶部由约180nm Cu-Mn合金覆盖。该合金中的Mn/Cu比由XRF定量并且发现是大约0.4原子百分数的锰和99.6原子百分数的铜。通过XPS在铜锰合金的顶表面上找到碘催化剂,如图29中所示。
应当指出,提出的提供了化学吸附和随后释放碘的足够平衡的关于氮化锰存在的解释不能解释实施例18和19的成功结果,在所述实施例中大部分的锰附近没有氮。与铜层混合的锰不接近于任何氮,并且因而期望与碘牢固强烈结合并且使其不可用作铜表面上有催化活性的碘。然而,申请人已经验证,初始吸附在MnNx上或在Cu上的碘在Cu-Mn合金的后续CVD期间“飘”向表面,即便Mn存在于该合金内部。尽管存在以下事实:已知Mn与碘形成比Cu更牢固的键和附近不存在削弱碘和Mn之间相互作用的氮,但是申请人令人惊讶地展示甚至在这些实例中使用含碘前体成功地催化性生长铜。
实施例20
将Mn前体以0.5M的浓度溶解于惰性溶剂1-甲基萘中,使溶液通过直接液体注射系统蒸发、与氨气混合并且流入反应器中以形成MnNx层。MnNx的表面随后如实施例16中那样暴露于乙基碘。将Cu前体以1M的浓度溶解于惰性溶剂1-甲基萘中,使溶液通过直接液体注射系统蒸发、与氢气混合并且流入反应器中以形成薄Cu层。Cu表面随后如实施例18中那样暴露于乙基碘。随后,将分别测量和控制的Cu前体溶液流和Mn前体溶液流同时在DLI系统中蒸发,并且将所得到的混合蒸气连同溶剂蒸气和氢气一并导入CVD反应器中。获得了与实施例19相似的结果。
实施例21
重复实施例20,除了将Mn前体和Cu前体一起溶解于惰性溶剂1-甲基萘中并且该溶液在直接液体注射系统中蒸发之外。在最后沉积步骤即Cu-Mn合金共沉积期间,将混合的前体蒸气连同溶剂蒸气和氢连同溶剂蒸气和氢一起导入CVD反应器中。获得了与实施例19和20相似的结果。实施例20和21中所用的1-甲基萘可以由具有高沸点的其他惰性溶剂替换,例如二乙基苯、四氢萘和姥鲛烷。
实施例22
使用直至180℃沉积温度仍稳定的多种塑料的衬底,重复实施例16。在沉积之前,将塑料的表面清洁并且通过在环境空气下暴露于来自石英罩汞灯的光5分钟而氧化。在沉积后,塑料的表面被具有每平方约0.5ohms的薄层电阻的导电铜膜覆盖。聚酰胺塑料片材的光滑表面仍保持光滑,如图30中所示。将玻璃纤维强化电路板的粗糙表面保形地覆盖,如图31中所示。铜牢固地与塑料附着并且不能借助胶带试验移除。
实施例23
根据一项或多项前述实施例的CVD可以用来形成在表面上具有少量I的包含Mn和Cu的薄层。包含Mn、Cu和I的薄层可以充当用于电镀更厚Cu层的籽晶层。在具有窄且宽的沟槽的衬底中,CVD步骤可以填充窄沟槽,同时保形地涂敷更宽的沟槽。后续的电镀可以随后经济地填充宽的沟槽。
在实施例23的电镀步骤开始时,少量碘(比单层少得多)与铜表面连接。存在这种碘可能溶解于铜镀液中并且污染铜镀液的可能性。或者,碘可能留在镀覆的铜之下并且稍后造成腐蚀或可靠性问题。因此,镀覆之前从铜表面移除碘可能是有利的。以下两个实施例提出了用于从铜或铜锰合金的表面移除残余碘的新方法。
实施例24
如实施例19中那样制备MnNx-CVD Cu-Mn样品。将所述样品随后在室温置于30%过氧化氢-70%水的溶液中1分钟。随后在异丙醇淋洗所述样品并且干燥。通过XPS检验表面显示表面上没有留下碘。其他氧化剂如次氯酸钠或次溴酸钠可以替换过氧化氢,以从铜表面移除碘。
实施例25
如实施例19中那样制备MnNx-CVD Cu-Mn样品。样品随后置于活性离子蚀刻系统中。样品首先由具有150瓦特微波功率和50瓦特射频(RF)功率的氧等离子体以10托压力在室温处理30秒。样品随后由具有150瓦特微波功率和50瓦特射频(RF)功率的氢等离子体以10托压力在室温处理3分钟。通过XPS检验表面显示表面上没有留下碘。
在仅窄沟槽待用铜填充的情况下,可以需要防止铜在衬底的平坦表面上生长,以使得可能需要随后通过CMP移除的铜量最小化。实施例26中概述了这种选择性方法。
实施例26
重复实施例19,除了在第二次碘暴露后,应用实施例25的等离子体处理是以从衬底的平坦上表面移除碘催化剂之外。将这个等离子体过程限于足够短以致于不从窄沟槽侧部和底部移除碘的时间。随后通过碘催化的铜锰合金CVD完成沟槽的剩余超填(superfill)。仅少量的铜锰合金在顶表面上形成,连同一些鼓包在沟槽上方形成。通过短促CMP方法轻易地移除这种铜锰合金小覆盖层(overburden)。
如果从沟槽侧壁的上半部分移除碘催化剂,则底部生长可以在从沟槽侧壁上半部分长出的铜汇合之前进一步继续进行并且进一步防止铜在闭合点(point ofclosure)下方生长。因而可以填充具有更高纵横比的沟槽和过孔,而无空洞或缝隙。在实施例27中说明用于填充更窄和更深沟槽的这种选择性方法。
实施例27
重复实施例26,除了将氧等离子体和氢等离子体应用更长时间之外,从而将碘从极窄沟槽的上侧壁以及从沟槽之间的平坦顶表面移除。填充纵横比高于10∶1的沟槽,而无空洞或缝隙。
如果从极窄沟槽的大部分侧壁移除碘催化剂并且另外抑制铜在沟槽的侧壁上半部分和顶部上的成核速率,则可以填充极窄的沟槽,而无空洞或缝隙。一种抑制铜成核作用的方法是使上侧壁的铜(和锰,如果存在)与反应物蒸气如烷硫醇反应。一旦烷硫醇化学吸附于铜的表面上,申请人已经发现大幅度迟滞或甚至消除铜因CVD进一步生长。移除碘并随后钝化侧壁上铜表面的用途由实施例28说明。
实施例28
使用具有纵横比大于20∶1的极窄沟槽的衬底,重复实施例27。从来自大部分沟槽壁中等离子体活化移除碘后,表面短暂暴露于少量的辛硫醇蒸气。随后,CVD铜锰因得益于来自沟槽底部和下部侧壁的碘催化剂而继续。沟槽用铜锰合金填充,而无任何空洞或缝隙。
本领域的技术人员可以作出各种修改和增加而不脱离贡献于现有技术的精神和范围。

Claims (45)

1.一种用于形成集成电路互连结构的方法,所述方法包括:
a)提供部分完成和平面化的互连结构,其包含电绝缘表面和导电性含铜表面;
b)在所述电绝缘表面上沉积包含两种或更多种化合物的保护剂以协同地降低所述电绝缘表面对包含锰、钴、铬或钒的前体的亲和力;
c)在所述导电性含铜表面的至少一部分上选择性沉积选自锰、钴、铬和钒的金属。
2.如权利要求1所述的方法,其中通过CVD或ALD实施所述选择性沉积金属。
3.如权利要求1所述的方法,其中所述保护剂降低所述绝缘表面与所述含锰前体的反应性。
4.如权利要求1所述的方法,其中所述保护剂降低所述绝缘表面与所述含钴前体的反应性。
5.如权利要求1所述的方法,其中所述保护剂降低所述绝缘表面与所述含铬前体的反应性。
6.如权利要求1所述的方法,其中所述保护剂降低所述绝缘表面与所述含钒前体的反应性。
7.如权利要求1所述的方法,其中将所述保护剂选择性地沉积在所述电绝缘表面上。
8.如权利要求7所述的方法,其中所述保护剂包含两种或更多种烷基硅烷。
9.如权利要求8所述的方法,其中所述烷基硅烷包含具有一个或多个与硅键合的二烷基酰胺基的化合物。
10.如权利要求9所述的方法,其中具有一个或多个与硅键合的二烷基酰胺基的化合物包括双(N,N-二烷基氨基)二烷基硅烷和(N,N-二烷基氨基)三烷基硅烷。
11.一种用于形成集成电路互连结构的方法,所述方法包括:
a)提供具有一个或多个过孔和沟槽的部分完成的互连结构,所述过孔和沟槽包括由一种或多种电绝缘材料限定的侧壁和导电含铜底部区;
b)在所述部分完成的互连结构上沉积包含选自锰、铬和钒的金属的氮化物的层;
c)在所述一个或多个过孔和沟槽内沉积铜。
12.如权利要求11所述的方法,还包括在所述一个或多个过孔和沟槽内的所述铜沉积之前从包含金属氮化物的所述层移除氮。
13.如权利要求12所述的方法,其中通过所述结构与含氢等离子体接触完成所述的氮移除。
14.如权利要求11所述的方法,其中所述铜沉积包括从液态溶液中电镀或无电镀覆。
15.如权利要求11所述的方法,其中所述铜沉积包括通过CVD或ALD从所述气相中沉积。
16.如权利要求11所述的方法,其中所述包含金属氮化物的层包含氮化锰。
17.一种方法,其包括:
从含金属前体的蒸气中沉积含金属层,其中所述金属选自锰、铬和钒;
从含有碘或溴的前体的蒸气中沉积含有碘或溴的材料,其中所述含有碘或溴的材料化学吸附于所述含金属层上或之中;并且
从含铜前体的蒸气中沉积含铜材料,其中所述含有碘或溴的材料允许含铜材料的催化性沉积。
18.如权利要求17所述的方法,其中在所述沉积含金属层后实施所述沉积含有碘或溴的材料。
19.如权利要求17所述的方法,其中所述含有碘或溴的材料化学吸附于所述含金属层的表面上。
20.如权利要求17所述的方法,其中所述含金属层是含锰层并且所述含金属前体是含锰前体。
21.如权利要求20所述的方法,其中所述含锰层进一步含有氮。
22.如权利要求17所述的方法,其中所述含金属层是含铬层并且所述含金属前体是含铬前体。
23.如权利要求17所述的方法,其中所述含金属层是含钒层并且所述含金属前体是含钒前体。
24.如权利要求17所述的方法,还包括:
将额外的含有碘或溴的材料从含有碘或溴的前体的蒸气中沉积到所述含铜材料上;并且
从含铜前体的蒸气中沉积额外的含铜材料,其中所述额外的含有碘或溴的材料允许额外含铜材料的催化性沉积。
25.如权利要求24所述的方法,其中所述额外的含铜材料包含铜和锰。
26.如权利要求24所述的方法,其中所述额外的含铜材料从含锰前体的蒸气中共沉积。
27.如权利要求20所述的方法,其中所述含锰前体包含脒基锰。
28.如权利要求27所述的方法,其中所述脒基锰具有一般结构
Figure FPA00001565297800031
其中R1、R2、R3、R1’、R2’和R3’选自氢、烃基、取代烃基和其他非金属性原子基团。
29.如权利要求28所述的方法,其中R1、R2、R3、R1’、R2’和R3’独立地选自氢、烷基、芳基、链烯基、炔基、三烷基甲硅烷基、烷基酰胺和氟烷基。
30.如权利要求29所述的方法,其中所述脒基锰具有结构
Figure FPA00001565297800032
31.如权利要求17所述的方法,其中所述含铜前体包含脒基铜。
32.如权利要求31所述的方法,其中所述脒基铜具有一般结构
Figure FPA00001565297800041
其中R1、R2、R3、R1’、R2’和R3’选自氢、烃基、取代烃基和其他非金属性原子基团。
33.如权利要求32所述的方法,其中R1、R2、R3、R1’、R2’和R3’独立地选自氢、烷基、芳基、链烯基、炔基、三烷基甲硅烷基、烷基酰胺和氟烷基。
34.如权利要求33所述的方法,其中所述脒基铜具有结构
Figure FPA00001565297800042
35.如权利要求17所述的方法,其中将所述铜沉积在具有一个或多个过孔和沟槽的部分完成的互连结构上,所述过孔和沟槽包括由一种或多种电绝缘材料限定的侧壁和导电含铜底部区。
36.如权利要求35所述的方法,其中所述沉积的铜基本上填充所述过孔和沟槽。
37.如权利要求17所述的方法,其中所述沉积的铜具有约0.1%至约4%范围内的锰浓度。
38.如权利要求17所述的方法,其中所述沉积的铜具有约0.2%至约2%范围内的锰浓度。
39.如权利要求17所述的方法,还包括从所述含铜材料的表面的至少一部分中移除所述碘或溴。
40.如权利要求39所述的方法,其中所述移除碘或溴包括氧化过程。
41.如权利要求40所述的方法,其中所述氧化过程包括使所述含铜材料的表面的至少一部分暴露于选自过氧化氢、次氯酸钠、次溴酸钠、臭氧、氧等离子体及其混合物的氧化剂。
42.如权利要求40所述的方法,其中所述移除碘或溴还包括还原过程。
43.如权利要求42所述的方法,其中所述还原步骤包括氢等离子体。
44.如权利要求39中所述的方法,还包括在所述移除碘或溴后修饰所述含铜材料的表面的至少一部分以减少铜的沉积。
45.如权利要求44所述的方法,其中所述修饰包括铜表面与烷硫醇反应。
CN201080059054.5A 2009-10-23 2010-10-20 用于互连的自对准阻挡层和封盖层 Expired - Fee Related CN102859662B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510612232.7A CN105304479B (zh) 2009-10-23 2010-10-20 用于互连的自对准阻挡层和封盖层

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US25460109P 2009-10-23 2009-10-23
US61/254,601 2009-10-23
US38586810P 2010-09-23 2010-09-23
US61/385,868 2010-09-23
PCT/US2010/053391 WO2011050073A1 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201510612232.7A Division CN105304479B (zh) 2009-10-23 2010-10-20 用于互连的自对准阻挡层和封盖层

Publications (2)

Publication Number Publication Date
CN102859662A true CN102859662A (zh) 2013-01-02
CN102859662B CN102859662B (zh) 2015-11-25

Family

ID=43414724

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201080059054.5A Expired - Fee Related CN102859662B (zh) 2009-10-23 2010-10-20 用于互连的自对准阻挡层和封盖层
CN201510612232.7A Expired - Fee Related CN105304479B (zh) 2009-10-23 2010-10-20 用于互连的自对准阻挡层和封盖层

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201510612232.7A Expired - Fee Related CN105304479B (zh) 2009-10-23 2010-10-20 用于互连的自对准阻挡层和封盖层

Country Status (8)

Country Link
US (4) US8569165B2 (zh)
EP (1) EP2491579B1 (zh)
JP (1) JP5809153B2 (zh)
KR (3) KR101730203B1 (zh)
CN (2) CN102859662B (zh)
AU (1) AU2010310750B2 (zh)
SG (1) SG10201503679UA (zh)
WO (1) WO2011050073A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104576518A (zh) * 2013-10-23 2015-04-29 格罗方德半导体公司 用于后段制程金属化的混合型锰和氮化锰阻障物及其制法
CN106030792A (zh) * 2014-02-28 2016-10-12 高通股份有限公司 选择性导电阻挡层形成
CN106340488A (zh) * 2016-11-30 2017-01-18 上海华力微电子有限公司 铜互连结构的制备方法
TWI670387B (zh) * 2014-11-07 2019-09-01 美商應用材料股份有限公司 選擇性地沉積鈷層的方法、用於形成無孔隙之特徵的選擇性地沉積鈷層的方法、及電腦可讀媒體

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
CN102859662B (zh) 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
KR101924656B1 (ko) 2010-11-02 2018-12-03 우베 고산 가부시키가이샤 (아미드아미노알칸) 금속 화합물, 및 당해 금속 화합물을 사용한 금속 함유 박막의 제조 방법
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
JP2013104100A (ja) * 2011-11-14 2013-05-30 Taiyo Nippon Sanso Corp 金属薄膜の成膜方法および金属薄膜成膜用原料
JP5795520B2 (ja) * 2011-11-14 2015-10-14 大陽日酸株式会社 金属薄膜材料および金属薄膜の成膜方法
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US8969197B2 (en) 2012-05-18 2015-03-03 International Business Machines Corporation Copper interconnect structure and its formation
US9054109B2 (en) 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications
KR20150031239A (ko) * 2012-06-18 2015-03-23 도쿄엘렉트론가부시키가이샤 망간 함유막의 형성 방법
US8791005B2 (en) 2012-06-18 2014-07-29 International Business Machines Corporation Sidewalls of electroplated copper interconnects
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US9373579B2 (en) * 2012-12-14 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Protecting layer in a semiconductor structure
JP6030439B2 (ja) * 2012-12-27 2016-11-24 東京エレクトロン株式会社 マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
JP2014141739A (ja) * 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US9184093B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
TWI609095B (zh) * 2013-05-30 2017-12-21 應用材料股份有限公司 用於氮化錳整合之方法
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9257330B2 (en) * 2013-11-27 2016-02-09 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation
US9275952B2 (en) * 2014-01-24 2016-03-01 International Business Machines Corporation Ultrathin superlattice of MnO/Mn/MnN and other metal oxide/metal/metal nitride liners and caps for copper low dielectric constant interconnects
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9263327B2 (en) * 2014-06-20 2016-02-16 Globalfoundries Inc. Minimizing void formation in semiconductor vias and trenches
US9728502B2 (en) * 2014-11-10 2017-08-08 Samsung Electronics Co., Ltd. Metal oxysilicate diffusion barriers for damascene metallization with low RC delays and methods for forming the same
US9711452B2 (en) 2014-12-05 2017-07-18 International Business Machines Corporation Optimized wires for resistance or electromigration
EP3067439B1 (en) * 2015-03-13 2018-05-09 IMEC vzw Electroless metal deposition on a Mn or MnNx barrier
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
JP2016219660A (ja) 2015-05-22 2016-12-22 ソニー株式会社 半導体装置、製造方法、固体撮像素子、および電子機器
US9972529B2 (en) * 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163629B2 (en) 2015-11-16 2018-12-25 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
US10273577B2 (en) 2015-11-16 2019-04-30 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9875907B2 (en) 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9711456B2 (en) 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9806018B1 (en) 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
US9881798B1 (en) 2016-07-20 2018-01-30 International Business Machines Corporation Metal cap integration by local alloying
US9929046B2 (en) 2016-07-21 2018-03-27 International Business Machines Corporation Self-aligned contact cap
EP3282037B1 (en) 2016-08-09 2022-12-07 IMEC vzw Formation of a transition metal nitride
US10229851B2 (en) 2016-08-30 2019-03-12 International Business Machines Corporation Self-forming barrier for use in air gap formation
US10049974B2 (en) 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10643838B2 (en) * 2017-06-20 2020-05-05 Applied Materials, Inc. In-situ formation of non-volatile lanthanide thin film precursors and use in ALD and CVD
KR20200118504A (ko) * 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US11075113B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping layer and methods thereof
JP2022521578A (ja) 2019-02-21 2022-04-11 コーニング インコーポレイテッド 銅金属化貫通孔を有するガラスまたはガラスセラミック物品およびその製造方法
KR20210153114A (ko) * 2019-06-17 2021-12-16 다나카 기킨조쿠 고교 가부시키가이샤 유기 망간 화합물을 포함하는 화학 증착용 원료 및 해당 화학 증착용 원료를 사용한 화학 증착법
CN110804731B (zh) * 2019-11-04 2020-11-06 江南大学 一种原子层沉积技术生长MnxN薄膜的方法
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法
WO2021211285A1 (en) 2020-04-14 2021-10-21 Corning Incorporated A method of manufacturing a glass article to provide increased bonding of metal to a glass substrate via the generation of a metal oxide layer, and glass articles such as glass interposers including the metal oxide layer
US11251368B2 (en) 2020-04-20 2022-02-15 International Business Machines Corporation Interconnect structures with selective capping layer
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
EP4288999A1 (en) 2021-02-08 2023-12-13 MacDermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation
US11859277B2 (en) * 2021-05-21 2024-01-02 Applied Materials, Inc. Catalyst enhanced molybdenum deposition and gap fill
KR20230126792A (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004343108A (ja) * 2003-05-02 2004-12-02 Air Products & Chemicals Inc 拡散バリア層表面に金属膜を形成する方法
US20070166989A1 (en) * 2005-05-18 2007-07-19 Intermolecular, Inc. Substrate processing including a masking layer
CN101467232A (zh) * 2006-06-09 2009-06-24 朗姆研究公司 用于使污染及表面退化最小化的中间介电层的表面改变
JP2009164391A (ja) * 2008-01-08 2009-07-23 Renesas Technology Corp 半導体装置および半導体装置の製造方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3865708A (en) 1969-12-22 1975-02-11 Foxboro Co Apparatus for measuring ionic concentration
US4323608A (en) 1980-06-30 1982-04-06 Denny Russell W Label
US5128008A (en) * 1991-04-10 1992-07-07 International Business Machines Corporation Method of forming a microelectronic package having a copper substrate
US5417735A (en) * 1993-12-23 1995-05-23 Mcgarry; Dennis L. Interdiffused chromium/nickel corrosion-resistant coating for fiberglass spinner bores
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US6060534A (en) * 1996-07-11 2000-05-09 Scimed Life Systems, Inc. Medical devices comprising ionically and non-ionically crosslinked polymer hydrogels having improved mechanical properties
US6951682B1 (en) 1998-12-01 2005-10-04 Syntrix Biochip, Inc. Porous coatings bearing ligand arrays and use thereof
KR100383759B1 (ko) * 2000-06-15 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6413815B1 (en) * 2001-07-17 2002-07-02 Macronix International Co., Ltd. Method of forming a MIM capacitor
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US20030143837A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Method of depositing a catalytic layer
US7446708B1 (en) 2002-08-26 2008-11-04 Kyocera Wireless Corp. Multiband monopole antenna with independent radiating elements
US20040067192A1 (en) * 2002-10-07 2004-04-08 The Procter & Gamble Company Conversion of sodium bromide to anhydrous hydrobromic acid and sodium bisulfate
US7557229B2 (en) 2002-11-15 2009-07-07 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
DE102004019241A1 (de) * 2004-04-16 2005-11-03 Cellmed Ag Injizierbare vernetzte und unvernetzte Alginate und ihre Verwendung in der Medizin und in der ästhetischen Chirurgie
KR101184529B1 (ko) 2004-11-08 2012-09-20 텔 에피온 인크 캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
JP4236201B2 (ja) * 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP4272191B2 (ja) * 2005-08-30 2009-06-03 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2007103546A (ja) * 2005-10-03 2007-04-19 Nec Electronics Corp 半導体装置およびその製造方法
TW200729394A (en) 2005-12-07 2007-08-01 Nxp Bv A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
KR101351286B1 (ko) * 2005-12-20 2014-02-17 시바 홀딩 인크 옥심 에스테르 광개시제
US8217518B2 (en) * 2006-03-08 2012-07-10 Stmicroelectronics Asia Pacific Pte., Ltd. Enhancing metal/low-K interconnect reliability using a protection layer
JP4741965B2 (ja) * 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2007308789A (ja) * 2006-04-19 2007-11-29 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2008013848A (ja) 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
JP4810319B2 (ja) * 2006-06-09 2011-11-09 キヤノン株式会社 加工装置及びデバイス製造方法
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
US8440272B2 (en) * 2006-12-04 2013-05-14 Megica Corporation Method for forming post passivation Au layer with clean surface
AU2008347088A1 (en) * 2007-04-09 2009-07-16 President And Fellows Of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
DE102007035837A1 (de) * 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kornorientierungsschicht
US7884475B2 (en) * 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
US7555191B1 (en) * 2008-01-30 2009-06-30 Joshua John Edward Moore Self-locking unidirectional interposer springs for optical transceiver modules
US7651943B2 (en) * 2008-02-18 2010-01-26 Taiwan Semicondcutor Manufacturing Company, Ltd. Forming diffusion barriers by annealing copper alloy layers
KR101649714B1 (ko) * 2008-03-21 2016-08-30 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 상호접속부를 위한 자기정렬 배리어 층
HUE048827T2 (hu) * 2009-07-30 2020-08-28 Qualcomm Inc Egytokos rendszerek
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004343108A (ja) * 2003-05-02 2004-12-02 Air Products & Chemicals Inc 拡散バリア層表面に金属膜を形成する方法
US20070166989A1 (en) * 2005-05-18 2007-07-19 Intermolecular, Inc. Substrate processing including a masking layer
CN101467232A (zh) * 2006-06-09 2009-06-24 朗姆研究公司 用于使污染及表面退化最小化的中间介电层的表面改变
JP2009164391A (ja) * 2008-01-08 2009-07-23 Renesas Technology Corp 半導体装置および半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104576518A (zh) * 2013-10-23 2015-04-29 格罗方德半导体公司 用于后段制程金属化的混合型锰和氮化锰阻障物及其制法
TWI552226B (zh) * 2013-10-23 2016-10-01 格羅方德半導體公司 用於後段製程金屬化之混合型錳和氮化錳阻障物及其製造方法
CN104576518B (zh) * 2013-10-23 2018-07-31 格罗方德半导体公司 用于后段制程金属化的混合型锰和氮化锰阻障物及其制法
CN106030792A (zh) * 2014-02-28 2016-10-12 高通股份有限公司 选择性导电阻挡层形成
CN106030792B (zh) * 2014-02-28 2017-09-22 高通股份有限公司 选择性导电阻挡层形成
TWI670387B (zh) * 2014-11-07 2019-09-01 美商應用材料股份有限公司 選擇性地沉積鈷層的方法、用於形成無孔隙之特徵的選擇性地沉積鈷層的方法、及電腦可讀媒體
CN106340488A (zh) * 2016-11-30 2017-01-18 上海华力微电子有限公司 铜互连结构的制备方法

Also Published As

Publication number Publication date
KR20160119279A (ko) 2016-10-12
CN105304479B (zh) 2018-06-01
KR101770538B1 (ko) 2017-08-22
US20170012001A1 (en) 2017-01-12
US9390971B2 (en) 2016-07-12
US9112005B2 (en) 2015-08-18
AU2010310750B2 (en) 2015-02-26
CN102859662B (zh) 2015-11-25
US20150325474A1 (en) 2015-11-12
WO2011050073A1 (en) 2011-04-28
CN105304479A (zh) 2016-02-03
KR101730203B1 (ko) 2017-04-25
JP5809153B2 (ja) 2015-11-10
KR20160119874A (ko) 2016-10-14
US8569165B2 (en) 2013-10-29
JP2013508979A (ja) 2013-03-07
KR20120085834A (ko) 2012-08-01
KR101770537B1 (ko) 2017-08-22
EP2491579B1 (en) 2019-03-13
AU2010310750A1 (en) 2012-06-07
EP2491579A1 (en) 2012-08-29
US20140045331A1 (en) 2014-02-13
US20110163062A1 (en) 2011-07-07
SG10201503679UA (en) 2015-06-29

Similar Documents

Publication Publication Date Title
CN102859662B (zh) 用于互连的自对准阻挡层和封盖层
CN102132398B (zh) 用于互连的自对准阻挡层
TW575930B (en) Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
CN101569003B (zh) 半导体装置及其制造方法
CN103582932A (zh) 用于互连的包含金属和硅的盖层
US7144803B2 (en) Methods of forming boron carbo-nitride layers for integrated circuit devices
US20060286800A1 (en) Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US8895441B2 (en) Methods and materials for anchoring gapfill metals
KR19980032971A (ko) 낮은 결함밀도의 등각 Ti-Si-N 및 Ti-B-N 기초장벽막 제조방법
Cheng et al. Effect of Plasma Pre-Treatment on Dewetting Properties of CVD Cu on CVDW2N Barrier Layer
AU2013204553A1 (en) Self-aligned barrier and capping layers for interconnects
Lu et al. Novel Diffusion Barrier with Ultra-Thin Silicon Nitride Cap Layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1177326

Country of ref document: HK

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20151125

Termination date: 20191020