CN104716032A - 使用间隔件双重图案化印刷多个结构宽度的方法 - Google Patents

使用间隔件双重图案化印刷多个结构宽度的方法 Download PDF

Info

Publication number
CN104716032A
CN104716032A CN201410772816.6A CN201410772816A CN104716032A CN 104716032 A CN104716032 A CN 104716032A CN 201410772816 A CN201410772816 A CN 201410772816A CN 104716032 A CN104716032 A CN 104716032A
Authority
CN
China
Prior art keywords
axle
region
layer
distance piece
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410772816.6A
Other languages
English (en)
Other versions
CN104716032B (zh
Inventor
金勇韩
崔尹升
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of CN104716032A publication Critical patent/CN104716032A/zh
Application granted granted Critical
Publication of CN104716032B publication Critical patent/CN104716032B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本申请案涉及一种使用间隔件双重图案化印刷多个结构宽度的方法。可通过以下方式形成含有按规则间距的线性结构(104)及(106)的集成电路(100):在用于线性结构的材料层(146)上方以为所要线性结构的间距的两倍的心轴间距形成线性心轴。缩短用于第一多个线性结构(104)的心轴。在心轴上方保形地形成间隔件材料层,且各向异性地凹蚀间隔件材料层以形成心轴的横向表面上的间隔件(136)及(138)。经缩短心轴上的间隔件(136)由于各向异性凹蚀而比未经缩短心轴上的间隔件(138)窄。移除心轴,从而使间隔件(136)及(138)留在适当位置中以形成用于线性结构的基于间隔件的蚀刻掩模(144)。使用基于间隔件的蚀刻掩模(144)蚀刻用于线性结构(104)及(106)的材料层(146)以形成线性结构。来自经缩短心轴的线性结构(104)具有低于来自未经缩短心轴的线性结构(106)的宽度。

Description

使用间隔件双重图案化印刷多个结构宽度的方法
技术领域
本发明涉及集成电路的领域。更特定来说,本发明涉及集成电路中的MOS晶体管。
背景技术
集成电路可含有具有小于30纳米的栅极长度及小于100纳米的栅极间距的金属氧化物半导体(MOS)晶体管。举例来说在一些逻辑电路或静态随机存取存储器(SRAM)单元中,使一些栅极具有比其它栅极窄的宽度可为合意的。用于形成所述栅极的光刻过程可使用照射源,举例来说可能无法以所要间距及宽度印刷用于所述栅极的蚀刻掩模的193纳米氟化氩准分子激光器。形成用于所述栅极的所述蚀刻掩模的一种方法是执行两个或两个以上图案化步骤,从而在每一图案化步骤中图案化所述栅极的子集。双重或多重图案化可印刷具有两种不同宽度的栅极,但额外图案化不合意地增加所述集成电路的制作成本及过程复杂度。另一方法是由沉积于心轴上方的间隔件层形成栅极蚀刻掩模。可在一个图案化步骤中印刷用于所有所述栅极的所述心轴的蚀刻掩模。然而,由所述所沉积间隔件层的厚度确定所述栅极蚀刻掩模的栅极长度,且因此形成具有两种不同宽度的栅极可是成问题的。可关于含有具有鳍片的鳍片场效晶体管(finFET)的集成电路记录对小于光学光刻过程的单一图案化能力的间距的类似限制。形成具有两种不同鳍片宽度的鳍片可是成问题的。
发明内容
下文呈现简化发明内容以便提供对本发明的一或多个方面的基本理解。本发明内容并非本发明的扩展概述,且既不打算识别本发明的关键或紧要元件,也不打算记述其范围。而是,本发明内容的主要目的为以简化形式呈现本发明的一些概念作为稍后所呈现的更详细说明的前言。
可通过以下方式形成含有按规则间距且具有拥有第一宽度的第一多个线性特征及拥有第二宽度的第二多个线性结构的线性结构的集成电路,其中所述第一宽度小于所述第二宽度:在用于所述线性结构的材料层上方形成线性心轴。所述心轴形成有为所述线性结构的所述间距的两倍的间距。缩短用于所述第一多个线性结构的心轴。在所述心轴上方保形地形成间隔件材料层且各向异性地凹蚀所述间隔件材料层以形成所述心轴的横向表面上的间隔件。在所述经缩短心轴(也就是所述第一多个线性结构的心轴)上的间隔件由于所述各向异性凹蚀而比在未经缩短心轴(也就是所述第二多个线性结构的心轴)上的间隔件窄。移除所述心轴,从而使所述间隔件留在适当位置中以形成用于所述线性结构的基于间隔件的蚀刻掩模。使用所述基于间隔件的蚀刻掩模蚀刻用于所述线性结构的所述材料层以形成具有拥有所要相应宽度的所述第一多个线性结构及所述第二多个线性结构的所述线性结构。
附图说明
图1A到图1I是含有通过实例性过程序列形成的平面MOS晶体管的集成电路的横截面,其是以连续制作阶段描绘的。
图2A到图2H是含有通过另一实例性过程序列形成的finFET的集成电路的横截面,其是以连续制作阶段描绘的。
图3A到图3H是含有通过又一实例性过程序列形成的finFET的集成电路的横截面,其是以连续制作阶段描绘的。
具体实施方式
参考附图描述本发明。所述图未按比例绘制且其仅经提供以图解说明本发明。下文参考用于图解说明的实例应用来描述本发明的几个方面。应理解,众多特定细节、关系及方法经陈述以提供对本发明的理解。然而,所属领域的技术人员将容易地认识到,可在不使用所述特定细节中的一或多者或者使用其它方法的情况下实践本发明。在其它实例中,未详细展示众所周知的结构或操作以避免使本发明模糊。本发明不受动作或事件的所图解说明排序限制,这是因为一些动作可以不同次序发生及/或与其它动作或事件同时发生。此外,并非需要所有所图解说明动作或事件来实施根据本发明的方法。
以下申请案含有相关材料且据此以其全文并入:与本申请案同时提出申请的标题为“finFET装置的设计及集成(DESIGN AND INTEGRATION OF FINFET DEVICE)”的申请案xx/xxx,xxx(代理人档案号为TI-72131)。
可通过以下方式形成含有按规则间距且具有拥有第一宽度的第一多个线性特征及拥有第二宽度的第二多个线性结构的线性结构的集成电路,其中所述第一宽度小于所述第二宽度:在用于所述线性结构的材料层上方形成线性心轴。所述心轴形成有为栅极的所要间距的两倍的间距。缩短用于所述第一多个线性结构的心轴。在所述心轴上方保形地形成间隔件材料层且各向异性地凹蚀所述间隔件材料层以形成所述心轴的横向表面上的间隔件。在所述经缩短心轴(也就是所述第一多个线性结构的心轴)上的间隔件由于所述各向异性凹蚀而比在未经缩短心轴(也就是所述第二多个线性结构的心轴)上的间隔件窄。移除所述心轴,从而使所述间隔件留在适当位置中以形成用于所述线性结构的基于间隔件的蚀刻掩模。使用所述基于间隔件的蚀刻掩模蚀刻用于所述线性结构的所述材料层以形成具有拥有所要相应宽度的所述第一多个线性结构及所述第二多个线性结构的所述线性结构。
形成如所描述的所述线性结构可有利地以低于使用运用双重图案化的过程序列的集成电路制作成本提供具有第一较窄的宽度的所述第一多个线性特征及具有第二较宽的宽度的所述第二多个线性结构。
所述集成电路的一个版本可含有平面MOS晶体管,其中用于所述线性结构的所述材料层为栅极材料层,且所述线性结构为所述MOS晶体管的栅极,所述栅极具有拥有第一宽度的第一多个栅极及拥有第二宽度的第二多个栅极,其中所述第一宽度小于所述第二宽度。可形成按规则间距的所述栅极。
所述集成电路的另一版本可含有finFET,其中用于所述线性结构的所述材料层为在所述集成电路的衬底的顶部处的半导体材料,且所述线性结构为所述finFET的鳍片,所述鳍片具有拥有第一鳍片宽度的第一多个鳍片及拥有第二鳍片宽度的第二多个鳍片,其中所述第一鳍片宽度小于所述第二鳍片宽度。可形成按规则间距的所述鳍片。出于本发明的目的,如适用于栅极的术语宽度指代所述栅极沿在所述栅极下方的沟道区中的电流流动方向的物理宽度。出于本发明的目的,术语“间距”指代例如栅极、鳍片或心轴的相同结构的邻近实例的中心之间的横向距离。
所述集成电路的又一版本可含有finFET,其中用于所述线性结构的所述材料层为栅极材料层,且所述线性结构为所述finFET的栅极,所述栅极具有拥有第一宽度的第一多个栅极及拥有第二宽度的第二多个栅极,其中所述第一宽度小于所述第二宽度。可形成按规则间距的所述栅极。
图1A到图1I是含有通过实例性过程序列形成的平面MOS晶体管的集成电路的横截面,其是按连续制作阶段描绘的。参考图1A,在例如硅晶片的衬底102上形成集成电路100。集成电路100包含用于MOS晶体管的第一多个栅极104的至少一个区域及用于MOS晶体管的第二多个栅极106的至少一个区域。将形成的第一多个栅极104的所要宽度小于将形成的第二多个栅极106的所要宽度。可在衬底102的顶部表面处形成场氧化物108以横向隔离例如晶体管的组件。在衬底102的顶部表面处在用于第一多个栅极104的区域及用于第二多个栅极106的区域中形成栅极电介质层110。
在栅极电介质层110上方形成栅极材料层112。举例来说,栅极材料层112可包含20纳米到50纳米的多晶体硅(通常称为多晶硅),及/或可包含例如氮化钛或氮化钽的金属栅极材料。在栅极材料层112上方以为第一多个栅极104及第二多个栅极106的栅极的所要间距的两倍长的间距116形成心轴114。心轴114可形成有提供第一多个栅极104及第二多个栅极106的邻近实例之间的所要分离的宽度118。心轴114的实例有可能延伸越过用于第一多个栅极104的区域或用于第二多个栅极106的区域的边界,如图1A中所描绘。举例来说,心轴114可包含二氧化硅及/或氮化硅,且通过以下方式而形成:在栅极材料层112上方形成心轴材料层;在心轴材料层上形成光致抗蚀剂的蚀刻掩模;及使用反应离子蚀刻(RIE)过程蚀刻心轴材料。替代地,心轴114可使用光学光刻过程由光敏聚酰亚胺形成。心轴114的其它材料及形成方法都在本实例的范围内。举例来说,心轴114的高度120可为第二多个栅极106的所要宽度的两倍到四倍。
参考图1B,在心轴114及栅极材料层112上方形成掩模材料层122。举例来说,掩模材料层122可包含用作底部抗反射涂层(BARC)的有机聚合物,或可包含用于更耐用掩模的非晶碳。在暴露用于第一多个栅极104的区域且覆盖用于第二多个栅极106的区域的掩模材料层122上方形成光致抗蚀剂图案124。可使用低分辨率过程形成光致抗蚀剂图案124,此有利地减少集成电路100的制作成本。
参考图1C,在由光致抗蚀剂图案124暴露的区域(包含用于第一多个栅极104的区域)中移除掩模材料层122,且将其留在由光致抗蚀剂图案124覆盖的区域(包含用于第二多个栅极106的区域)中。举例来说,可通过对于心轴114及栅极材料层112来说具选择性的各向异性等离子体蚀刻过程或RIE过程移除掩模材料层122。可随后移除光致抗蚀剂图案124,或可使其留在适当位置中。
参考图1D,举例来说,通过各向异性蚀刻缩短在第一多个栅极104的区域中的心轴114的实例126。所述缩短过程可不实质上减小在第一多个栅极104的区域中的心轴114的实例126的宽度118。在第二多个栅极106的区域中的心轴114的实例128受掩模材料层122保护且因此实质上不受缩短过程影响。在完成缩短过程之后,在第一多个栅极104的区域中的心轴114的实例126可比在第二多个栅极106的区域中的心轴114的实例128短(举例来说)为将形成的第二多个栅极106的所要宽度的一倍到三倍的垂直距离130。在完成缩短过程之后,移除掩模材料层122。可使用实质上不使心轴114或栅极材料层112降级的蚀刻过程移除掩模材料层122。可使用灰化过程移除掩模材料层122中的有机材料。可使用各项同性等离子体蚀刻过程移除掩模材料层122中的无机材料。
参考图1E,在心轴114及栅极材料层112上方保形地形成间隔件材料层132。举例来说,间隔件材料层132可包含二氧化硅或氮化硅。在心轴114的横向表面上的间隔件材料层132的水平厚度134大约为将形成的第二多个栅极106的所要宽度。
参考图1F,各向异性地凹蚀图1E的间隔件材料层132以形成在于第一多个栅极104的区域中的心轴114的实例126上的第一多个间隔件136且形成在于第二多个栅极106的区域中的心轴114的实例128上的第二多个间隔件138。执行各向异性凹蚀以使得第一多个间隔件136的水平厚度140小于第二多个间隔件138的水平厚度142。第一多个间隔件136的水平厚度140大约为将形成的第一多个栅极104的所要宽度。第二多个间隔件138的水平厚度142大约为将形成的第二多个栅极106的所要宽度。
参考图1G,移除图1F的心轴114,从而使第一多个间隔件136及第二多个间隔件138留在适当位置中以形成基于间隔件的蚀刻掩模144。可使用对于间隔件136及138以及栅极材料层112来说具选择性的湿式蚀刻移除心轴114。举例来说,可使用缓冲氢氟酸的稀释水蚀刻从基于氮化硅的间隔件136及138以及多晶硅的栅极材料层112移除心轴114中的二氧化硅。在另一实例中,可使用灰化过程后续接着湿式清洁步骤(使用硫酸及过氧化氢的水混合物)从基于二氧化硅的间隔件136及138以及多晶硅的栅极材料层112移除心轴114中的聚酰亚胺。
参考图1H,使用基于间隔件的蚀刻掩模144蚀刻图1G的栅极材料层112以形成包含第一多个栅极104及第二多个栅极106的栅极146。第一多个栅极104的宽度148小于第二多个栅极106的宽度150。可在场氧化物108上方形成栅极146的实例,结果是按实质上恒定间距形成栅极146。
参考图1I,移除图1H的基于间隔件的蚀刻掩模144,从而使栅极146留在适当位置中。可使用对于栅极146来说具选择性的蚀刻过程移除基于间隔件的蚀刻掩模144。可在可能用旋涂涂布保护栅极电介质层110之后使用缓冲氢氟酸的稀释水蚀刻移除基于间隔件的蚀刻掩模144中的二氧化硅。可使用借助氟自由基的等离子体蚀刻移除基于间隔件的蚀刻掩模144中的氮化硅。在后续处理中有可能用金属栅极替换栅极146。
图2A到图2H是含有通过另一实例性过程序列形成的finFET的集成电路的横截面,其是以连续制作阶段描述的。参考图2A,在于顶部表面处具有半导体材料的衬底202(例如硅晶片)上形成集成电路200。集成电路200包含用于finFET的第一多个鳍片204的至少一个区域及用于finFET的第二多个鳍片206的至少一个区域。将形成的第一多个鳍片204的所要宽度小于将形成的第二多个鳍片206的所要宽度。
在衬底202上方以为第一多个鳍片204及第二多个鳍片206的鳍片的所要间距的两倍长的间距216形成心轴214。心轴214可形成有提供第一多个鳍片204及第二多个鳍片206的邻近实例之间的所要分离的宽度218。心轴214可包含二氧化硅或聚酰亚胺且如参考图1A所描述而形成。心轴214的其它材料及形成方法都在本实例的范围内。举例来说,心轴214的高度可为第二多个鳍片206的所要宽度的两倍到四倍。
参考图2B,在心轴214上方形成暴露用于第一多个鳍片204的区域且覆盖用于第二多个鳍片206的区域的蚀刻掩模222。在一个实例中,蚀刻掩模222可包含光致抗蚀剂且通过光学光刻过程形成。在另一实例中,蚀刻掩模222可包含非光敏材料且如参考图1B及图1C所描述而形成。可使用低分辨率过程形成蚀刻掩模222,此有利地减少集成电路200的制作成本。
参考图2C,举例来说,通过各向异性蚀刻缩短在第一多个鳍片204的区域中的心轴214的实例226。所述缩短过程可不实质上减小在第一多个鳍片204的区域中的心轴214的实例226的宽度218。在第二多个鳍片206的区域中的心轴214的实例228受蚀刻掩模222保护且因此实质上不受缩短过程影响。在完成缩短过程之后,在第一多个鳍片204的区域中的心轴214的实例226可比在第二多个鳍片206的区域中的心轴214的实例228短(举例来说)为将形成的第二多个鳍片206的所要宽度的一倍到三倍的垂直距离230。
在完成缩短过程之后,移除蚀刻掩模222。可使用实质上不使心轴214或衬底202降级的蚀刻过程移除蚀刻掩模222。可使用灰化过程或湿式清洁过程(使用硫酸及过氧化氢的水溶液)移除蚀刻掩模层222中的有机材料。可使用各项同性等离子体蚀刻过程或氢氟酸的水缓冲溶液移除蚀刻掩模222中的二氧化硅。可使用各项同性等离子体蚀刻过程移除蚀刻掩模222中的氮化硅。
参考图2D,在心轴214及栅极材料层212上方保形地形成间隔件材料层232。举例来说,间隔件材料层232可包含二氧化硅或氮化硅。在心轴214的横向表面上的间隔件材料层232的水平厚度234大约为将形成的第二多个鳍片206的所要宽度。
参考图2E,各向异性地凹蚀图2D的间隔件材料层232以形成在于第一多个鳍片204的区域中的心轴214的实例226上的第一多个间隔件236且形成在于第二多个鳍片206的区域中的心轴214的实例228上的第二多个间隔件238。执行各向异性凹蚀以使得第一多个间隔件236的水平厚度240小于第二多个间隔件238的水平厚度242。第一多个间隔件236的水平厚度240大约为将形成的第一多个鳍片204的所要宽度。第二多个间隔件238的水平厚度242大约为将形成的第二多个鳍片206的所要宽度。
参考图2F,移除图2E的心轴214,从而使第一多个间隔件236及第二多个间隔件238留在适当位置中以形成基于间隔件的蚀刻掩模244。可使用对于间隔件236及238以及衬底202来说具选择性的湿式蚀刻移除心轴214。举例来说,可使用缓冲氢氟酸的稀释水蚀刻移除心轴214中的二氧化硅。在另一实例中,可使用灰化过程后续接着湿式清洁步骤(使用硫酸及过氧化氢的水混合物)移除心轴214中的聚酰亚胺。
参考图2G,使用基于间隔件的蚀刻掩模244蚀刻图2F的衬底202以形成包含第一多个鳍片204及第二多个鳍片206的鳍片246。第一多个鳍片204的宽度248小于第二多个鳍片206的宽度250。所述蚀刻过程可为时间蚀刻以提供鳍片246的所要高度。
参考图2H,移除图2G的基于间隔件的蚀刻掩模244,从而使鳍片246留在适当位置中。可使用对于衬底202及鳍片246的半导体材料来说具选择性的蚀刻过程移除基于间隔件的蚀刻掩模244。可使用缓冲氢氟酸的稀释水蚀刻移除基于间隔件的蚀刻掩模244中的二氧化硅。可使用借助氟自由基的等离子体蚀刻移除基于间隔件的蚀刻掩模244中的氮化硅。作为场氧化物形成的部分,可在衬底202上于鳍片246之间形成隔离氧化物252。
图3A到图3H是含有通过又一实例性过程序列形成的finFET的集成电路的横截面,其是按连续制作阶段描述的。参考图3A,在于顶部表面上具有半导体材料的衬底302(例如硅晶片)上形成集成电路300。在衬底302的顶部表面处形成鳍片354。可在衬底302上方于鳍片354之间形成隔离氧化物352。集成电路300包含用于finFET的第一多个鳍片304的至少一个区域及用于finFET的第二多个鳍片306的至少一个区域。将形成的第一多个鳍片304的所要宽度小于将形成的第二多个鳍片306的所要宽度。
参考图3B,在鳍片354上方形成向下延伸到隔离氧化物352的栅极材料层312。栅极材料层312可包含多晶硅,及/或可包含例如氮化钛或氮化钽等金属栅极材料。栅极材料层312覆盖鳍片354。
在栅极材料层312上方以为第一多个栅极304及第二多个栅极306的栅极的所要间距的两倍长的间距316形成心轴314。心轴314可形成有提供第一多个栅极304及第二多个栅极306的邻近实例之间的所要分离的宽度318。举例来说,心轴314可包含二氧化硅及/或氮化硅,且通过以下方式而形成:在栅极材料层312上方形成心轴材料层;在心轴材料层上形成光致抗蚀剂的蚀刻掩模;及使用RIE过程蚀刻心轴材料。替代地,心轴314可使用光学光刻过程由光敏聚酰亚胺形成。心轴314的其它材料及形成方法都在本实例的范围内。举例来说,心轴314的高度可为第二多个栅极306的所要宽度的两倍到四倍。
参考图3C,缩短在第一多个栅极304的区域中的心轴314的实例326,举例来说如参考图1B到图1D所描述。所述缩短过程可不实质上减小在第一多个栅极304的区域中的心轴314的实例326的宽度318。在第二多个栅极306的区域中的心轴314的实例328受掩模材料层322保护且因此实质上不受缩短过程影响。在完成缩短过程之后,在第一多个栅极304的区域中的心轴314的实例326可比在第二多个栅极306的区域中的心轴314的实例328短(举例来说)为将形成的第二多个栅极306的所要宽度的一倍到三倍的垂直距离330。
参考图3D,在心轴314及栅极材料层312上方保形地形成间隔件材料层332。举例来说,间隔件材料层332可包含二氧化硅或氮化硅。在心轴314的横向表面上的间隔件材料层332的水平厚度334大约为将形成的第二多个栅极306的所要宽度。
参考图3E、图3E-1及图3E-2,各向异性地凹蚀图3D的间隔件材料层332以形成在于第一多个栅极304的区域中的心轴314的实例326上的第一多个间隔件336且形成在于第二多个栅极306的区域中的心轴314的实例328上的第二多个间隔件338。执行各向异性凹蚀以使得第一多个间隔件336的水平厚度340小于第二多个间隔件338的水平厚度342。第一多个间隔件336的水平厚度340大约为将形成的第一多个栅极304的所要宽度。第二多个间隔件338的水平厚度342大约为将形成的第二多个栅极306的所要宽度。
参考图3F,移除图3E的心轴314,从而使第一多个间隔件336及第二多个间隔件338留在适当位置中以形成基于间隔件的蚀刻掩模344。举例来说,可移除心轴314,如参考图1G所描述。
参考图3G,使用基于间隔件的蚀刻掩模344蚀刻图3F的栅极材料层312以形成包含第一多个栅极304及第二多个栅极306的栅极346。第一多个栅极304的宽度348小于第二多个栅极306的宽度350。
参考图3H,移除图3G的基于间隔件的蚀刻掩模344,从而使栅极346留在适当位置中。可使用对于栅极346来说具选择性的蚀刻过程移除基于间隔件的蚀刻掩模344。可在可能用旋涂涂布保护栅极电介质层310之后使用缓冲氢氟酸的稀释水蚀刻移除基于间隔件的蚀刻掩模344中的二氧化硅。可使用借助氟自由基的等离子体蚀刻移除基于间隔件的蚀刻掩模344中的氮化硅。在后续处理中有可能用金属栅极替代栅极346。
尽管上文已描述本发明的各种实施例,但应理解,所述实施例仅通过实例而非限制的方式呈现。在不背离本发明的精神或范围的情况下,可根据本文中的揭示内容对所揭示实施例做出众多改变。因此,本发明的广度及范围不应受上文所描述的实施例中的任一者限制。而是,本发明的范围应根据所附权利要求书及其等效物来界定。

Claims (20)

1.一种形成集成电路的方法,其包括以下步骤:
提供用于线性结构的材料层;
在用于所述线性结构的所述材料层上方形成多个心轴,所述心轴具有为所述线性结构的所要间距的两倍长的间距;
缩短所述多个所述心轴中的在用于第一多个所述线性结构的区域中的第一组心轴,使得所述多个所述心轴中的在用于第二多个所述线性结构的区域中的第二组心轴实质上不被缩短;
在所述第一组所述心轴、所述第二组所述心轴及用于所述线性结构的所述材料层上方保形地形成间隔件材料层;
各向异性地凹蚀所述间隔件材料层以形成用于所述第一多个所述线性结构的所述区域中的所述第一组所述心轴上的第一多个间隔件,且形成用于所述第二多个所述线性结构的所述区域中的所述第二组所述心轴上的第二多个间隔件,使得所述第一多个间隔件的水平厚度小于所述第二多个间隔件的水平厚度;
移除所述心轴,从而使所述第一多个间隔件及所述第二多个间隔件留在适当位置中以形成基于间隔件的蚀刻掩模;以及
使用所述基于间隔件的蚀刻掩模蚀刻用于所述线性结构的所述材料层以形成包含所述第一多个所述线性结构及所述第二多个所述线性结构的所述线性结构,其中所述第一多个线性结构的宽度小于所述第二多个线性结构的宽度。
2.根据权利要求1所述的方法,其中:
用于所述线性结构的所述材料层包含多晶硅;且
所述线性结构为平面金属氧化物半导体MOS晶体管的栅极。
3.根据权利要求1所述的方法,其中:
用于所述线性结构的所述材料层包含所述集成电路的衬底的晶体硅;且
所述线性结构为鳍片场效晶体管finFET的鳍片。
4.根据权利要求1所述的方法,其中:
用于所述线性结构的所述材料层包含多晶硅;且
所述线性结构为finFET的栅极。
5.根据权利要求1所述的方法,其中所述心轴包含二氧化硅。
6.根据权利要求1所述的方法,其中所述间隔件材料层包含氮化硅。
7.根据权利要求1所述的方法,其中所述缩短用于所述第一多个所述线性结构的所述区域中的所述第一组所述心轴的步骤经执行以使得所述第一多个线性结构的所述区域中的所述第一组所述心轴比所述第二多个线性结构的所述区域中的所述第二组所述心轴短为所述第二多个线性结构的所要宽度的一倍到三倍的垂直距离。
8.根据权利要求1所述的方法,其中所述形成所述间隔件材料层的步骤经执行以使得所述心轴的横向表面上的所述间隔件材料层的水平厚度大约为所述第二多个线性结构的所要宽度。
9.一种形成集成电路的方法,其包括以下步骤:
提供包括半导体材料的衬底;
在所述衬底上方形成包括多晶硅的栅极材料层;
在用于晶体管的栅极的所述栅极材料层上方形成多个心轴,所述栅极包含第一多个所述栅极及第二多个所述栅极,所述心轴具有为所述栅极的所要间距的两倍长的间距;
在所述心轴上方形成掩模材料层;
移除用于所述第一多个所述栅极的区域中的所述掩模材料层,且留下用于所述第二多个所述栅极的区域中的所述掩模材料层;
缩短用于所述第一多个所述栅极的所述区域中的第一组所述心轴,使得用于所述第二多个所述栅极的所述区域中的第二组所述心轴实质上不被缩短;
在所述心轴及用于所述栅极的所述材料层上方保形地形成间隔件材料层;
各向异性地凹蚀所述间隔件材料层以形成用于所述第一多个所述栅极的所述区域中的所述第一组所述心轴上的第一多个间隔件,且形成用于所述第二多个所述栅极的所述区域中的所述第二组所述心轴上的第二多个间隔件,使得所述第一多个所述间隔件的水平厚度小于所述第二多个所述间隔件的水平厚度;
移除所述心轴,从而使所述第一多个间隔件及所述第二多个间隔件留在适当位置中以形成基于间隔件的蚀刻掩模;
使用所述基于间隔件的蚀刻掩模蚀刻用于所述栅极的所述材料层以形成包含所述第一多个所述栅极及所述第二多个所述栅极的所述栅极,其中所述第一多个所述栅极的宽度小于所述第二多个所述栅极的宽度;以及
移除所述基于间隔件的蚀刻掩模。
10.根据权利要求9所述的方法,其中所述晶体管为平面MOS晶体管。
11.根据权利要求9所述的方法,其中所述晶体管为finFET。
12.根据权利要求9所述的方法,其中所述心轴包含二氧化硅。
13.根据权利要求9所述的方法,其中所述间隔件材料层包含氮化硅。
14.根据权利要求9所述的方法,其中所述缩短用于所述第一多个所述栅极的所述区域中的所述第一组所述心轴的步骤经执行以使得所述第一多个所述栅极的所述区域中的所述第一组所述心轴比所述第二多个所述栅极的所述区域中的所述第二组所述心轴短为所述第二多个所述栅极的所要宽度的一倍到三倍的垂直距离。
15.根据权利要求9所述的方法,其中所述形成所述间隔件材料层的步骤经执行以使得所述心轴的横向表面上的所述间隔件材料层的水平厚度大约为所述第二多个所述栅极的所要宽度。
16.一种形成集成电路的方法,其包括以下步骤:
提供包括半导体材料的衬底;
在所述衬底上方形成用于finFET的鳍片的多个心轴,所述鳍片包含第一多个所述鳍片及第二多个所述鳍片,所述心轴具有为所述鳍片的所要间距的两倍长的间距;
在所述心轴上方形成暴露用于所述第一多个所述鳍片的区域且在用于所述第二多个所述鳍片的区域中覆盖所述衬底的蚀刻掩模;
缩短用于所述第一多个所述鳍片的所述区域中的第一组所述心轴,使得用于所述第二多个所述鳍片的所述区域中的第二组所述心轴实质上不被缩短;
移除所述蚀刻掩模;
在所述心轴及所述衬底上方保形地形成间隔件材料层;
各向异性地凹蚀所述间隔件材料层以形成用于所述第一多个所述鳍片的所述区域中的所述第一组所述心轴上的第一多个间隔件,且形成用于所述第二多个所述鳍片的所述区域中的所述第二组所述心轴上的第二多个间隔件,使得所述第一多个所述间隔件的水平厚度小于所述第二多个所述间隔件的水平厚度;
移除所述心轴,从而使所述第一多个间隔件及所述第二多个间隔件留在适当位置中以形成基于间隔件的蚀刻掩模;
使用所述基于间隔件的蚀刻掩模蚀刻所述衬底以形成包含所述第一多个所述鳍片及所述第二多个所述鳍片的所述鳍片,其中所述第一多个所述鳍片的宽度小于所述第二多个所述鳍片的宽度;以及
移除所述基于间隔件的蚀刻掩模。
17.根据权利要求16所述的方法,其中所述心轴包含二氧化硅。
18.根据权利要求16所述的方法,其中所述间隔件材料层包含氮化硅。
19.根据权利要求16所述的方法,其中所述缩短用于所述第一多个所述鳍片的所述区域中的所述第一组所述心轴的步骤经执行以使得所述第一多个所述鳍片的所述区域中的所述第一组所述心轴比所述第二多个所述鳍片的所述区域中的所述第二组所述心轴短为所述第二多个所述鳍片的所要宽度的一倍到三倍的垂直距离。
20.根据权利要求16所述的方法,其中所述形成所述间隔件材料层的步骤经执行以使得所述心轴的横向表面上的所述间隔件材料层的水平厚度大约为所述第二多个所述鳍片的所要宽度。
CN201410772816.6A 2013-12-12 2014-12-12 使用间隔件双重图案化印刷多个结构宽度的方法 Active CN104716032B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361915006P 2013-12-12 2013-12-12
US61/915,006 2013-12-12
US14/500,002 US9029263B1 (en) 2013-12-12 2014-09-29 Method of printing multiple structure widths using spacer double patterning
US14/500,002 2014-09-29

Publications (2)

Publication Number Publication Date
CN104716032A true CN104716032A (zh) 2015-06-17
CN104716032B CN104716032B (zh) 2019-06-21

Family

ID=53038199

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410772816.6A Active CN104716032B (zh) 2013-12-12 2014-12-12 使用间隔件双重图案化印刷多个结构宽度的方法

Country Status (2)

Country Link
US (1) US9029263B1 (zh)
CN (1) CN104716032B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109671778A (zh) * 2017-10-16 2019-04-23 中芯国际集成电路制造(上海)有限公司 鳍式半导体器件及其形成方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9711368B2 (en) * 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US9536739B2 (en) * 2014-10-28 2017-01-03 International Business Machines Corporation Self-cut sidewall image transfer process
US9324722B1 (en) * 2015-07-13 2016-04-26 Globalfoundries Inc. Utilization of block-mask and cut-mask for forming metal routing in an IC device
US9484264B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Field effect transistor contacts
US9947586B2 (en) * 2016-02-12 2018-04-17 International Business Machines Corporation Tunneling fin type field effect transistor with epitaxial source and drain regions
KR102436634B1 (ko) * 2016-06-27 2022-08-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10515818B2 (en) * 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10584739B2 (en) 2017-01-27 2020-03-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10830252B2 (en) 2017-01-27 2020-11-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10731653B2 (en) 2017-01-27 2020-08-04 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10573528B2 (en) * 2017-12-14 2020-02-25 Tessera, Inc. Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic
US10734228B2 (en) * 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
CN110021518B (zh) * 2018-01-09 2020-12-22 联华电子股份有限公司 自对准双重图案方法
US10396084B1 (en) 2018-04-04 2019-08-27 Globalfoundries Inc. Semiconductor devices including self-aligned active regions for planar transistor architecture

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090115064A1 (en) * 2007-11-01 2009-05-07 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
CN101903991A (zh) * 2007-12-18 2010-12-01 美光科技公司 用于隔离间距倍增材料环的部分的方法及相关结构
WO2011054560A1 (en) * 2009-11-09 2011-05-12 International Business Machines Corporation Method for fabricating an integrated circuit
CN102598214A (zh) * 2009-11-09 2012-07-18 国际商业机器公司 集成电路中的多宽度特征

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6667237B1 (en) * 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6998332B2 (en) * 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090115064A1 (en) * 2007-11-01 2009-05-07 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
CN101903991A (zh) * 2007-12-18 2010-12-01 美光科技公司 用于隔离间距倍增材料环的部分的方法及相关结构
WO2011054560A1 (en) * 2009-11-09 2011-05-12 International Business Machines Corporation Method for fabricating an integrated circuit
CN102598214A (zh) * 2009-11-09 2012-07-18 国际商业机器公司 集成电路中的多宽度特征

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109671778A (zh) * 2017-10-16 2019-04-23 中芯国际集成电路制造(上海)有限公司 鳍式半导体器件及其形成方法
CN109671778B (zh) * 2017-10-16 2022-01-11 中芯国际集成电路制造(上海)有限公司 鳍式半导体器件及其形成方法

Also Published As

Publication number Publication date
US9029263B1 (en) 2015-05-12
CN104716032B (zh) 2019-06-21

Similar Documents

Publication Publication Date Title
CN104716032A (zh) 使用间隔件双重图案化印刷多个结构宽度的方法
US11037789B2 (en) Cut last self-aligned litho-etch patterning
US10049946B2 (en) Vertical CMOS structure and method
US9209038B2 (en) Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9431265B2 (en) Fin cut for tight fin pitch by two different sit hard mask materials on fin
US9570580B1 (en) Replacement gate process for FinFET
US8450833B2 (en) Spacer double patterning that prints multiple CD in front-end-of-line
US9123659B1 (en) Method for manufacturing finFET device
CN104347371B (zh) 半导体结构的形成方法
US8329592B2 (en) Method of fabricating semiconductor device, and photomask
US9263257B2 (en) Semiconductor device having fin-shaped structure and method for fabricating the same
US9455255B2 (en) Fin-type field effect transistor and manufacturing method thereof
US9379017B1 (en) Method of forming a semiconductor structure including a plurality of fins and an alignment/overlay mark
US20170278870A1 (en) Fabrication of fins using variable spacers
KR102374206B1 (ko) 반도체 장치 제조 방법
CN103579007B (zh) 用于鳍式场效应晶体管器件的后栅极隔离区域形成方法
TW201331996A (zh) 使用多重側壁影像移轉技術於結構中圖案化特徵之方法
JP2009528701A (ja) U型トランジスタおよび関連する製造方法
KR102607278B1 (ko) 반도체 소자의 패턴 형성 방법
DE102017116221A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
EP3155643B1 (en) Vertical channel transistors fabrication process by selective subtraction of a regular grid
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
US8969205B2 (en) Double patterning via triangular shaped sidewall spacers
TW201640568A (zh) 積體電路結構及其製作方法
KR102269458B1 (ko) 동일한 핀에 기초하여 형성된 하이브리드 소스 드레인 영역들 및 그 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant