CN1076547A - 难熔金属覆盖的低阻值金属导线和通道 - Google Patents

难熔金属覆盖的低阻值金属导线和通道 Download PDF

Info

Publication number
CN1076547A
CN1076547A CN93101334A CN93101334A CN1076547A CN 1076547 A CN1076547 A CN 1076547A CN 93101334 A CN93101334 A CN 93101334A CN 93101334 A CN93101334 A CN 93101334A CN 1076547 A CN1076547 A CN 1076547A
Authority
CN
China
Prior art keywords
metal
alloy
substrate
groove
soft metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN93101334A
Other languages
English (en)
Other versions
CN1027610C (zh
Inventor
威廉·J·科特
佩-英·保罗·李
托马斯·E·桑德威克
伯恩·M·沃尔默
维克托·维诺日耶新
斯图尔特·H·沃尔夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1076547A publication Critical patent/CN1076547A/zh
Application granted granted Critical
Publication of CN1027610C publication Critical patent/CN1027610C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Abstract

用三步骤方法来制作电传导通道或线。第一,把 受控数量的低阻软金属12在一沟或槽中淀积到低 于沟或槽所在的电介质10的顶表面的高度。随后, 用诸如CVD钨的硬金属16覆盖低阻金属12。最 后,用化学—机械抛光来平整该构造。硬金属16保 护低阻金属12以使之免受划伤和腐蚀;而在低阻金 属受到强烈的化学—机械抛光悬浮液作用时,这种划 伤和腐蚀通常是难免的。

Description

本发明一般地涉及连接衬底如半导体和有关的组件上的电路的电导线和通道的制作,更具体地涉及到制作导线或通道,它们具有由难熔硬保护金属覆盖的低电阻软金属。本发明尤其适用于亚微米(submicron)电路制造。
诸如铝和铜及其二元和三元合金的低电阻金属,在半导体生产中被广泛用作为精细连线。典型的精细连线金属有AlxCuy(其中x和y之和等于1,且x和y均可大于或等于零并小于或等于1)、诸如Al-Pd-Cu和Al-Pd-Nb、Al-Cu-Si的三元合金、以及以低阻金属为主的其他类似合金。当今对减小超大规模集成电路(VLSI)制作中的线宽的要求,导致了可靠性的一些问题,包括不充分的绝缘、电子迁移、及平面化(planarization)。
Ahn等人在IBM Technical Disclosure Bulletin,Vol.33,No.5,October 1990,第217至218页上,公布了包钨的铜导体和通孔,它们在存在氢气的情况下通过使用WF6和SiH4混合物的选择淀积来制造。象Ahn等人的那样的包覆连线,对电子迁移具有高得多的抵抗力,而有选择的钨膜的小晶粒尺寸降低了反射率,由此增强了光刻工具会聚与分辨光刻图象的能力。但是,象Ahn等人描述的那样采用低温形成的钨膜层是富含硅的(如3~4%)并且对铜扩散的抵抗力不好,因为硅化铜的形成降低了对铜的抵抗力。因此,在低温下难以用有选择的手段淀积扩散防护层。此外,Ahn等人的技术有赖于在线的底部形成环形,这种环形一般是由漏进的水汽与WF6间的反应形成的。这种环形的形成被认为是不可靠的。
Dalton等人在VMIC Conference,June 12-13,1990,第289-292页上指出,包含WF6的SiH4和H2还原以形成铝或合金导体上的有选择钨膜层的热壁化学汽相淀积(CVD)反应,会导致铝和钨界面处出现氟。氟的出现是下列等式1所示的WF6与铝的反应副产品。
该氟化铝薄层将增大金属1至金属2的串联接触电阻。Datton报告说,在用CVD的钨覆盖之前,在铝之上溅射TiW膜,即可消除氟吸收问题。
Dalton公布了一种传统的连接方案,其中先把铝淀积在平的表面上,在上面覆上溅射TiW层(与传统工艺的唯一区别),再用光刻成象和显影及随后的反应离子蚀刻(RIE)把铝制成一定图案。再用诸如SiO2或聚酰亚胺(polyimide)的钝化电介质来覆盖所得到的结构,这种电介质本身也随后用RIE制成图案并被金属化,以产生多层结构。图1是从Dalton文件中得到的,它显示出,当用传统工艺制作的多层器件在金属导线处的电介质层上有接缝,并具有非常不规则的上表面。
采用RIE是难于达到电介质的平整性的。平整性部分地取决于图案的密度,且在后续的金属化过程中不平的表面会引起搅炼(puddling)问题。若在聚酰亚胺上采用RIE技术,在以铝或铜为主的线被蚀刻至聚酰亚胺表面时,需停止蚀刻以除去线上的光刻剂,因为除去光刻剂的过程也会除去聚酰亚胺。对高铜含量、铝或铜合金的RIE都是极困难的。包含金属RIE的传统方法的一个严重缺点是,由于颗粒缺陷,在几何形状精细的情况下容易产生大量的金属短路。
授予Brown等人的美国专利第4,834,802号,公布了一种用于在多层VLSI金属化结构中填充层间电介质通路或接触孔的方法。具体地说,把诸如钨或钼的中介金属,或是有选择地淀积到一绝缘体中的开口中,或是无选择地用CVD淀积到绝缘体的开口及整个表面上,随后在中介金属上部覆上一平整化保护层,如偶氮醌酚醛清漆型(azoquinonenovolac-type)保护层、聚甲基丙烯酸酯(polymethacrylates)、聚酰亚胺(polyimide)、或其他热塑材料。然后通过蚀刻到中介金属与保护层相等高的高度,就得到了平整化的结构。Brown等人的方法未避免金属腐蚀及与蚀刻相关的其他问题,并无益于对Al-Cu或其他软合金的平整,因为它们具有不同于诸如钨或钼等较硬金属的特性。另外,用Brown等人的方法难以完全填充通道和线路。
授予Beyer等人的美国专利第4,944,836号公布了一种化学-机械抛光技术,它可被用于在衬底上制作共面金属/绝缘体膜。具体地,Beyer等人试图在下面的绝缘层上制出图案、淀积-Al-Cu膜、并随后采用一种化学-机械抛光技术,其中以机械方式用稀硝酸中的氧化铝悬浮体来擦洗表面,以除去Al-Cu。抛光化合物对Al-Cu的清除速度远高于对下面的绝缘体的。所得到的结构包括与绝缘层持平的Al-Cu线,因而能方便地在多层结构的制作中把其余的层加上去。
授予Cote等人的美国专利第4,956,313号公布了一种通道填充及平整技术,其中在基片上的第一钝化层上部制出Al-Cu合金线图案,这些线上覆有一第二钝化层,该层最好是与Al-Cu合金线整个图形相一致的掺杂玻璃(如磷硅酸盐玻璃(phosphosilicate    glass)PSG、或硼磷硅酸盐玻璃(borophosphosilicate    glass)BPSG);随后在第二钝化层中形成通道以暴露出线,并用CVD把钨加到第二钝化层的表面上及通道中。Cote等人报告说,CVD的钨是保形的并能不留空间地填充通道。随后用研磨悬浮液抛光来使结构平整。
Beyer及Cote等人均未认识到,抛光对诸如Al-Cu合金的低阻软金属是不适用的。这是因这类金属在悬浮液作用下容易出划痕、表面被弄脏、并被腐蚀。另外,按Cote等人的方法制作平整化结构需用若干个工艺步骤,这增大了成本而且降低了产量。
Rossnagel等人在J.Vac.Sci.Technol。2∶261(Mar/Apr.1991)上公布了一种准直磁控管溅射淀积技术,用于淀积薄膜,这种技术与脱离(lift-off)图案形成技术和孔填充相兼容。该技术还可见于美国专利第4,824,544号,该专利在这里被用作参考文献。
Shiozaki等人在Abstracts    of    the    19th    Conference    on    Solid    State    Devices    and    Materials上公布了用有选择的钨淀积进行在高阻值硬金属(如MoSix)上部的孔填充,它与软金属的包覆无关。
本发明的一个目的,是提供在半导体衬底上产生镶嵌结构的改进方法。
本发明的一个目的,是提供用于在衬底上制作镶嵌线和通道的方法,其中镶嵌线或通道包括低阻值金属和耐磨损的难熔金属,该难熔金属起着低阻值金属的保护盖的作用。
本发明的另一目的,是提供制作盖有钨的金属线和通道的方法。
本发明的又一目的,是提供部分填充衬底上具有高的高宽比的沟槽或孔的改进方法。
根据本发明,在不需要麻烦的介质平整化步骤的情况下,制作出了具有平整的镶嵌结构的导线或通道,这些线或通道包括低阻值金属埋层和耐磨损难熔金属覆层。通过在抛光之前在部分填充的沟槽或通道上部提供一较硬的金属盖,即可克服与化学-机械抛光平整化有关的划痕、蚀刻过度和腐蚀问题。通过在把软金属(如铝)在衬底上准直溅射入高高宽比的沟槽或孔的过程中控制淀积温度,可获得具有较平的顶表面的部分填充的通道或线。在淀积软金属之后,可通过化学汽相淀积(CVD)难熔金属或其他较硬金属,来覆盖部分填充的通道或沟槽。汽相淀积(CVD)的金属保护下面的软金属,使之免受否则会由化学-机械抛光而引起的划刻和腐蚀。
从下面结合附图对本发明最佳实施例的详细描述,可以更好地了解上述内容及其他的目的、特点及优点。在附图中:
图1是先有技术半导体衬底的剖面侧视图,显示了层际电介质中的接缝和不规则的表面;
图2a和2b是衬底的顺序剖面侧视图,显示了利用化学-机械抛光制作镶嵌结构的传统步骤;
图3a至3c是衬底的顺序剖面侧视图,显示了根据本发明的抛光盖的制作和使用;
图4a至图4c是衬底的剖面侧视图,显示了温度对淀积的作用;
图5a和5b是顺序的衬底剖面侧视图,显示了通过低阻值金属化把沟槽或通道填充到刚好低于电介质层表面的高度的两步方法;
图6是半导体衬底的剖面侧视图,显示了借助低阻值金属化把沟槽或通道填充到刚好低于电介质表面的高度的另一方法;
图7a和图7b是衬底的剖面侧视图,显示了用一硬惰性金属层覆盖部分填充的沟槽或通道,并随后用化学-机械抛光来使之平整化;
图8a至8e是一衬底的剖面侧视图,显示了把本发明的覆盖技术用于多层结构的过程。
现在参见附图,特别是图2a和2b,其中显示了在衬底上形成镶嵌线的传统方法。特别地,用一金属化层12覆盖了位于衬底上的电介质10中的一个开孔,层12既填充了开孔也覆盖了电介质10。金属化层12可用CVD、溅射、准直溅射、浸渍、蒸发、或其他已知手段来形成。如下面将要详细描述的,在形成高高宽比、亚微米连线时,准直溅射对于无空隙填充电介质10中的开孔来说是较佳的。
在超大规模集成(VLSI)电路应用中,介质10有几千个开孔,它们可以是通道或横向金属化线,其中金属化图案被用于连接形成在衬底上的结构,这些结构可以是场效应管(FET)、双极晶体管、肖特基二极管、或类似元件。电介质10可以是诸如二氧化硅和氮化硅的无机物层、诸如聚酰亚胺的有机层、或无机和有机层的组合。对VLSI电路及其他用成百上千条线或通道来连接成百上千个构造的应用中,金属化层12必须是软低阻值型的,包括铝、铜、铝-铜合金,诸如Al-Pd-Cu和Al-Pd-Nb、Al-Cu-Si的三元合金及其他类似低阻值金属为主的合金。高阻值金属无法被用于这类场合,因为它们将会产生热,从而无法达到连接功能的适当性能。
图2b显示了在淀积金属化层12之后,可用化学-机械抛光步骤来使构造平整化。如前所述,在这里被引作参考文献的美国专利第4,944,836号(授予Beyer等人)已公布,可通过用稀硝酸中的氧化铝悬浮物或其他酸性悬浮物(如硝酸铁)的化学-机械抛光,来除去电介质表面上的金属化层。在一致地去除金属和支除控制方面,化学-机械抛光比RIE有若干优点;但是,本发明人发现,当把化学-机械抛光悬浮液用于诸如图2a的金属化层12所表示的铝、铝-铜合金、或铜基金属化线或通道时,一个严重的缺点是(如图2b中所显示的)悬浮体更倾向于去除软金属而不是电介质,并倾向于从金属化层12的顶表面14除掉太多的物质。与诸如铝铜合金的化学-机械抛光有关的一个更严重的缺点,是顶表面14易被悬浮液划伤和腐蚀,而这会引起短路及其他问题。
本发明的一具体目的,就是解决在需要采用化学-机械抛光来使构造平整化时出现的使导线或通道划伤腐蚀及过分侵蚀问题。应理解的是此方法可用于平整包含线和通道的各类衬底,这些线和通道形成在硅、砷化镓、其它适于制作集成电路的材料,以及通常用来包装半导体与制造薄膜连接的陶瓷、玻璃以及其它复合材料之上。
图3a至3c显示了本发明的改进。具体地说,在电介质10中上淀积有低阻值金属化层12,以使之部分填充电介质10中的开孔并覆盖电介质10。如前所述,金属化层12的淀积可用多种已知方法进行,包括CVD、溅射、准直溅射,浸渍、及蒸发。本发明的一个重要方面,是金属化层12是以受授方式淀积的,如通过监测淀积时间等,以使开孔中的金属化层顶表面14低于电介质10的顶表面。最好,金属化层12能填充开孔体积的大部分(如90%或更多),因为金属化层12是诸如铝、铜、铝铜合金、以及三元合金和包括铝及铜等的多成分系统的低阻软金属,并将起连接衬底上的多个构造的作用。在许多应用中,电介质10的开口将被用金属化层填充到低于电介质的顶表面几百埃至几百毫微米的程度。随后,如图3b所示,一硬金属层16被淀积到低阻金属化层12上,该硬金属可是一难熔金属(如钨、钛、钽、及合金或诸如TiN的化合物)。难熔金属层16的淀积可用CVD、镀敷、或其他技术实现,如图3c所示,提供硬金属层16,使得能够用借助诸如硝酸铁中的氧化铝之类的悬浮物的化学-机械抛光技术,来使构造平整。硬金属层16在化学-机械抛光中起着盖的作用,它保护下面的低阻软金属层12不受划伤或腐蚀。难熔金属盖16的另一好处是降低电子迁移。难熔金属硬而且耐磨,但其电阻高。因此,如上所述,人们希望低阻金属层12填充沟槽或通道的大部分而仅有少量的硬金属16覆盖沟槽或通道。
部分填充高高宽比的亚微米槽的一种特别好的方法,是借助准直溅射。高宽比一般是指沟槽或通道的高度与沟的宽度或通道的直径之比。高宽比大于2的沟槽或通道通常被认为是具有高高宽比的。S.M.Rossnagel等人在American    Vacuum    Society    36th    National    Symposium,Boston.Mass.,October    23-27,1989,Final    Program,第286页的题为“Lift-Off    Magnetron    Sputtor    Deposition”的文章中(铜淀积在脱离构造上以消除侧壁淀积)及在Rossnagel的美国专利第4,824,544号(这里将其引作对比文献)中,给出了利用准直器来淀积溅射材料(铜)的一个例子。简而言之,准直器包括一块薄钢片,或一块由其它在温度升高时不变形的材料制成的薄片,这种薄片有多个设置成蜂窝图案的孔,淀积材料的原子就通过这些孔。人们发现,控制准直器的温度,对利用准直溅射进行金属淀积的方法有重大的影响。
图4a至4c是若干个衬底的剖面侧视图,显示了铝的试验淀积结果,其中在不同的溅射淀积温度(停止温度)下采用了具有0.7∶1的高宽比(准直器厚度∶孔直径)的准直器。由图4a至4c所表示的结果已用扫描电子显微术(SEM)证实。参见图4a,当采用100℃的淀积温度时,在开口的侧壁上可见到铝,由于一略微的铝突出部分的阴影效应在沿上的铝较薄,而在开口底部的金属化属中有一弓形或凸起。随着淀积温度的升高,铝的填充得到改善。参见图4b,当淀积温度为250℃时,开口底部的铝与上表面上的铝分开了。但在开口底部的铝人有些弓形。参见图4c,当淀积温度为400℃时,在侧壁上看不到铝,而在开口底部的铝的弓形也变得最小。
图4a至4c的结果可解释为,在较高的温度下,铝原子具有更高的表面活力。即铝原子在失去其能量之前,能在表面上扩散几百埃的距离。将铝表面能量减至最小的活动决定了扩散的方向。结果,所有侧壁上的铝都扩散到顶部的铝膜或槽中的铝中了(250℃或400℃)。溅射的铝的表面活力,也受铝所溅射到的衬底的影响。在图4a至4c所示的结果中,衬底是钛做的;但用氮化钛膜时,观测到了更大的表面活性。对于其他金属或合金,不论是否使用溅射法,都会得到图4a至4c所示的淀积温度依赖性。
图5a至5b及图6显示了与图3a、4b和4c不同的步骤,用于制作带有位于衬底上的电介质层10中的开口中的低阻金属化层12的构造。
图5a显示,可先给电介质10覆上一薄层的难熔金属18,如钛、钨、钽和象TiW或TiN的合金。若金属化层12是以铜为主的材料,则用难熔金属18覆盖电介质10将是非常重要的,因为难熔金属18会阻止铜的扩散。难熔金属覆层18最好用准直溅射法形成,因为此方法可在高高宽比的开口中形成均匀的覆层。在淀积难熔金属层18后,再在其上覆上一低阻金属层12。如前所述,该低阻金属层12可是铝、铜、铝铜合金、诸如Al-Pd-Cu的三元合金或诸如Al-Pd-Nb-Au的多成分系统。低阻金属层12可用准直或非准直溅射或其他已知技术来形成。在加上金属化层12之后,图5b显示,进行一溅射蚀刻步骤、RIE、或类似步骤,以除去到金属层18的所有金属层12,如梯形凹口20表示的。除去到硬金属层18的金属层12是重要的,因为它确保了,在盖上难熔金属覆层之前在电介质10的开口中没有金属层12伸到电介质10的上表面之上,而且在抛光平整过程中只有难熔金属盖层受到化学-机械抛光悬浮物的作用。在除去适量的金属层后,该构造被覆上硬金属(如CVD的钨),并随后如上所述地被化学-机械抛光平整,从而使淀积在凹口20中的硬金属对下面的金属层12起保护盖的作用。
图6显示了一种方法,其中先在电介质10的开口中设置一难熔金属种种层22,随后有选择地在开口中淀积低阻金属层12(如铝、铜、铝铜合金及其他低阻金属或合金)。有选择地淀积金属层12的一种方法,是从种层22向上生长。生长的适当程序可借助时间控制或其他手段来实现。也可使用其他选择淀积方法。难熔金属种层22可以是钛、TiW、TiN、及其他难熔合金,并可用溅射、蒸发或其他技术来设置。种层22在最终制成的构造中可用作扩散阻挡层。图7a和7b分别显示了先在低阻金属层12上设置保形覆层24,如钨或其他硬或难熔金属,然后借助化学-机械抛光使构造平整化。金属覆层24是耐磨的,并使得能在不划伤或腐蚀下面的低阻软金属层12的情况下,平稳地进行化学-机械抛光。另外,金属覆层24减少了与电子迁移有关的问题。
预计这种覆盖和抛光技术将被用于64兆位(megabit)DRAM芯片及其他VLSI装置。图8a-e显示出这种覆盖及抛光技术可被用于制作多层装置。图8a显示了形成在衬底32上的电介质层30。如上所述,该电介质层可以是诸如生长或淀积在衬底32上的SiO2、氮化硅、或玻璃(如PSG或BPSG)的无机材料,也可以是象聚酰亚胺的有机材料,或是有机和无机材料的某种组合。衬底32可以是硅芯片或晶片(wafer),及陶瓷或芯片包装材料。
图8b显示出电介质层10可被分成两或多层图案。图案可用对比增强光刻(CEL)或其他适当技术制成。图8b显示,可提供用于金属线34和用于通道36的开口。参见图8c,随后把低阻金属38淀积在电介质层30的多个层上。如结合图5a和图6所解释的,在淀积低阻金属38之前,可在电介质30的开口中设置难熔金属覆盖层或金属种层。图8d显示,随后整个构造为一层硬金属40所覆盖,金属40可是难熔金属(最好是钨、钛、钽、或适当的合金)。硬金属40可用CVD或其他适当技术来加上。另外,在用CVD加上难熔金属40之前,可溅射上诸如TiN的粘性增强剂。图8e显示,整个构造随后用化学-机械抛光进行平整。硬金属40在抛光过程中保护了下面的低阻金属38。
虽然结合最佳实施例描述了本发明,本领域的专业人员可以理解的是,在所附权利要求的精神和范围内,可以对本发明进行带有修正的实施。3

Claims (14)

1、用于在衬底上制作被覆盖的镶嵌线或通道的方法,其特征在于下列步骤:
把一具有低阻值的软金属或金属合金淀积到衬底的沟或槽中,该淀积是在足以使所述软金属或金属合金分成淀积在所述沟或槽中的第一部分和淀积在所述衬底的一表面上的第二部分的温度下进行的,所述第一部分低于所述衬底的所述表面;
在所述软金属或金属合金之上淀积一硬金属或金属合金;
对所述衬底进行抛光,以使形成在所述沟或槽中的线或通道分别与所述衬底的所述表面持平,从而使所述硬金属或金属合金在抛光过程中保护所述软金属或金属合金免受划伤和腐蚀。
2、如权利要求1所述的方法,其特征在于淀积所述软金属或金属合金的步骤是借助溅射实现的。
3、如权利要求2所述的方法,其特征在于所述溅射是结合准直进行的。
4、如权利要求2所述的方法,其特征在于在所述淀积步骤中采用的温度高于100℃。
5、如权利要求1所述的方法,其特征在于用一种材料覆敷所述沟或槽的步骤,该材料能增加在淀积所述软金属或合金的所述步骤中被淀积的软金属或合金的表面活性。
6、如权利要求1所述的方法,其特征在于所述淀积步骤中所采用的温度高于100℃。
7、如权利要求1所述的方法,其特征在于在所述淀积步骤中所采用的温度被选择为能使在所述沟或槽中的所述软金属或合金的顶表面充分平整的温度。
8、如权利要求1所述的方法,其特征在于淀积所述硬金属或金属合金的步骤是借助化学气相淀积进行的。
9、如权利要求1所述的方法,其特征在于所述抛光步骤是借助含氧化铝的酸性化学-机械抛光化合物进行的。
10、如权利要求1所述的方法,其特征在于用一种材料覆敷所述沟或槽的步骤,该材料对在淀积所述软金属或合金的所述步骤中对淀积的所述软金属或合金起着扩散阻挡层的作用。
11、用于在衬底上制作被覆盖的镶嵌线或通道的方法,其特征在于下列步骤:
把具有低阻值的软金属或合金淀积到具有至少一条沟或槽的衬底上,所述淀积步骤把所述沟或槽填充到低于所述衬底的顶表面的高度;
除去把在所述沟或槽中的所述软金属或合金的第一部分与在所述衬底的所述顶表面上的所述软金属或合金的第二部分连接起来的软金属或合金;
在所述软金属或合金上淀积一硬金属或合金;
对所述衬底进行抛光,以使形成在所述沟或槽中的线或通道分别与所述衬底的所述表面构成一平整表面,从而使所述硬金属或合金在抛光过程中保护所述软金属或合金免受划伤和腐蚀。
12、如权利要求11所述的方法,其特征在于所述除去步骤是用溅射蚀刻实现的。
13、用于在衬底上制作被覆盖的镶嵌线或通道的方法,其特征在于下列步骤:
在衬底上的沟或槽中淀积一金属种层;
在所述金属种层上有选择地淀积一具有低阻值的软金属或合金,所述有选择淀积步骤把所述沟或槽填充到低于所述衬底的顶表面的高度;
在所述软金属或合金上淀积一硬金属或合金;
对所述衬底进行抛光,以使形成在所述沟或槽中的线或通道分别与所在衬底的所述表面形成一平整表面,从而使所述硬金属或合金在抛光过程中保护所述软金属或合金免受划伤或腐蚀。
14、如权利要求13所述的方法,其特征在于所述有选择地淀积所述软金属或合金的步骤是通过生长来进行的。
CN93101334A 1992-02-26 1993-02-24 难熔金属覆盖的低阻值金属导线和通路 Expired - Lifetime CN1027610C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/841,693 1992-02-26
US07/841,693 US5262354A (en) 1992-02-26 1992-02-26 Refractory metal capped low resistivity metal conductor lines and vias

Publications (2)

Publication Number Publication Date
CN1076547A true CN1076547A (zh) 1993-09-22
CN1027610C CN1027610C (zh) 1995-02-08

Family

ID=25285485

Family Applications (1)

Application Number Title Priority Date Filing Date
CN93101334A Expired - Lifetime CN1027610C (zh) 1992-02-26 1993-02-24 难熔金属覆盖的低阻值金属导线和通路

Country Status (9)

Country Link
US (1) US5262354A (zh)
EP (1) EP0558004B1 (zh)
JP (1) JP2989408B2 (zh)
KR (1) KR970006973B1 (zh)
CN (1) CN1027610C (zh)
AT (1) ATE159615T1 (zh)
DE (1) DE69314679T2 (zh)
HK (1) HK1001601A1 (zh)
TW (1) TW367599B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107112217A (zh) * 2015-01-08 2017-08-29 国际商业机器公司 替代金属栅极finFET器件上的自下而上金属栅极形成

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
EP0609496B1 (de) * 1993-01-19 1998-04-15 Siemens Aktiengesellschaft Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene
KR960004095B1 (en) * 1993-02-17 1996-03-26 Hyundai Electronics Ind Manufacturing method of metal plug in contact-hole
JP3326698B2 (ja) * 1993-03-19 2002-09-24 富士通株式会社 集積回路装置の製造方法
JP3360350B2 (ja) * 1993-04-21 2002-12-24 ヤマハ株式会社 表面平坦化法
JP2699839B2 (ja) * 1993-12-03 1998-01-19 日本電気株式会社 半導体装置の製造方法
US5642073A (en) 1993-12-06 1997-06-24 Micron Technology, Inc. System powered with inter-coupled charge pumps
KR0124644B1 (ko) * 1994-05-10 1997-12-11 문정환 반도체소자의 다층금속배선의 형성방법
US5447874A (en) * 1994-07-29 1995-09-05 Grivna; Gordon Method for making a semiconductor device comprising a dual metal gate using a chemical mechanical polish
DE69513459T2 (de) * 1994-08-05 2000-10-26 Ibm Verfahren zur Herstellung einer Al-Ge Legierung mit einer WGe Polierstoppschicht
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5529953A (en) * 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US5602423A (en) 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
KR960026249A (ko) * 1994-12-12 1996-07-22 윌리엄 이. 힐러 고압, 저온 반도체 갭 충진 프로세스
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
TW290731B (zh) * 1995-03-30 1996-11-11 Siemens Ag
KR0179827B1 (ko) * 1995-05-27 1999-04-15 문정환 반도체 소자의 배선 형성방법
WO1996038859A1 (en) * 1995-06-02 1996-12-05 Advanced Micro Devices, Inc. Surface conditioning insulating layer for fine line conductive pattern
US5665201A (en) * 1995-06-06 1997-09-09 Advanced Micro Devices, Inc. High removal rate chemical-mechanical polishing
US5686354A (en) * 1995-06-07 1997-11-11 Advanced Micro Devices, Inc. Dual damascene with a protective mask for via etching
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5691238A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Subtractive dual damascene
US5702563A (en) * 1995-06-07 1997-12-30 Advanced Micro Devices, Inc. Reduced chemical-mechanical polishing particulate contamination
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
US5712510A (en) * 1995-08-04 1998-01-27 Advanced Micro Devices, Inc. Reduced electromigration interconnection line
JP3274324B2 (ja) * 1995-09-01 2002-04-15 株式会社東芝 半導体装置の製造方法
US5689139A (en) * 1995-09-11 1997-11-18 Advanced Micro Devices, Inc. Enhanced electromigration lifetime of metal interconnection lines
JPH10509285A (ja) * 1995-09-14 1998-09-08 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 縮小したフィーチャーサイズのためのダマスクプロセス
US6743723B2 (en) 1995-09-14 2004-06-01 Canon Kabushiki Kaisha Method for fabricating semiconductor device
US5693568A (en) * 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures
US5858832A (en) * 1996-03-11 1999-01-12 Chartered Semiconduction Manufacturing Ltd. Method for forming a high areal capacitance planar capacitor
US5976970A (en) * 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US5654216A (en) * 1996-04-08 1997-08-05 Chartered Semiconductor Manufacturing Pte Ltd. Formation of a metal via structure from a composite metal layer
JP3304754B2 (ja) * 1996-04-11 2002-07-22 三菱電機株式会社 集積回路の多段埋め込み配線構造
US5843839A (en) * 1996-04-29 1998-12-01 Chartered Semiconductor Manufacturing, Ltd. Formation of a metal via using a raised metal plug structure
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US5948700A (en) * 1996-05-20 1999-09-07 Chartered Semiconductor Manufacturing Ltd. Method of planarization of an intermetal dielectric layer using chemical mechanical polishing
US5663108A (en) * 1996-06-13 1997-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized metal pillar via process
US5801093A (en) * 1996-06-13 1998-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Process for creating vias using pillar technology
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5956612A (en) * 1996-08-09 1999-09-21 Micron Technology, Inc. Trench/hole fill processes for semiconductor fabrication
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US5861676A (en) * 1996-11-27 1999-01-19 Cypress Semiconductor Corp. Method of forming robust interconnect and contact structures in a semiconductor and/or integrated circuit
US6110396A (en) 1996-11-27 2000-08-29 International Business Machines Corporation Dual-valent rare earth additives to polishing slurries
US5876490A (en) * 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
US5897371A (en) * 1996-12-19 1999-04-27 Cypress Semiconductor Corp. Alignment process compatible with chemical mechanical polishing
US6537905B1 (en) 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
JPH10209279A (ja) * 1997-01-27 1998-08-07 Matsushita Electron Corp 金属プラグの形成方法
US5780204A (en) * 1997-02-03 1998-07-14 Advanced Micro Devices, Inc. Backside wafer polishing for improved photolithography
US5863707A (en) * 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6136510A (en) * 1997-02-13 2000-10-24 Advanced Micro Devices, Inc. Doubled-sided wafer scrubbing for improved photolithography
JP3228181B2 (ja) 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5833820A (en) * 1997-06-19 1998-11-10 Advanced Micro Devices, Inc. Electroplating apparatus
US5899706A (en) * 1997-06-30 1999-05-04 Siemens Aktiengesellschaft Method of reducing loading variation during etch processing
US5972192A (en) * 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6240199B1 (en) 1997-07-24 2001-05-29 Agere Systems Guardian Corp. Electronic apparatus having improved scratch and mechanical resistance
US6175145B1 (en) * 1997-07-26 2001-01-16 Samsung Electronics Co., Ltd. Method of making a fuse in a semiconductor device and a semiconductor device having a fuse
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US5990011A (en) * 1997-09-18 1999-11-23 Micron Technology, Inc. Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches
US6150691A (en) * 1997-12-19 2000-11-21 Micron Technology, Inc. Spacer patterned, high dielectric constant capacitor
US6028004A (en) * 1998-01-06 2000-02-22 International Business Machines Corporation Process for controlling the height of a stud intersecting an interconnect
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
US6200896B1 (en) 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6140236A (en) * 1998-04-21 2000-10-31 Kabushiki Kaisha Toshiba High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
US6218306B1 (en) 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US6111301A (en) * 1998-04-24 2000-08-29 International Business Machines Corporation Interconnection with integrated corrosion stop
US6056869A (en) * 1998-06-04 2000-05-02 International Business Machines Corporation Wafer edge deplater for chemical mechanical polishing of substrates
US6200901B1 (en) 1998-06-10 2001-03-13 Micron Technology, Inc. Polishing polymer surfaces on non-porous CMP pads
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6220934B1 (en) 1998-07-23 2001-04-24 Micron Technology, Inc. Method for controlling pH during planarization and cleaning of microelectronic substrates
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
FR2781922B1 (fr) * 1998-07-31 2001-11-23 Clariant France Sa Procede de polissage mecano-chimique d'une couche en un materiau a base de cuivre
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US5972124A (en) 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6110648A (en) * 1998-09-17 2000-08-29 Taiwan Semiconductor Manufacturing Company Method of enclosing copper conductor in a dual damascene process
US6071814A (en) * 1998-09-28 2000-06-06 Taiwan Semiconductor Manufacturing Company Selective electroplating of copper for damascene process
US6566249B1 (en) 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6100168A (en) * 1998-11-16 2000-08-08 Industrial Technology Research Institute Location selective transmutation doping on silicon wafers using high energy deuterons
US6265308B1 (en) * 1998-11-30 2001-07-24 International Business Machines Corporation Slotted damascene lines for low resistive wiring lines for integrated circuit
US6181011B1 (en) 1998-12-29 2001-01-30 Kawasaki Steel Corporation Method of controlling critical dimension of features in integrated circuits (ICS), ICS formed by the method, and systems utilizing same
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
EP1157059A1 (en) 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US6174801B1 (en) 1999-03-05 2001-01-16 Taiwan Semiconductor Manufacturing Company E-beam direct writing to pattern step profiles of dielectric layers applied to fill poly via with poly line, contact with metal line, and metal via with metal line
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6395607B1 (en) 1999-06-09 2002-05-28 Alliedsignal Inc. Integrated circuit fabrication method for self-aligned copper diffusion barrier
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
US6433429B1 (en) 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6295721B1 (en) * 1999-12-28 2001-10-02 Taiwan Semiconductor Manufacturing Company Metal fuse in copper dual damascene
US6303486B1 (en) * 2000-01-28 2001-10-16 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal
US6261960B1 (en) * 2000-04-06 2001-07-17 Advanced Micro Devices, Inc High density contacts having rectangular cross-section for dual damascene applications
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6399512B1 (en) 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US8030172B1 (en) 2000-09-12 2011-10-04 Cypress Semiconductor Corporation Isolation technology for submicron semiconductor devices
US6373135B1 (en) * 2000-09-14 2002-04-16 Infineon Technologies Ag Semiconductor structure and method of fabrication
US6709874B2 (en) * 2001-01-24 2004-03-23 Infineon Technologies Ag Method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US6518641B2 (en) 2001-05-18 2003-02-11 International Business Machines Corporation Deep slit isolation with controlled void
JP2003023070A (ja) * 2001-07-05 2003-01-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
KR100449320B1 (ko) * 2001-12-20 2004-09-18 동부전자 주식회사 반도체 소자의 소자 분리막 형성방법
US6943105B2 (en) * 2002-01-18 2005-09-13 International Business Machines Corporation Soft metal conductor and method of making
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
US6833575B2 (en) * 2002-08-29 2004-12-21 Micron Technology, Inc. Dopant barrier for doped glass in memory devices
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6818285B2 (en) * 2002-12-31 2004-11-16 International Business Machines Corporation Composition and method to achieve reduced thermal expansion in polyarylene networks
WO2004073824A2 (en) * 2003-02-20 2004-09-02 Dow Global Technologies Inc. Method of synthesis of polyarylenes and the polyarylenes made by such method
US20040174596A1 (en) * 2003-03-05 2004-09-09 Ricoh Optical Industries Co., Ltd. Polarization optical device and manufacturing method therefor
US7205228B2 (en) * 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7960036B2 (en) * 2007-07-31 2011-06-14 International Business Machines Corporation Semiconductor structure and method of manufacturing same
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
JP2013077711A (ja) 2011-09-30 2013-04-25 Sony Corp 半導体装置および半導体装置の製造方法
KR101992352B1 (ko) * 2012-09-25 2019-06-24 삼성전자주식회사 반도체 장치
US9588298B2 (en) 2015-06-04 2017-03-07 Elenion Technologies, Llc Edge coupler
US10886225B2 (en) 2018-03-05 2021-01-05 International Business Machines Corporation BEOL alternative metal interconnects: integration and process

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4035276A (en) * 1976-04-29 1977-07-12 Ibm Corporation Making coplanar layers of thin films
US4339305A (en) * 1981-02-05 1982-07-13 Rockwell International Corporation Planar circuit fabrication by plating and liftoff
JPS58110673A (ja) * 1981-12-23 1983-07-01 Hitachi Ltd 反応性スパツタリング装置
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
JPH065673B2 (ja) * 1985-06-10 1994-01-19 日本電信電話株式会社 半導体装置とその製造方法
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4824802A (en) * 1986-02-28 1989-04-25 General Electric Company Method of filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures
JPH01501985A (ja) * 1986-07-31 1989-07-06 アメリカン テレフォン アンド テレグラフ カムパニー 改良メタライゼーションを有する半導体デバイス
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
JPH0682660B2 (ja) * 1987-08-17 1994-10-19 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 導電性スタツドを形成する方法
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US4847214A (en) * 1988-04-18 1989-07-11 Motorola Inc. Method for filling trenches from a seed layer
JP2561123B2 (ja) * 1988-04-19 1996-12-04 富士通株式会社 半導体装置の製造方法
US4822753A (en) * 1988-05-09 1989-04-18 Motorola, Inc. Method for making a w/tin contact
JPH0283978A (ja) * 1988-09-20 1990-03-26 Nec Corp 半導体装置
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107112217A (zh) * 2015-01-08 2017-08-29 国际商业机器公司 替代金属栅极finFET器件上的自下而上金属栅极形成

Also Published As

Publication number Publication date
KR930018701A (ko) 1993-09-22
DE69314679D1 (de) 1997-11-27
KR970006973B1 (ko) 1997-05-01
JPH0684826A (ja) 1994-03-25
JP2989408B2 (ja) 1999-12-13
EP0558004B1 (en) 1997-10-22
CN1027610C (zh) 1995-02-08
TW367599B (en) 1999-08-21
US5262354A (en) 1993-11-16
EP0558004A3 (zh) 1994-01-12
EP0558004A2 (en) 1993-09-01
DE69314679T2 (de) 1998-04-02
HK1001601A1 (en) 1998-06-26
ATE159615T1 (de) 1997-11-15

Similar Documents

Publication Publication Date Title
CN1027610C (zh) 难熔金属覆盖的低阻值金属导线和通路
US5300813A (en) Refractory metal capped low resistivity metal conductor lines and vias
EP0697730B1 (en) Method of forming an Al-Ge alloy with WGe polishing stop
US6249056B1 (en) Low resistance interconnect for a semiconductor device and method of fabricating the same
JP2001516153A (ja) Cvdバリア層を有するボーダーレスバイア
JP2000150647A (ja) 配線構造およびその製造方法
US6215189B1 (en) Semiconductor device having interconnect layer and method of manufacturing therefor
US5851915A (en) Method of manufacturing a semiconductor device through a reduced number of simple processes at a relatively low cost
US6133628A (en) Metal layer interconnects with improved performance characteristics
EP0822592A2 (en) A method of forming conductive lines on a semiconductor wafer
JPH04313255A (ja) 配線形成方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CX01 Expiry of patent term

Expiration termination date: 20130224

Granted publication date: 19950208