CN1111398A - 制造半导体器件的方法 - Google Patents

制造半导体器件的方法 Download PDF

Info

Publication number
CN1111398A
CN1111398A CN94119378A CN94119378A CN1111398A CN 1111398 A CN1111398 A CN 1111398A CN 94119378 A CN94119378 A CN 94119378A CN 94119378 A CN94119378 A CN 94119378A CN 1111398 A CN1111398 A CN 1111398A
Authority
CN
China
Prior art keywords
nickel
film
catalyst elements
amorphous silicon
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN94119378A
Other languages
English (en)
Other versions
CN1052572C (zh
Inventor
大谷久
宫永昭治
张宏勇
山口直明
铃木敦则
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Sharp Corp
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd, Sharp Corp filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1111398A publication Critical patent/CN1111398A/zh
Application granted granted Critical
Publication of CN1052572C publication Critical patent/CN1052572C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13454Drivers integrated on the active matrix substrate

Abstract

制造一种具有晶态硅层作为有源层的薄膜晶体 管的方法,包括下述步骤:安排含促进硅结晶化的催 化剂与非晶硅膜相接触,在较低温度使非晶硅结晶化 以及然后用激光辐照硅膜改善其结晶性。通过控制 催化剂在溶液中的浓度,可控制催化剂在结晶化后的 硅膜中的浓度。

Description

本发明涉及制造一种具有晶态半导体的半导体器件的工艺。
薄膜晶体管(下文简称TFT)已是公知的,并广泛地用于各类集成电路或电子光学器件,特别是用作为有源矩阵液晶显示装置的每个像素设置的开关元件,以及用于外围电路的驱动元件。
容易采用非晶硅膜作为TFT的薄膜半导体。然而,非晶硅膜的电学特性是很差的。具有结晶性的硅膜可以用来解决此问题。具有结晶性的硅膜是例如多晶硅、聚硅及微晶硅。通过首先形成非晶硅膜,然热处理所得之膜进行结晶化,可以制得晶态硅膜。
为使非晶硅膜结晶化的热处理要求将该膜在600℃或更高的温度加热10小时或更长时间。这种热处理对玻璃衬底不利。例如,通常用作有源矩阵液晶显示装置衬底的Corning  7059玻璃其玻璃变形点为593℃,因而它不适合作为要经受600℃或更高温加热的大面积衬底。
根据本发明人的研究,发现将非晶硅膜在550℃加热约4小时,可使该膜结晶化。这可通过在非晶硅膜的表面上安排微量的镍或钯,或其它元素(如铅)来实现。
通过等离子处理或蒸镀淀积使所述元素淀积,或通过离子注入而掺入所述元素,可以将前述促进结晶化的元素引入到非晶硅膜的表面。等离子处理具体包括:在平行板型或正圆筒型(positive  columnar  type)等离子化学气相淀积(CVD)仪中,使用含催化剂元素的电极,在诸如氢或氮的气氛中产生等离子体,将催化剂元素添加到非晶硅膜中。
然而,前述元素大量存在于半导体之中是不可取的,因为使用这种半导体会大大地损害其中使用了这种半导体的器件的可靠性及电学稳定性。
即,在非晶硅膜的结晶化中需要前述促进结晶化的元素例如镍(在本发明的下文中,称这些元素为催化剂元素),但这些元素最好别掺到已结晶的硅中。选择一种在晶态硅中更不活泼的元素作催化剂元素,并掺入刚可使该膜结晶化的最低量的这种催化剂元素,可以调和这种矛盾的要求。所以,待掺入该膜的催化剂元素的量必须高度精确地控制。
对使用镍或类似元素的结晶化工艺已深入地研究。从而获得下列研究结果:
(1)在用等离子处理将镍掺入非晶硅膜的情况下,发现在对该膜进行热处理之前,镍已渗透到非晶硅膜内相当深的深度;
(2)在掺入镍的表面出现原始晶核;以及
(3)当用蒸镀法在非晶硅膜上淀积一层镍时,按与实施等离子处理的情况相同方式发生非晶硅膜的结晶化。
鉴于上述情况,设想并非所有经等离子处理引入的镍全能起促进硅结晶化的作用。即,引入的镍若量大,则存在实际上未起作用的过量的镍。因此,本发明人认为在低温下对硅结晶化起促进作用的与硅相接触的镍是一个点或是面。还设想,镍在硅内必须呈原子状态分散。即设想镍必须呈原子状态分散在非晶硅膜表面附近,镍的浓度应尽可能的小但在足以能促进低温结晶化的范围内。
能促进非晶硅结晶化的微量镍,即一种催化剂元素,可通过例如蒸镀淀积掺入到非晶硅膜的表面附近。然而蒸镀淀积不利于控制,所以不适于精确控制掺入非晶硅膜的催化剂元素的量。
另外,要求尽量降低催化剂元素的量,但出现一个问题,即结晶化不能充分进行。
本发明的一个目的是使用催化剂元素,通过低于600℃的热工艺制造晶态薄膜硅半导体器件,其中(1)催化剂元素的引入量是受控的并尽可能的低;(2)增加了生产率以及(3)与施加热处理的情况相比,改善了结晶性。
为达到前述目的,本发明的方法包括下述步骤:安排促进结晶化的催化剂元素或含催化剂元素的化合物与非晶硅膜相接触;在催化剂元素或化合物与硅膜保持接触的情况下对非晶硅膜进行热处理,使该膜部分或全部结晶化;以及用激光或与其强度相等的光辐照该膜,进一步改善或扩大结晶度。这样就形成了结晶性优异的硅膜。
至于引入催化剂元素的方法,用含催化剂元素的溶液涂敷在非晶硅膜上是有利的。特别是,根据本发明,溶液应与硅膜表面接触,以便精确控制催化剂元素引入的量。
催化剂既能从非晶硅膜的上表面,也能从其下表面引入。即,在前一种情况,在非晶硅膜形成之后,可将含催化剂的溶液施加于硅膜。另外对后一种情况,可首先将溶液施加于基底表面,然后在其上形成非晶硅膜。
采用如此形成的具有一定结晶度的硅膜,可以形成于其内至少包括一个电学结,如PN、PI或NI结的有源区。半导体器件的实例是薄膜晶体管(TFT)、二极管、光电传感器等。
本发明的前述结构具有下列基本优点:
(a)催化剂元素在溶液中的浓度可预先精确控制,可改善结晶性,同时能使催化剂元素的量尽可能的小;
(b)只要非晶硅膜表面与溶液接触,由催化剂元素在溶液中的浓度可确定掺入非晶硅膜的催化剂元素的量;
(c)催化剂元素能以结晶化所需最小浓度掺入非晶硅膜,因为被非晶硅膜表面吸收的催化剂元素主要对该膜的结晶化有贡献。以及
(d)无需高温工艺即可获得结晶性优异的晶态硅。
关于溶液,各种水溶液和有机溶剂溶液均可用于本发明。本发明书提及的“包括”和“包含”可理解为(a)催化剂元素简单地分散于溶液中,或理解为(b)催化剂元素呈化合物状态包含在溶液中。
至于溶剂,可使用水、醇、酸或氨(ammonium)诸极性溶剂。
适合于极性溶剂的镍化合物例子有溴化镍、乙酸镍、草酸镍、碳酸镍、氯化镍、碘化镍、硝酸镍、硫酸镍、甲酸镍、乙酰丙酮镍、4-环已基丁酸镍、氧化镍、以及氢氧化镍。
另外可使用苯、甲苯、二甲苯、四氯化碳、三氯甲烷或乙醚作非极性溶剂。适合于非极性溶剂的镍化合物的例子有乙酰丙酮镍、2-乙基已酸镍。
再有,还或将界面活性剂添加于催化剂元素的溶液中。这样一来,溶液可粘附于表面,并被高效吸收。界面活性剂可在涂敷溶液之前涂敷在表面上。
另外,当使用元素镍(金属)时,必须用一种酸来溶解它。
虽然镍完全溶于上列溶液中,但也可以使用一种其中元素镍或镍化合物被均匀分散在分散介质中的材料,如乳剂。再有,还可以使用一种用以形成氧化膜的溶液。此类溶液的一个实例是OCD(应化扩散源)(东京应化株式会社制)。在此情况下,在表面形成OCD之后,仅需在200℃左右烘焙,便可容易地形成氧化硅膜。另外可随意添加杂质。
前面的解释也适用于使用除镍以外的材料作为催化剂元素的情况。
当使用极性溶剂如水来溶解镍时,非晶硅膜可能排斥这种溶液。在此情况下,最好在非晶硅膜上形成一层薄氧化膜,以使溶液能均匀地设置在膜上。氧化膜的厚度最好为100
Figure 941193780_IMG2
或更薄。还可在溶液中添加一种界面活性剂,以便增加浸润性。
另外,当使用一种非极性溶剂如甲苯,以获得2-乙基已酸镍溶液时,可在非晶硅膜的表面上直接形成该溶液。然而,可以在非晶硅膜和溶液之间加设一种增加两者粘附力的材料,用来增加涂层的粘附力。然而,若此材料的涂敷量太多,会妨碍催化剂元素向非晶硅膜的引入。
催化剂元素在溶液中的浓度根据溶液的种类而定,虽然粗略地说,催化剂元素如镍在溶液中的浓度按重量为1ppm~200ppm,最好为1ppm~50ppm。这是根据在完成结晶化之后,硅膜中的镍浓度或膜的耐氢氟酸性所确定的浓度。
在热结晶化之后,用激光辐照硅膜,可进一步改善硅膜的结晶度。另外,如果通过热处理引起了硅膜的一部位结晶化,借助激光辐照,结晶化可从该部位扩展,达到更高的结晶度。
例如,当催化剂元素的量小时,在硅膜的少许小区域发生局部结晶化。这种场合可被视为晶态成分与非晶态成分的混合物。在此情况下,通过使用激光,从存在于晶态成分的晶核发生晶体生长,因此可以获得更高的结晶度。换言之,小晶粒生长成较大的晶粒。就结晶性不完全的硅膜而论,使用激光辐照的效果更为明显。
还可以使用其它的强光如红外线代替激光。红外线难以被玻璃吸收,然而它易于被硅薄膜吸收。所以使用红外光利于选择性加热在玻璃衬底上形成的硅膜。使用红外线的方法被称为快速热退火(RTA)或快速热处理(RTP)。
将含催化剂元素的溶液施加于非晶硅膜的选定部位,可控制晶体的生长。特别是,通过对硅膜加热,晶体在硅膜内,从直接施加了溶液的区域,沿近似平行于硅膜平面的方向,向未施加溶液的区域生长。按此方式生长晶体的区域,本发明中,将被称为横向晶体生长区,或简称横向生长区。
还可证明,该横向生长区包含较低浓度的催化剂元素。尽管可采用晶态硅膜作半导体器件的有源层区,但一般来讲应尽可能地减小杂质在有源区的浓度。所以,在器件制作中,将横向生长区用于有源层区是有用的。
发现在本发明的工艺中使用镍作为催化剂元素是最有效的。然而,也可用其它催化剂元素来替代镍,例如,钯(Pd)、铂(Pt)、铜(Cu)、银(Ag)、金(Au)、铟(In)、锡(Sn)、磷(P)、砷(As)、以及锑(Sb)。还有,催化剂元素至少可以是选自属于周期表Ⅷ、Ⅲb、Ⅳb族的一种元素。
另外,用于引入催化剂元素的溶液不应限于水溶液或醇溶液。可使用各种包含催化剂元素的材料。例如,可以使用包含催化剂元素的金属化合物或酸。
参照附图以本发明的优选实施例更详细地描述本发明的前述的和其它的特征。
图1A~1D表示根据本发明的实施例1形成晶态硅膜的方法;
图2A~2C表示根据本发明的实施例2形成晶态硅膜的方法;
图3A~3E表示根据本发明的实施例3制造TFT的方法;
图4A~4F表示根据本发明的实施例4制造TFT的方法;
图5A~5D表示根据本发明的实施例5制造TFT的方法;
图6A~6F表示根据本发明的实施例6制造TFT的方法;以及
图7表示根据本发明实施例6的电子光学器件的方框图。
[实施例1]
在本例中,将含于水溶液中的催化剂元素施加于非晶硅上,然后用加热法并进而用激光辐照,使其结晶化。
参照图1A~1D,下面描述将催化剂元素(在此情况下是镍)掺入非晶硅膜的工艺。使用大小为100mm×100mm的Corning    7059玻璃衬底。
起初,通过等离子CVD或LPCVD淀积厚100~1500
Figure 941193780_IMG3
的非晶硅膜。具体在此情况下,通过等离子CVD淀积厚1000
Figure 941193780_IMG4
的非晶硅膜12(图1A)。
然后,对非晶硅膜进行氢氟酸处理,去掉污染和形成于其上的自然氧化膜。处理过后,在非晶硅膜上形成厚10~50
Figure 941193780_IMG5
的氧化膜13。若忽略污染可用自然氧化膜作代替氧化膜13的氧化膜。
氧化膜13的精确厚度是测不到的,因为膜极薄。然而,估计约厚20
Figure 941193780_IMG6
。在氧气气氛中用紫外(UV)辐射辐照5分钟,形成氧化膜13。另外用热氧化法也可形成氧化膜13。还可用双氧水处理也可形成氧化膜。
制备氧化膜13之目的在于使在后续工艺施加的含镍的乙酸盐溶液完全铺展在非晶硅膜的整个表面。简单地说,制备氧化膜13,以改善非晶硅膜的湿润度。例如若直接施加乙酸盐水溶液,非晶硅膜会排斥乙酸盐水溶液,并妨碍镍均匀掺入非晶硅膜的表面。这意味着达不到均匀结晶化。
此后,制备含镍的乙酸盐溶液。具体地说,制备浓度为25ppm的含镍的乙酸盐溶液。将两毫升乙酸盐溶液滴到非晶硅膜12上的氧化膜13的表面,保持5分钟。然后,使用旋涂机以2000rpm甩干60秒(图1C和1D)。
镍在乙酸盐溶液中的浓度实际上是1ppm或更高,最好10ppm或更高些。在使用非极性溶剂如甲苯以获得2-乙基已酸镍溶液的情况下,无需氧化膜13,可直接在非晶硅膜上形成溶液。
进行一次溶液涂敷或重复多次,因而在甩干之后,可在非晶硅膜12的表面上均匀形成数埃至数百埃的含镍的膜。在随后进行的加热过程中,膜中所含的镍将扩散到非晶硅膜内,而且起促进非晶硅膜结晶化的作用。附带说明,本发明人之意图在于含镍或其它催化剂元素的膜不必一定呈现完全连续膜的状态。
被涂敷上述溶液的非晶硅膜,在涂敷之后保持1分钟。通过改变其滞留时间可控制镍催化剂元素在结晶的硅膜12中的浓度,但控制浓度中的最重要因素是镍催化剂元素在溶液中的浓度。
在加热炉内,在氮气氛下,对如此获得的涂有含镍溶液的硅膜在550℃实际4小时的热处理。这样就在衬底11上形成了晶态硅12。
可以在450℃或更高的温度下实际热处理。然而,若选择低温,热处理会花费很多时间,导致生产效率低下。另一方面,若选择550℃或更高的热处理温度,则必须考虑玻璃衬底的耐热问题。
另外,如前所述,可以在非晶硅膜形成之前,先在衬底表面上形成含催化剂元素的溶液。
在经加热结晶化之后,在氮气氛中,对膜用KrF准分子激光(波长:248mm,脉宽:30ns)以200~350mJ/cm2的功率密度,分数次辐照,以进一步改善硅膜的结晶性。如前所述,可用红外线代替激光。
[实施例2]
本实施例涉及类似于实施例1所述的工艺,只是选择设置1200
Figure 941193780_IMG7
厚的氧化硅膜作掩模,使镍掺入到非晶硅膜的选定区域。
参照图2A,在玻璃衬底(Corning 7059,10cm×10cm)形成厚1000
Figure 941193780_IMG8
或更厚,例如1200 的待用作掩模的氧化硅膜21。可以比这再薄些,例如500
Figure 941193780_IMG10
,只要作为掩模的膜充分地密实。
然后用常规的光刻技术,将氧化硅膜21刻成预定的图形。此后,在氧气氛中用UV辐射辐照5分钟,形成薄的氧化硅膜20。估计氧化硅膜20的厚度为20~50
Figure 941193780_IMG11
。如果溶液与掩模图形尺寸相协调,为改善非晶硅膜的湿润性而如此形成的氧化硅膜20的作用可由作为掩模而形成的氧化硅膜的亲水性提供。然而,这是一种特定情况,一般来讲,使用氧化硅膜20是可靠的。
然后,与实施例1所述工艺类似,将5毫升(相对于10cm×10cm的衬底)的含100ppm镍的乙酸盐溶液滴到所得结构的表面。使用旋涂机,以50rpm实行旋涂10秒钟,在衬底的整个表面上形成均匀的含水膜。使溶液在表面上保持5分钟之后,使用旋涂机以2000rpm的转速实行甩干60秒钟。在滞留时间当中,衬底可以在旋涂机上以150rpm或低些的转速旋转(图2B)。
然后,在氮气中在550℃热处理4小时,使非晶硅膜12结晶。可以看到,晶体生长从引入镍的区域22,沿着横向方向,朝着未直接引入镍的区域25进行,如箭头23所示。在图2C中,标号24表示直接引入镍引起结晶化的区域,而标号25表示从区域24开始横向进行结晶化的区域。本发明人已证实,晶体生长是沿[111]轴进行的。
在通过上述热处理而结晶化之后,借助XeCl准分子激光(波长:308nm)进一步改善硅膜的结晶性。特别是可以明显改善发生横向生长的区域25的结晶性。
另外,在激光辐照过程中,将待辐照的衬底或表面加热到200℃~450℃的温度是有利的。
通过控制溶液的浓度和滞留时间,可以将直接引入镍的硅膜区域24内的镍浓度控制在1×1016at/cm3~1×1019at/cm3的范围内。同时,镍在横向生长区的浓度可被控制在低于该值。
根据本发明的工艺所制得的晶态硅膜之特征在于,它有优良的耐氢氟酸性质。据本发明人所知,若用等离子处理引入镍,结晶硅的耐氢氟酸性较差。
当晶态硅膜上形成氧化硅膜作为栅绝缘体或层间绝缘体时,存在一个为氧化硅膜设置接触孔,通过接触孔再形成电极的情况。在此情况下,经常使用缓冲的氢氟酸腐蚀氧化硅。然而,当晶态硅膜耐氢氟酸性不充分时,则难以有选择地去掉氧化硅,而又不腐蚀晶态硅。
然而,在本发明中,氧化硅膜与晶态硅膜间的腐蚀速率的差值(选择比)大到足以只去掉氧化硅膜,因为本发明的晶态硅膜具有充分大的耐氢氟酸性。
如上所述,可使催化剂元素在横向生长区的浓度低,并具有优良的结晶度。因此,横向生长区适合于作半导体器件的有源区,例如薄膜晶体管的沟道区。
[实施例3]
本实施例涉及使用根据本发明的晶态硅膜制造TFT。本实施例的TFT适用于有源矩阵型液晶显示装置中的驱动电路或像素。本发明的TFT也适用于其它类型的薄膜集成电路。
参照图3A~3E,描述根据本实施例制造TFT的工艺。在玻璃衬底上淀积厚2000
Figure 941193780_IMG12
的氧化硅膜(图中未示出)作为底膜。设置此氧化硅膜,是为了防止杂质从玻璃衬底扩散。
采用类似实施例1中所用的方法,再淀积厚1000
Figure 941193780_IMG13
的非晶硅膜。使用氢氟酸处理,去掉自然氧化膜之后,在氧气氛下,通过UV辐照,形成厚约20
Figure 941193780_IMG14
的氧化薄膜。通过水解处理或热氧化,可完成氧化膜的形成。
然后,给其上有氧化膜的非晶硅膜涂敷浓度为10ppm的含镍的乙酸盐水溶液。涂敷后让衬底静置5分钟,然后借助甩干机,甩干溶液。然后,使用缓冲的氢氟酸去掉氧化硅膜20和21。再在550℃加热4小时,使硅膜结晶化。直至此步,其工艺均与实施例1所述相同。
经前述各步之后,得到了非晶成分与晶态成分混合的硅膜。晶核存在于晶态成分之中。然后用KrF准分子激光,以功率密度200~300mJ/cm2辐照该结构,以便改善结晶性。此时,将衬底保持在400℃。以此方式,发生了以存在于晶态成分的晶核为基础的晶体生长。
然后,将晶态硅膜刻成岛状104,如图3A所示。该岛状硅膜起TFT沟道区的作用。然后,形成厚200~1500
Figure 941193780_IMG15
例如1000
Figure 941193780_IMG16
的氧化硅膜105。该氧化膜起栅绝缘层的作用。
用TEOS(四乙氧基硅)通过RF等离子CVD工艺淀积氧化硅膜105。即,使TEOS分解,然后在衬底温度为150℃~600℃,最好为300℃~450℃,与氧一起淀积。TEOS与氧在压力比为1∶1~1∶3,总压力为0.05~0.5Torr并施加100~250W的RF功率下被引入。此外,氧化硅膜可使用TEOS作为原始气体与臭氧一起,采用减压CVD或常压CVD制造,同时将衬底温度保持在350~600℃,最好在400~550℃的范围。在400~600℃的温度范围,在氧或臭氧中,使如此淀积的膜退火30~60分钟。
使用KrF准分子激光(工作波长:248nm,脉冲宽度:20ns)辐照激光束或强度与此相等的强光,可进一步改善硅区104的结晶化作用。使用红外线的RTA(快速热退火)是特别有效的,因为硅膜可被选择加热,而不加热玻璃衬底。再有RTA对制造绝缘栅场效应半导体器件特别有用,因为它能降低硅层与氧化硅膜间的界面能级。
随后,通过电子束蒸镀淀积厚2000
Figure 941193780_IMG17
~1μm的铝膜,并刻成栅电极106。铝膜可包含0.15~0.2wt%的钪,作为掺杂剂。然后,将衬底浸没在含1~3%酒石酸的乙二醇中,控制pH值在7左右,用铂作阴极,用铝栅电极作阳极实行阳极氧化。首先匀速将电压提高至220V,进行阳极氧化,然后将电压维持在220V保持1小时,完成氧化作用。如果在本场合施加恒定的电流,最好以2-5伏/分的速率增加电压。按此法,可形成厚1500~3500
Figure 941193780_IMG18
,具体地例如厚2000
Figure 941193780_IMG19
的阳极氧化物109(图3B)。
用栅电极部位作掩模,用离子掺杂(等离子掺杂)法,以自对准方式,使杂质(具体在本场合,是磷)引入TFT的岛状硅膜。用膦(PH3)作掺杂气体,注入磷,其剂量为1×1015~4×1015at/cm2
其结晶度因杂质引入而损伤的部位的结晶度可使用工作波长为248nm,脉宽为20ns的KrF准分子激光,通过辐照激光束而愈合。使激光在150~400mJ/cm2、最好为200~250mJ/cm2的能量密度范围内工作。这就形成了掺磷的N型杂质区108和109。该区的薄层电阻是在200~800Ω/square的范围。
激光退火工艺步骤可代之以RTA工艺,即使用闪光灯的快速热退火工艺,其中的样品温度快速上升到1000~1200℃(由硅监视片折算)。
然后使用TEOS与氧一起通过等离子CVD,或使用TEOS与臭氧一起,通过减压CVD,或常压CVD,淀积厚3000
Figure 941193780_IMG20
的氧化硅膜,作为层间绝缘体110。衬底温度保持在250~450℃的范围,例如在350℃。然后对所得的氧化硅膜进行机械抛光,得到光滑的表面(图3D)。
腐蚀该层间绝缘体110,形成源/漏接触孔,如图3E所示,并用铬或钛氮化物形成互连112和113。
虽然,在以常规方法的等离子处理引入镍的情况下,难以在不腐蚀硅膜的情况下腐蚀形成接触孔,但使用10ppm的低浓度溶液用来引入镍,对获得接触孔是特别有利的。
最后,使硅膜在300~400℃的温度范围内在氢气中退火0.1~2小时,完成硅膜的氢化,形成完整的TFT。同时制作与前述单个TFT相同的各个TFT,排列成矩阵,形成有源矩阵液晶显示装置。该TFT包括源、漏区108、109和沟道区114。另外,标号115表示电学NI结。
根据本实施例,在有源层中所含镍的浓度可以保持低于3×1018at/cm3,具体地在5×1016~3×1018at/cm3范围内。
按本实施例所形成的N沟TFT的迁移率可提高到150cm2/V·s或更高。另外,阈值电压Vth可被降低,而且具有优良的特性。此外,迁移率的偏差保持在±10%的范围。设想这种小的偏差是由于经热处理的不完全结晶化之后,再用激光辐照改善了结晶性所引起的。虽然,即使仅用激光辐照,就可以获得迁移率为150cm2/V·s或更高的晶态膜,但这种膜的均匀性却不那么好。
[实施例4]
在本实施例中,如实施例2所述选择性地引入镍,并用横向生长区形成电子器件。可降低器件沟道区中的镍浓度。就器件的电学稳定性和可靠性而论,这是特别有利的。
对照图4A,清洗衬底201,在其上设置氧化硅膜202。该氧化硅膜202是用氧和四乙氧基硅作原始气体通过等离子CVD形成的。该膜的厚度例如是2000 。然后,在氧化硅膜202上形成厚500~1500
Figure 941193780_IMG22
,例如1000 的本征型的非晶硅膜203,接着在非晶硅膜上形成500~2000 ,例如1000
Figure 941193780_IMG25
的氧化硅膜205。再选择性地腐蚀该氧化硅膜205,以便形成裸露区206。
然后,按与实施例2相同的方法,在整个表面上涂敷含镍的溶液(这里是乙酸盐溶液)。镍在乙酸盐溶液中的浓度是100ppm。其余条件与实施例2相同。这样就形成了含镍的膜207。
在氮气氛中通过在500~620℃热退火4小时,使设置有含镍膜并与其接触的非晶硅膜203结晶化。结晶化从硅膜直接接触含镍膜的区域206开始,再进一步沿与衬底平行的方向进行。在图中,标号204代表直接添加镍并被结晶化的硅膜部位,而标号203代表晶体沿横向生长的部位。沿横向生长的晶体约25μm。另外,晶体生长的方向近似沿[111]轴(图4A)。
在上述结晶化之后,再用红外线辐照进一步改善硅膜的结晶性。使用波长为1.2μm的红外光。由此步所达到的效果与使用高温处理数分钟能获得的效果等同。
用卤素灯作为红外光的光源。控制红外光的强度,使监视单晶硅片表面温度固定在900~1200℃之间。更具体地讲,用埋置在单晶硅片之内的热电耦来监测该温度,再反馈到红外光源。在本实施例中,温度上升速率在50~200℃/秒范围内保持恒定,并以20~100℃/秒的速率自然冷却衬底。因为红外光可有选择地加热硅膜,可尽量减少玻璃衬底受热。
在结晶化后,去掉氧化硅膜205。此时,也同时去掉在区域206硅膜上所形成的氧化膜。进一步用于腐蚀法,将硅膜204刻图,形成岛状的有源层208,如图4B所示。应注意,在硅膜内,不仅在直接添加镍的区域206,而且在存在晶体顶端的区域均含有高浓度的镍。对硅膜应这样来构图,使构图后的硅膜208不应包括含高浓度镍的这种区域。
然后,使构图后的有源层208暴露于含100%水蒸汽中于10atm和500~600℃、一般为550°的温度下保持1小时,以便使其表面氧化,而形成1000
Figure 941193780_IMG26
的氧化硅膜209。在氧化之后,使衬底保持在400℃的氨气氛(1atm,100%)中。在此条件下,用亮度峰值波长范围为0.6~4μm,例如0.8~1.4μm的红外光辐照氧化硅膜30~180秒,以使氧化硅膜209氮化。可在气氛中添加0.1~10%的HCl。
参照图4C,用溅射法,在氧化膜上形成铝膜,厚度为3000~8000
Figure 941193780_IMG27
,例如6000
Figure 941193780_IMG28
,然后刻成栅电极210。铝膜最好添加0.01~0.2%的钪。
参照图4D,在含1~5%的酒石酸的乙二醇溶液中,阳极氧化铝电极210的表面,以形成阳极氧化膜211。该氧化膜211的厚度为2000 ,这将确定待在下面讨论的后续工艺中形成的偏移栅区的尺寸。
参照图4E,用栅电极210及周围的阳极氧化膜211作掩模,通过离子掺杂法(亦称等离子掺杂法),以自对准方式,使N型电导杂质(此处是磷)引入有源层,以便形成杂质区212和213。用膦用掺杂气体。加速电压为60~90kV,例如80kV。剂量为1×1015~8×1015/cm2,例如4×1015/cm2。由图可见,杂质区212和213自栅电极偏移一段距离“X”。这种构形有利于减少当给栅电极施加反向偏置电压(即在NTFT的场合为负电压)时所发生的漏电流(截止电流)。特别是,由于希望贮存于像素电极内的电荷无泄漏地保持着,以便获得清晰的显示,该偏移构形当使用TFT来控制如本实施情况的有源矩阵的像素是特别有利的。
此后,用激光辐照进行退火。关于激光,可使用KrF准分子激光(波长:248nm,脉宽20ns)或其它激光。在使用KrF准分子激光的场合激光辐照的条件是:能量密度为200~400mJ/cm2例如250mJ/cm2,次数为每点2~10次,例如2次。最好使衬底加热至200~450℃,以增强辐照的效果。
参照图4F,通过等离子CVD形成厚6000 的氧化硅层间绝缘膜214。再用旋涂法形成一层透明聚酰亚胺膜215,获得一水平的表面。
层间绝缘膜214和215设置有接触孔,通过该孔,可使电极/布线217和218达到TFT的杂质区。电极/布线217和218由金属材料,例如多层氮化钛和铝形成。最后,在350℃在1大气压的氢气氛中进行退火30分钟,以完成具有TFT的有源矩阵电路的像素电路。
本例的TFT具有高的迁移率,因此它适用于有源矩阵型液晶装置的驱动电路。
[实施例5]
本例涉及TFT的制造,参照图5A~5D加以描述。对照图5A,用溅射法在Corning 7059衬底501上先形成2000
Figure 941193780_IMG31
厚的氧化硅底膜502。使该衬底在高于衬底的变形点的温度退火,然后再使玻璃以0.1~1.0℃/分的速率冷却到低于变形点的温度。这就可以降低衬底因后续工艺(例如热氧化,热退火)使衬底受热而有的衬底收缩。结果使掩模对准工艺简便了。该步工艺在底膜201形成之前或之后进行均可。在用Corning 7059衬底的场合,可将衬底在620~660℃加热1~4小时,接着以0.03~1.0℃/分,最好以0.1~0.3℃/分冷却,当温度下降至400~500℃时,从炉中取出。
然后,通过等离子CVD在底膜上形成500~1500 ,例如1000 厚的本征(Ⅰ型)非晶硅膜。用实施例1中公开的方法给非晶硅膜设置镍,并在550℃、1大气压的氮气氛中保持4小时使硅膜结晶化。再用KrF准分子激光辐照硅膜,改善结晶性。在结晶化之后,将硅膜刻成10~1000μm见方的岛状。所以,形成了作为TFT有源层的岛状晶态硅膜503,如图5A所示。
参照图5B,使硅膜的表面暴露于氧化气氛中,使其表面氧化,形成氧化膜504。氧化气氛含70~90%的水蒸汽。气氛的压力和温度分别为1大气压和500~750℃,一般在600℃。该气氛由氢/氧比率为1.5~1.9的氢气和氧气通过生热反应产生。使硅膜在如此形成的气氛中暴露3-5小时。结果,形成500~1500
Figure 941193780_IMG34
例如1000
Figure 941193780_IMG35
厚的氧化膜504。由于氧化而使硅膜表面减薄(吃掉)50
Figure 941193780_IMG36
或更多,该硅膜最上层表面的污染影响不向硅/氧化硅界面扩展。换言之,经氧化作用能获得清洁的硅/氧化硅界面。另外,因为氧化硅膜的厚度是被氧化的硅膜部分厚度的两倍,当硅膜原始为1000
Figure 941193780_IMG37
厚,而所得到的氧化硅膜为1000
Figure 941193780_IMG38
时,则氧化后余下的硅膜厚是500
一般来讲,氧化硅膜(栅绝缘膜)和有源层越薄,则迁移率越高,截止电流越小,另一方面,当其厚度越厚时,则非晶硅膜的预结晶化也越容易。所以,就有源层厚度而言,在结晶化工艺中和电学性质上存在一种矛盾。本实施例首次解决了此问题。即,起初形成较厚的非晶硅膜,以便能得到较好的晶态硅膜,随后借助氧化减薄该硅膜的厚度,结果改善了TFT有源层的特性。再有,在热氧化过程中,非晶成分或晶态硅膜所含的晶粒边界易于被氧化,导致有源层所含复合中心的减少。
在通过热氧化,形成氧化硅膜504之后,使衬底在600℃、1大气压、100%一氧化二氮的气氛中退火2小时。
参照图5C,通过低压CVD淀积3000~8000
Figure 941193780_IMG40
例如6000
Figure 941193780_IMG41
厚的添加了0.01~0.2%磷的硅,然后刻图成为栅电极505。使用栅电极作掩模,通过离子掺杂以自对准方式,使N型电导杂质添加到有源层部位。用膦作掺杂气体。加速电压为60~90kV例如80kV。另外,剂量例如是5×1015/cm2。这样就形成了N型杂质区506和507。
此后,用KrF准分子激光(波长:248nm,脉宽:20ns)进行退火。功率密度为200~400mJ/cm2例如250mJ/cm2。次数为每点2~10例如2次。在激光辐照过程中,可将衬底加热至200~450℃(图5C)。
激光退火可代之以近红外线的灯光退火。与非晶硅相比,晶态硅更有效地吸收近红外线。因而,用近红外线的退火可与1000℃或更高温的热退火相比美。另一方面,因近红外线不怎么被玻璃衬底吸收而且辐照时间短,所以可防止玻璃衬底受热损伤。即,虽然远红外能玻璃衬底吸收,但波长范围在0.5~4μm的可见或近红外线却不怎么被吸收。
参照图5D,通过等离子CVD形成6000 厚的氧化硅层间绝缘膜508。使用聚酰亚胺可代替氧化硅膜。通过绝缘膜再形成接触孔。通过该接触孔,使用多层氮化钛和铝膜形成电极/布线509和510。最后,在350℃、1大气压的氢气氛中进行30分钟的退火。这就完成了TFT。
如此形成的TFT的迁移率为110~150cm2/V·s。S值为0.2~0.5V/digit。另外,在用栅掺入源和漏区形成P沟型TFT的情况下,迁移率为90~120cm2/V·s,而S值为0.4~0.6V/digit。与通过公知的PVD或CVD法形成栅绝缘膜的情况相比,根据本实施例的迁移率可提高20%或更多,S值可降低20%或更多。
另外,根据本实施例的TFT的可靠性与通过温度高达1000℃的热氧化制得的TFT的可靠性相当。
[实施例6]
图6A~6F是表示根据本实施例的制造工艺的剖面图。本例一般涉及设置于有源矩阵型液晶装置的像素部位的TFT。
首先,在Corning 7059衬底51上,形成2000
Figure 941193780_IMG43
厚的氧化硅膜52作底膜。然后,通过等离子CVD淀积厚200~1500
Figure 941193780_IMG44
例如800
Figure 941193780_IMG45
本征型非晶硅膜。按与实施例1相同的方法实施镍的引入。在550℃在氮气中加热4小时,使硅膜结晶化,接着辐照KrF准分子激光,以进一步改善结晶性。然后将硅膜刻成岛状晶态硅膜53。再后,形成1000
Figure 941193780_IMG46
厚的氧化硅膜54覆盖硅岛53。
接下来,用溅射法,在氧化硅膜54上形成含0.1~0.3wt%钪的厚3000~8000 例如6000
Figure 941193780_IMG48
的铝膜。铝膜的上表面设置100~400
Figure 941193780_IMG49
的薄阳极氧化膜。通过旋涂,在如此得到的铝膜上形成厚1μm的光致抗蚀胶。然后,以公知的光刻法得到栅电极55。标号56表示保留在铝膜上的起掩模作用的抗蚀胶(图6A)。
然后,将衬底浸入10%草酸水溶液中,在其中用恒定电压5~50V例如8V,进行阳极氧化10~500分钟,例如200分钟。通过阳极氧化,在栅电极的侧表面形成多孔阳极氧化膜57。在栅电极的上表面不发生阳极氧化,因为有光致抗蚀胶掩模56存在(图6B)。
然后,去掉掩模,露出栅电极的上表面,接着将衬底浸入含3%酒石酸的乙二醇溶液(用氨控制pH在中性),以1-5伏/分,例如4伏/分的速率增大电压至100伏,进行另一阳极氧化。在此时,不仅阳极氧化了栅电极的上表面,而且阳极氧化了其侧表面,在其表面形成厚1000
Figure 941193780_IMG50
的密实无孔的阳极氧化物58。此阳极氧化的介电强度为50V或更大(图6C)。
然后,用干法腐蚀,对氧化硅膜54刻图。保留阳极氧化物57和58未被干法腐蚀。位于阳极氧化物下方的氧化硅膜也未被腐蚀而保留下来,因而形成栅绝缘膜59(图6D)。
接着,制备磷酸、乙酸和硝酸混合酸,以便腐蚀多孔阳极氧化物57,露出无孔阳极氧化物58。此后,以自对准方式,用栅电极和无孔阳极氧化物58作掩模,通过等离子掺杂,使杂质(磷)引入硅区60。用膦(PH3)作掺杂气体。加速电压为5~30kV,例如10kV。剂量为1×1014~8×1015at/cm2,例如2×1015/cm2
在掺杂中,未被栅绝缘膜59覆盖的区域60增添了高浓度的磷,而被栅绝缘膜59覆盖的区域61未如此增加杂质,因为离子几乎全部被栅绝缘膜阻挡。例如,磷在区域61中的浓度只有区域60中的0.1~5%。因而形成N型高浓度杂质区60和低浓度杂质区61(图6E)。
从衬底的上部辐照激光,使引入的杂质激活。随后,通过等离子CVD形成6000
Figure 941193780_IMG51
厚的氧化硅膜62作层间绝缘体。在其上形成将成为像素电极的ITO电极64。再通过层间绝缘膜形成接触孔。并使用氮化钛和铝的多层膜,在源、漏区形成电极/布线63。最后,在350℃、1大气压的氢气氛中进行30分钟退火。这样就完成了薄膜晶体管,如图6F所示。
如此获得的TFT具有与所谓的轻掺杂漏区(LDD)相同的结构。LDD结构对抑制由于热载流子引起的特性下降有利,本发明的TFT具有同样的优点。然而,与常规工艺相比,本发明的工艺只用了一步掺杂工艺。另外,本实施例具有与众不同的特点,其中用由多孔阳极氧化物57限定的栅绝缘膜来确定高浓度杂质区60的区域。即,通过多孔阳极氧化物57间接地确定了该杂质区。所以,LDD区的宽度“X”基本上由多孔阳极氧化物的宽度确定。
本例的方法对实现更高水平的集成是有利的。在此时,若根据TFT的所期望的特性而改变LDD区或偏移区的宽度“X”,那是很便利的。特别是,本发明的结构达到了降低截止电流目的,这就使与一像素相连的TFT对保持电子电荷最为合适。
图7表示在一玻璃衬底上集成有显示器、CPU以及存储器的电子光学系统(器件)的方框图。在图中,输入口应读入来自外界的一个输入信号并转换成一个显示信号,而单为每个像素使用的修正存储器是根据有源矩阵板的特定特性来修正该输入信号或类似信号。具体地讲,修正存储器使用永久性存储器,于其中贮存了每个像素的信息,以便对每个像素进行修正。即,在电子光学器件中若有有缺陷的像素(点缺陷),由有缺陷的像素周围的各像素提供经修正过的信号,以便隐去或覆盖该缺陷像素。另外,当有一个像素,其亮度低于其它像素时,使施加于该像素的信号修正成较大的信号,因此使该像素的亮度变得与其周围像素的亮度相同。
CPU和存储器与普通计算机中所用的等同。具体地讲,存储器使用RAM,在其内贮存相对于每个像素的图像信息。另外,它具有响应于图像信息改变衬底后侧背景光强的作用。
为了获得适应前述各种电路的偏移区或LDD区的恰当宽度,形成3~10套布线系统,以便可以变化各电路阳极氧化作用的条件。在一典型的实例中,(a)对有源矩阵电路来讲,当沟道长度为10μm时,其LDD的宽度应该是0.4~1μm例如0.6μm;(b)对于驱动器,当使用沟道长度为8μm,沟道宽度为200μm的N沟TFT时,LDD的宽度应该为0.2~0.3μm例如为0.25μm;当使用沟道长度为5μm,沟道宽度为50μm的P沟TFT时,LDD的宽度应为0~0.2μm例如0.1μm;以及(c)对于解码器,当使用沟道长度为8μm,沟道宽度为10μm的N沟TFT时,LDD的宽度为0.3~0.4μm例如0.35μm;当使用沟道长度为5μm,沟道宽度为10μm的P沟TFT时,LDD的宽度应该为0~0.2μm例如0.1μm。此外,对CPU、输入口、修正存储器以及存储器中的各NTFT和PTFT,应按与要求以高频和低功耗工作的解码器相同的方法来选择其LDD宽度的最佳条件。于是在同一具有绝缘表面的衬底上就形成了电子光学器件74。
本发明之特征在于,高阻区的宽度可根据各种电路所要求的特性按2~4种方式变化。另外,该区无须是沟道形成区的相同材料或同一导电类型。即,对NTFT的场合掺入微量N型掺杂剂,或对PTFT的场合掺入微量P型掺杂剂,可形成高阻区。另外,也可选择性掺入诸如碳、氧、氮杂质形成高阻区。于是,可避免因热载流子或截止电流使可靠性或频率特性下降的问题。此外,图3E、4F及5D所示的TFT也适合于驱动设置在像素电极的TFT的驱动电路。
虽然,在优选实施例中公开了本发明,但应理解,本发明的范围不应限于这些特定的实施例,而仅由所附的权利要求书限定。

Claims (20)

1、一种制造半导体器件的方法,包括下列步骤:
安排一种促进硅结晶化的催化剂元素或含所说催化剂元素的化合物与非晶硅膜相接触;
在所说催化剂元素或所说化合物与所说的硅膜保持接触的情况下使所说的非晶硅膜结晶化;以及然后
通过激光或强光辐照所说的硅膜,改善所说硅膜的结晶性。
2、权利要求1的方法,其中所说的催化剂元素是至少一种选自Ni、Pd、Pt、Cu、Ag、Au、In、Sn、P、As及Sb的元素。
3、权利要求1的方法,其中所说的催化剂元素是至少一种选自Ⅷ族元素、Ⅲb族元素、Ⅳb族元素及Ⅴb族元素的元素。
4、一种制造半导体器件的方法,包括下列各步骤:
制备一种溶液,其中促进硅结晶化的催化剂元素被溶解或分散在溶剂中;
安排所说的溶液与非晶硅膜相接触;
通过加热使所说的非晶硅膜结晶化;以及然后
通过激光或强光辐照所说的硅膜,改善所说硅膜的结晶性。
5、权利要求4的方法,其中所说的催化剂元素是至少一种选自Ni、Pd、Pt、Cu、Ag、Au、In、Sn、P、As及Sb的元素。
6、权利要求5的方法,其中所说的催化剂元素是至少一种选自Ⅷ族元素、Ⅲb族元素、Ⅳb族元素及Ⅴb族元素的元素。
7、权利要求4的方法,其中所说的溶剂是一种极性溶剂。
8、权利要求7的方法,其中所说的极性溶剂选自水、醇、酸、氨及其组合物。
9、权利要求7的方法,其中所说的催化剂元素是镍。
10、权利要求9的方法,其中所说的镍是以选自溴化镍、乙酸镍、草酸镍、碳酸镍、氯化镍、碘化镍、硝酸镍、硫酸镍、甲酸镍、乙酰丙酮镍、4-环已基丁酸镍、氧化镍、氢氧化镍及其组合物的镍化合物提供的。
11、权利要求4的方法,其中所说的溶剂是一种非极性溶剂。
12、权利要求11的方法,其中所说的非极性溶剂选自苯、甲苯、二甲苯、四氯化碳、三氯甲烷、乙醚及其组合物。
13、权利要求11的方法,其中所说的催化剂元素是镍。
14、权利要求13的方法,其中所说的镍是以选自乙酰丙酮镍、4-环已基丁酸镍、氧化镍、氢氧化镍、2-乙基已镍及其组合物的镍化合物提供的。
15、一种制造半导体器件的方法,包括下列各步骤:
制备一种界面活性剂和一种其中溶解或分散了催化剂元素的溶液的混合液;
安排所说的混合液与非晶硅膜接触;
通过加热使所说的非晶硅膜结晶化;以及然后
用激光或强光辐照所说的硅膜,改善所说硅膜的结晶性。
16、一种制造半导体器件的方法,包括下列各步骤:
在衬底的绝缘表面上形成非晶硅膜;
安排含催化剂元素或其化合物的溶液与非晶硅膜的选定部位接触;
通过加热,在所说催化剂元素或所说化合物与所说的部位相接触的情况下,使所说的非晶硅膜结晶化,其中晶体从非晶硅膜的所说部位沿横向向与之相邻的区域生长;以及
用光辐照所说的硅膜的至少一个部位,改善所说硅膜的结晶性。
17、权利要求16的方法,其中所说的光是一种激光。
18、权利要求16的方法,其中所说的光是由脉冲管发出的红外光。
19、权利要求16的方法,还包括下述步骤:在晶体沿横向生长的硅膜所说区域内形成所说器件的有源区。
20、权利要求16的方法,其中硅膜的所说区域包含所说的催化剂元素,其浓度在1×1016at/cm3~1×1019at/cm3的范围内。
CN94119378A 1993-12-01 1994-12-01 制造半导体器件的方法 Expired - Fee Related CN1052572C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP329761/1993 1993-12-01
JP32976193A JP3562590B2 (ja) 1993-12-01 1993-12-01 半導体装置作製方法
JP329761/93 1993-12-01

Publications (2)

Publication Number Publication Date
CN1111398A true CN1111398A (zh) 1995-11-08
CN1052572C CN1052572C (zh) 2000-05-17

Family

ID=18224983

Family Applications (1)

Application Number Title Priority Date Filing Date
CN94119378A Expired - Fee Related CN1052572C (zh) 1993-12-01 1994-12-01 制造半导体器件的方法

Country Status (4)

Country Link
US (1) US5543352A (zh)
JP (1) JP3562590B2 (zh)
KR (1) KR100303898B1 (zh)
CN (1) CN1052572C (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7217955B2 (en) 2003-10-21 2007-05-15 Sharp Kabushiki Kaisha Semiconductor laser device
US7537979B2 (en) 2003-08-29 2009-05-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
CN101136438B (zh) * 2006-08-31 2011-05-11 株式会社半导体能源研究所 薄膜晶体管及其制造方法、和半导体装置

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0131062B1 (ko) 1992-08-27 1998-04-14 순페이 야마자끼 반도체장치 제작방법
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
US6323071B1 (en) 1992-12-04 2001-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device
US5403762A (en) 1993-06-30 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a TFT
US6997985B1 (en) 1993-02-15 2006-02-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same
JP3562588B2 (ja) * 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
EP0612102B1 (en) * 1993-02-15 2001-09-26 Semiconductor Energy Laboratory Co., Ltd. Process for the fabrication of a crystallised semiconductor layer
US6413805B1 (en) 1993-03-12 2002-07-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device forming method
JP2791635B2 (ja) * 1993-12-24 1998-08-27 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
TW241377B (zh) 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US5818076A (en) * 1993-05-26 1998-10-06 Semiconductor Energy Laboratory Co., Ltd. Transistor and semiconductor device
KR100355938B1 (ko) * 1993-05-26 2002-12-16 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치제작방법
KR100186886B1 (ko) * 1993-05-26 1999-04-15 야마자끼 승페이 반도체장치 제작방법
US6090646A (en) 1993-05-26 2000-07-18 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2814049B2 (ja) 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
CN100472752C (zh) 1993-12-02 2009-03-25 株式会社半导体能源研究所 半导体器件的制造方法
US6798023B1 (en) * 1993-12-02 2004-09-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising first insulating film, second insulating film comprising organic resin on the first insulating film, and pixel electrode over the second insulating film
JP2860869B2 (ja) * 1993-12-02 1999-02-24 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US5869362A (en) * 1993-12-02 1999-02-09 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6074901A (en) * 1993-12-03 2000-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for crystallizing an amorphous silicon film and apparatus for fabricating the same
TW272319B (zh) * 1993-12-20 1996-03-11 Sharp Kk
KR100319332B1 (ko) * 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
JP2873669B2 (ja) * 1993-12-24 1999-03-24 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JP3221473B2 (ja) 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6162667A (en) * 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3621151B2 (ja) 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
TW273639B (en) * 1994-07-01 1996-04-01 Handotai Energy Kenkyusho Kk Method for producing semiconductor device
JPH0869967A (ja) * 1994-08-26 1996-03-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
TW395008B (en) * 1994-08-29 2000-06-21 Semiconductor Energy Lab Semiconductor circuit for electro-optical device and method of manufacturing the same
US6706572B1 (en) 1994-08-31 2004-03-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor using a high pressure oxidation step
JP3442500B2 (ja) 1994-08-31 2003-09-02 株式会社半導体エネルギー研究所 半導体回路の作製方法
JPH0878693A (ja) * 1994-08-31 1996-03-22 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
DE4432294A1 (de) * 1994-09-12 1996-03-14 Telefunken Microelectron Verfahren zur Reduzierung der Oberflächenrekombinationsgeschwindigkeit in Silizium
TW374247B (en) * 1994-09-15 1999-11-11 Semiconductor Energy Lab Co Ltd Method of fabricating semiconductor device
US6300659B1 (en) 1994-09-30 2001-10-09 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and fabrication method for same
US5915174A (en) 1994-09-30 1999-06-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for producing the same
US5942768A (en) * 1994-10-07 1999-08-24 Semionductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
US6008101A (en) * 1994-11-29 1999-12-28 Semiconductor Energy Laboratory Co., Ltd. Laser processing method of semiconductor device
US5756364A (en) * 1994-11-29 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Laser processing method of semiconductor device using a catalyst
US6337229B1 (en) * 1994-12-16 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of making crystal silicon semiconductor and thin film transistor
US6331475B1 (en) 1995-01-12 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Method and manufacturing semiconductor device
JP4130237B2 (ja) * 1995-01-28 2008-08-06 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及び半導体装置の作製方法
JP3138169B2 (ja) * 1995-03-13 2001-02-26 シャープ株式会社 半導体装置の製造方法
US7075002B1 (en) * 1995-03-27 2006-07-11 Semiconductor Energy Laboratory Company, Ltd. Thin-film photoelectric conversion device and a method of manufacturing the same
KR100265179B1 (ko) * 1995-03-27 2000-09-15 야마자끼 순페이 반도체장치와 그의 제작방법
TW383502B (en) 1995-06-01 2000-03-01 Seniconductor Energy Lab Kk Method of manufacturing semiconductor device
US6337109B1 (en) * 1995-06-07 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of producing crystalline semiconductor
US6524977B1 (en) 1995-07-25 2003-02-25 Semiconductor Energy Laboratory Co., Ltd. Method of laser annealing using linear beam having quasi-trapezoidal energy profile for increased depth of focus
JP4056571B2 (ja) * 1995-08-02 2008-03-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
DE19534574C2 (de) * 1995-09-18 1997-12-18 Fraunhofer Ges Forschung Dotierverfahren zur Herstellung von Homoübergängen in Halbleitersubstraten
JP3907726B2 (ja) * 1995-12-09 2007-04-18 株式会社半導体エネルギー研究所 微結晶シリコン膜の作製方法、半導体装置の作製方法及び光電変換装置の作製方法
JP3124480B2 (ja) 1995-12-12 2001-01-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6204101B1 (en) 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TW319912B (zh) * 1995-12-15 1997-11-11 Handotai Energy Kenkyusho Kk
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US5985740A (en) * 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3645378B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3729955B2 (ja) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5888858A (en) 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6180439B1 (en) 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US7056381B1 (en) 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6465287B1 (en) 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
JP3301054B2 (ja) * 1996-02-13 2002-07-15 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー照射方法
US6063654A (en) * 1996-02-20 2000-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor involving laser treatment
TW374196B (en) 1996-02-23 1999-11-11 Semiconductor Energy Lab Co Ltd Semiconductor thin film and method for manufacturing the same and semiconductor device and method for manufacturing the same
TW317643B (zh) * 1996-02-23 1997-10-11 Handotai Energy Kenkyusho Kk
TW335503B (en) * 1996-02-23 1998-07-01 Semiconductor Energy Lab Kk Semiconductor thin film and manufacturing method and semiconductor device and its manufacturing method
JP3472024B2 (ja) 1996-02-26 2003-12-02 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6100562A (en) * 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6133119A (en) 1996-07-08 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method manufacturing same
US5773329A (en) * 1996-07-24 1998-06-30 International Business Machines Corporation Polysilicon grown by pulsed rapid thermal annealing
TW451284B (en) * 1996-10-15 2001-08-21 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
KR100297498B1 (ko) 1996-11-20 2001-10-24 윤덕용 마이크로파를이용한다결정박막의제조방법
JPH10199807A (ja) * 1996-12-27 1998-07-31 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法
JP3973723B2 (ja) * 1997-02-12 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4242461B2 (ja) 1997-02-24 2009-03-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5994164A (en) * 1997-03-18 1999-11-30 The Penn State Research Foundation Nanostructure tailoring of material properties using controlled crystallization
JP3544280B2 (ja) 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH10282414A (ja) * 1997-04-09 1998-10-23 Canon Inc ズームレンズ
US6339013B1 (en) * 1997-05-13 2002-01-15 The Board Of Trustees Of The University Of Arkansas Method of doping silicon, metal doped silicon, method of making solar cells, and solar cells
JP3376247B2 (ja) * 1997-05-30 2003-02-10 株式会社半導体エネルギー研究所 薄膜トランジスタ及び薄膜トランジスタを用いた半導体装置
US6541793B2 (en) 1997-05-30 2003-04-01 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and semiconductor device using thin-film transistors
US6307214B1 (en) 1997-06-06 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and semiconductor device
JP3844561B2 (ja) 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6501094B1 (en) * 1997-06-11 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a bottom gate type thin film transistor
JP3717634B2 (ja) 1997-06-17 2005-11-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3295346B2 (ja) 1997-07-14 2002-06-24 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及びそれを用いた薄膜トランジスタ
JP3830623B2 (ja) 1997-07-14 2006-10-04 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法
US5940693A (en) * 1997-07-15 1999-08-17 Sharp Laboratories Of America, Inc. Selective silicide thin-film transistor and method for same
US6326226B1 (en) 1997-07-15 2001-12-04 Lg. Philips Lcd Co., Ltd. Method of crystallizing an amorphous film
JP3974229B2 (ja) * 1997-07-22 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3939399B2 (ja) * 1997-07-22 2007-07-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH1140498A (ja) 1997-07-22 1999-02-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP4318768B2 (ja) 1997-07-23 2009-08-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4180689B2 (ja) * 1997-07-24 2008-11-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3943245B2 (ja) * 1997-09-20 2007-07-11 株式会社半導体エネルギー研究所 半導体装置
JP4090569B2 (ja) * 1997-12-08 2008-05-28 株式会社半導体エネルギー研究所 半導体装置、液晶表示装置及びel表示装置
KR100340124B1 (ko) 1998-02-10 2003-01-29 주승기 박막트랜지스터 제조방법
US6821710B1 (en) * 1998-02-11 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6312979B1 (en) 1998-04-28 2001-11-06 Lg.Philips Lcd Co., Ltd. Method of crystallizing an amorphous silicon layer
JP2000039628A (ja) * 1998-05-16 2000-02-08 Semiconductor Energy Lab Co Ltd 半導体表示装置
US6171740B1 (en) 1998-06-25 2001-01-09 The Penn State Research Foundation Electrostatic printing of a metallic toner to produce a polycrystalline semiconductor from an amorphous semiconductor
US6524662B2 (en) 1998-07-10 2003-02-25 Jin Jang Method of crystallizing amorphous silicon layer and crystallizing apparatus thereof
US6784034B1 (en) 1998-10-13 2004-08-31 Lg. Philips Lcd Co., Ltd. Method for fabricating a thin film transistor
US6558986B1 (en) 1998-09-03 2003-05-06 Lg.Philips Lcd Co., Ltd Method of crystallizing amorphous silicon thin film and method of fabricating polysilicon thin film transistor using the crystallization method
US6392810B1 (en) 1998-10-05 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, beam homogenizer, semiconductor device, and method of manufacturing the semiconductor device
JP2000174282A (ja) 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
US6306694B1 (en) * 1999-03-12 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Process of fabricating a semiconductor device
US6512504B1 (en) 1999-04-27 2003-01-28 Semiconductor Energy Laborayory Co., Ltd. Electronic device and electronic apparatus
KR100317641B1 (ko) 1999-05-21 2001-12-22 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP4827276B2 (ja) 1999-07-05 2011-11-30 株式会社半導体エネルギー研究所 レーザー照射装置、レーザー照射方法及び半導体装置の作製方法
TW544727B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6548370B1 (en) 1999-08-18 2003-04-15 Semiconductor Energy Laboratory Co., Ltd. Method of crystallizing a semiconductor layer by applying laser irradiation that vary in energy to its top and bottom surfaces
GB0006958D0 (en) 2000-03-23 2000-05-10 Koninkl Philips Electronics Nv Method of manufacturing a transistor
US7078321B2 (en) 2000-06-19 2006-07-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7045444B2 (en) 2000-12-19 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device that includes selectively adding a noble gas element
US6858480B2 (en) 2001-01-18 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TWI221645B (en) * 2001-01-19 2004-10-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US7115453B2 (en) 2001-01-29 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
JP2002231627A (ja) 2001-01-30 2002-08-16 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
US7141822B2 (en) 2001-02-09 2006-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP4993810B2 (ja) 2001-02-16 2012-08-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5088993B2 (ja) 2001-02-16 2012-12-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7052943B2 (en) 2001-03-16 2006-05-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP4718700B2 (ja) 2001-03-16 2011-07-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6812081B2 (en) 2001-03-26 2004-11-02 Semiconductor Energy Laboratory Co.,.Ltd. Method of manufacturing semiconductor device
JP2003163221A (ja) * 2001-11-28 2003-06-06 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2003303770A (ja) * 2002-04-11 2003-10-24 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP4626796B2 (ja) * 2002-10-09 2011-02-09 セイコーエプソン株式会社 電気光学装置の製造方法及び電子機器
US7332431B2 (en) 2002-10-17 2008-02-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7374976B2 (en) 2002-11-22 2008-05-20 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating thin film transistor
US7335255B2 (en) 2002-11-26 2008-02-26 Semiconductor Energy Laboratory, Co., Ltd. Manufacturing method of semiconductor device
JP4373115B2 (ja) * 2003-04-04 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2004347474A (ja) * 2003-05-22 2004-12-09 Sharp Corp 携帯型ナビゲーション装置
US7348222B2 (en) 2003-06-30 2008-03-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor and method for manufacturing a semiconductor device
US7358165B2 (en) 2003-07-31 2008-04-15 Semiconductor Energy Laboratory Co., Ltd Semiconductor device and method for manufacturing semiconductor device
US7247527B2 (en) 2003-07-31 2007-07-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device, and laser irradiation apparatus
US20050048706A1 (en) * 2003-08-27 2005-03-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7276402B2 (en) * 2003-12-25 2007-10-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7507617B2 (en) * 2003-12-25 2009-03-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR101299604B1 (ko) * 2005-10-18 2013-08-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제조 방법
JP2007208180A (ja) * 2006-02-06 2007-08-16 Fujifilm Corp レーザアニール技術、半導体膜、半導体装置、及び電気光学装置
JP4675294B2 (ja) * 2006-07-19 2011-04-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2007053398A (ja) * 2006-10-18 2007-03-01 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法及び薄膜トランジスタの作製方法
JP4489750B2 (ja) * 2006-12-06 2010-06-23 株式会社半導体エネルギー研究所 シリコン膜の作製方法、半導体装置の作製方法及び光電変換装置の作製方法
US7972943B2 (en) * 2007-03-02 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3587100T2 (de) * 1984-10-09 1993-09-09 Fujitsu Ltd Verfahren zur herstellung einer auf der halbleiter-auf-isolator-technologie basierenden integrierten schaltung.
US5358907A (en) * 1990-01-30 1994-10-25 Xerox Corporation Method of electrolessly depositing metals on a silicon substrate by immersing the substrate in hydrofluoric acid containing a buffered metal salt solution
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7537979B2 (en) 2003-08-29 2009-05-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7217955B2 (en) 2003-10-21 2007-05-15 Sharp Kabushiki Kaisha Semiconductor laser device
CN100401601C (zh) * 2003-10-21 2008-07-09 夏普株式会社 半导体激光器件
CN101136438B (zh) * 2006-08-31 2011-05-11 株式会社半导体能源研究所 薄膜晶体管及其制造方法、和半导体装置

Also Published As

Publication number Publication date
KR100303898B1 (ko) 2001-12-15
CN1052572C (zh) 2000-05-17
JP3562590B2 (ja) 2004-09-08
US5543352A (en) 1996-08-06
JPH07161634A (ja) 1995-06-23

Similar Documents

Publication Publication Date Title
CN1052572C (zh) 制造半导体器件的方法
CN1054943C (zh) 制造半导体器件的方法
CN1052565C (zh) 一种制造半导体器件的方法
CN1094652C (zh) 制造具有结晶半导体膜的半导体器件的方法
CN1160759C (zh) 半导体器件及其制造方法
CN1051877C (zh) 半导体器件及其制造方法
JP3431041B2 (ja) 半導体装置の作製方法
CN1097298C (zh) 制造结晶硅半导体和薄膜晶体管的方法
JPH0869968A (ja) 半導体装置の作製方法
CN1051640C (zh) 半导体器件及其制造方法
CN1624866A (zh) 半导体器件的制造方法
JP3545289B2 (ja) 半導体装置作製方法
JP3618604B2 (ja) 半導体装置作製方法
JP3980298B2 (ja) 半導体装置の作製方法
JP3600092B2 (ja) 半導体装置作製方法
CN1146038C (zh) 半导体器件及其制造方法
JP3950307B2 (ja) 半導体装置の作製方法
JP2002373860A (ja) 半導体装置の作製方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20000517

Termination date: 20131201