CN1189859A - 等离子体增强的化学处理反应器和方法 - Google Patents

等离子体增强的化学处理反应器和方法 Download PDF

Info

Publication number
CN1189859A
CN1189859A CN96195202A CN96195202A CN1189859A CN 1189859 A CN1189859 A CN 1189859A CN 96195202 A CN96195202 A CN 96195202A CN 96195202 A CN96195202 A CN 96195202A CN 1189859 A CN1189859 A CN 1189859A
Authority
CN
China
Prior art keywords
wafer
treatment chamber
reactor
chamber
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN96195202A
Other languages
English (en)
Other versions
CN1160479C (zh
Inventor
罗恩·范奥斯
威廉·J·德宾
理查德·H·马西森
丹尼斯·C·芬斯克
埃里克·D·罗斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qorvo US Inc
Original Assignee
Watkins Johnson Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Watkins Johnson Co filed Critical Watkins Johnson Co
Publication of CN1189859A publication Critical patent/CN1189859A/zh
Application granted granted Critical
Publication of CN1160479C publication Critical patent/CN1160479C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating

Abstract

一种等离子体增强的化学处理反应器和方法。该反应器(10)包括一等离子体室(18),该室包括一个第一气体注入进气管(15)和一个电磁能源(12)。该等离子体室与一处理室(16)相通,该处理室包括一晶片支持件(20)和一个第二气体进气管(17)。在该等离子体室(18)中产生的等离子体扩散到处理室(16)中并与反应气体互相作用,以便在晶片(24)上沉积一层材料。该反应器(10)还包括用来排空反应器(10)的一个真空系统(26)。该方法包括以下各步骤:在等离子体室(18)内产生等离子体,引入至少一种气相化学品到处理室(16)内晶片支持件(20)附近,并应用射频梯度诱导该等离子体扩散到晶片支持件(20)附近的区域。

Description

等离子体增强的化学处理 反应器和方法
本发明涉及一种用于处理半导体集成电路的反应器和方法。尤其是,本发明涉及一种等离子体增强的反应器和方法,能够进行各种处理操作,包括通过等离子体增强的化学汽相淀积法(PECVD)在集成电路表面上沉积各种均匀的薄膜或层,薄膜回蚀刻,反应器自清洁,和同时的蚀刻和沉积操作。
半导体晶片和其他集成电路(IC)的处理包括关键的各个制造步骤:如蚀刻晶片表面和在晶片表面上沉积各材料层以便形成器件的各个部件、互相连接的线、电介质和绝缘阻挡层等。已经应用各种系统在集成电路的表面上沉积各种材料层和类似物,常常通过化学汽相淀积法(CVD)形成这样的层。普通的热CVD工艺技术通过某些气相化学品的热反应在晶片的表面上沉积一种稳定的化学组份。在包括低压CVD系统和大气压CVD系统的先有技术中已经使用各种CVD反应器。
最近,已经开发了各种等离子体增强的(有时称为等离子体辅助的)CVD系统(PECVD)。PECVD系统一般通过气相化学品的离解化和离子化进行操作。与等离子体相关的很高的电子温度增加了用于在晶片表面上沉积的现有离解物质的密度。因此,这样的系统能操作在比普通的热CVD系统更低的温度下。这样的低温工艺技术是合乎需要的,并使浅接合的扩散和集成电路内所含金属的内部扩散最小化。还有,PECVD系统适合形成多个电介质层,以便在器件密度增加时用于隔离重叠器件的各个部件。在形成这样的多层电介质层时希望提供一层,其具有良好的缝隙填补、绝缘、应力以及阶梯覆盖特性。当器件的各项尺寸缩小时获得这些特性变得更加困难。
在PECVD系统中,处理半导体时反应器一般在低压下操作。这样的低压是考虑到特殊的气体流动动力学,这点是必须要提到的。在低压下,活性物质的碰撞率就相对较低并且该物质的平均自由程就相对较长。因此希望提供一种反应器,在其处理室内能有均匀的可控的气体流过晶片到排出口,从而提供对晶片的均匀处理。还有,对各种工艺技术也可以使用其他的操作压力,从而希望该反应器能操作在很大的压力范围之内。
清洁反应器在系统的有效操作中发挥重要的作用。反应性很强的物质沉积在该室的壁上,操作部件上,以及该基片的表面上。这样的沉积影响系统的操作,会影响系统内等离子体的势能,是可能最后会污染沉积薄膜的重要粒子源。所以,提供一种能够自清洁的反应器设计是有益的。
本发明的一个目的是提供一种处理半导体晶片和集成电路的反应器。
更具体地说,本发明的一个目的是提供一种通过等离子体增强的化学汽相沉积(PECVD),在晶片的表面上沉积薄膜或层,对晶片进行处理的改进的反应器。
本发明的另一个目的是提供一种反应器,它能操作在很宽的压力范围内。
本发明的另一个目的是提供一种反应器,它能够沉积所需的薄膜并同时蚀刻该薄膜。
本发明还有另一个目的是提供一种能自清洁的反应器。
与本发明相关的一个目的是提供一种反应器,它改进沉积在晶片上的薄膜的质量。
通过这里公开的一般包括一个与一处理室相通的等离子体室的反应器达到了这些和其他的目的。该等离子体室包括第一气体注入进气口,用来接纳至少第一种气体;和激励该气体形成等离子体的电磁能源。该处理室包括一晶片支持件,用来支持要处理的晶片,和第二气体进气口,该口围绕晶片支持件并将反应气体引向晶片支持件。在等离子体室产生的等离子体扩散到处理室中并与反应气体相互作用,将一层材料沉积到晶片上。一真空系统与该处理室相通,用来对反应器抽真空。
本发明还包括一种操作反应器的方法,该反应器有等离子体室和处理室,在处理室内放置晶片支持件,该方法包括如下各步骤:在等离子体室内产生等离子体,将至少一种汽相化学品引入到处理室内晶片支持件附近,并应用射频梯度诱导该等离子体扩散到晶片支持件附近的区域,从而使该等离子体与汽相化学品在晶片支持件附近相互作用,在晶片的表面上形成一材料层。
通过参考附图阅读本发明的详细描述和后附的权利要求书,本发明的其他目的和各项优点将会变得很清楚,附图有:
图1是部分切除的按照本发明一个实施方案的反应器的装配图;
图2是图1显示反应器的等离子体室和处理室的放大的部分切除的横剖面图;
图3a说明按照本发明一个实施方案第一气体注入进气口的横剖面图;
图3b是该第一气体注入进气口的底平面图;
图3c是图3a的进气口中各孔放大的横剖面图;
图4说明按照本发明第二气体注入进气口一个实施方案的部分切除的正面图;
图5a是显示安装在该反应器中基片支持件的顶平面图;
图5b描述按照本发明安装在反应器中部分切除的基片支持件的另一个实施方案;
图6是显示按照本发明的基片支持件和托架组件的放大侧面纵向图;
图7是本发明反应器的横剖面图,说明对泵的同轴位置响应的系统内气体的流动;
图8是简化的部件图,说明有一组按照本发明另一个实施方案的反应器的PECVD系统;
图9说明作为基片支持件偏压功率函数的溅蚀速率;
图10a和10b是在本发明反应器中处理的半导体晶片表面外形的横剖面图;
图11说明作为所加射频(r.f.)偏压的函数,每个硅烷流量的沉积速率。
A.概述
参考附图,在各图中相同的部件用相同的参考标号表示,图1和2表示按照本发明的反应器的一个实施方案。图1说明本发明的一个装配图,其中反应器10一般包括等离子体组件11和处理室16。等离子体组件11包括一等离子体发生源12,这个源12的内部形成等离子体室18和在该室的顶部形成的第一气体注入进气口15。第一进气口15输送至少一种气相化学品到等离子体室18。等离子体组件11可操作地连接到处理室16。处理室16一般包括第二气体注入进气口17,它安装在处理室16用于通过气体输送管线(未显示)接受至少一种第二气相化学品。最好是气体注入进气口17安装在靠近室16的顶部,其外圆周表面沿着处理室16的壁安装,这样形成一个连续的环。还有,用来支持晶片24的水平的晶片支持件20(常称为“夹盘”)定位在室16内。最好是晶片支持件20通过臂部件21连接到室16,从而使晶片支持件20悬挂在处理室16内。将一个晶片24放在晶片支持件20上,并使晶片24的表面朝向上。可以通过匹配的网络22从发电机应用射频能量对晶片支持片20置以偏压。
为了排空反应器10,装设一真空系统。一真空泵26通过口25与处理室16可操作地连接。最好,真空泵26基本与处理室16轴向对齐(称为“同轴泵”),它使反应器10内气体和等离子体的流量控制得到改善。如下面详细讨论的那样,悬挂的晶片支持件20和同轴泵抽吸形成了独特的气体分布系统,设计这种系统是为了在反应器10内提供对称的气体流动,特别是促进晶片24的均匀沉积和/或蚀刻。
发明的反应器适合进行各种处理操作,包括沉积,薄膜回蚀刻,反应器自清洁和同时进行蚀刻和沉积等各步骤。在沉积操作的一个示范实施方案中,将硅烷和氧、氩的混合物通过第二气体注入进气口17输送到处理室16中。在沉积操作时第一气体注入进气口可以不工作,在这种构造中,氧和氩的分子从它们原先注入的处理室16迁移到等离子体室18内,并在等离子体室18内被离子化。另一种可供选择的方式是第一气体注入进气口15可以工作,从而氩和氧是通过第一气体进气口15输送到等离子体室内。还有在另一个实施方案中,氧和氩是通过第一气体注入进气口15和第二气体注入进气口17两者输送的。
在反应器自清洁操作时,将一种化学品如CF4,C2F4或NH3通过第一气体注入进气口15注入到等离子体室,从而气体被电离成离子,然后流过反应器10清除在室16和18以及相连部件表面上不需要的沉积。另一种可供选择的方式是,清洁用的化学品可以通过第二气体注入进气口17注入到反应器内,或者通过第一气体注入进气口15和第二气体注入进气口17两者输送。还有,该反应器适合应用在晶片支持件处感应的射频和直流偏压,用来诱发薄膜回蚀刻操作和同时蚀刻/沉积操作。下面进一步详细描述反应器和各个方法。B.等离子体室
参考图2可以更详细地理解等离子体组件11。等离子体组件11包括一电磁能源2,普通称为“等离子体源”,用于在等离子体室18内产生等离子体。最好等离子体源是在先有技术中分类为感应耦合等离子体(ICP)的类型。在图2所示的优选实施方案中,等离子体源12是圆筒形的,包括由金属制成的螺旋形线圈13和开缝的由非磁性材料制成的静电屏蔽19,该屏蔽19一般放在线圈13内。该线圈13和屏蔽19装在有内壁27和外壁28的封闭体内。最好,内壁27是由低损耗的绝缘材料,如石英或陶瓷制成,而外壁可以包含金属。等离子体在等离子体源12内的等离子体室18中产生。等离子体源12的这个优选实施方案在美国专利5,234,529号中有更充分的描述,这里引入作为参考。
在该屏蔽19中形成的许多纵向伸展的圆周上间隔一定空间的狭缝33。屏蔽19是用在对电容性电场解耦。屏蔽19减小线圈13和产生等离子体的等离子体室18之间的电容性耦合。在一个实施方案中,等离子体源12和屏蔽19企图完全屏蔽所有的电容性部件。最好该屏蔽是接地的。电容性耦合场非常有效地与等离子体耦合,产生很大的和一般不可控的射频等离子体势能。这样的等离子体称为“热等离子体”。热等离子体包含非常高的等离子体粒子能,特别是高的电子温度(Te)。由于高能粒子对反应器壁和反应器其他部件的轰击,得到的高等离子体势能会损坏反应器。这减小了反应器的使用寿命,增加在沉积薄膜中最终造成的金属粒子污染,从而损坏晶片。还有,高的等离子体势能对要处理的晶片可能有坏的影响。通过采用屏蔽19将电容耦合减小到所需要的量,再通过改变屏蔽19中狭缝开口33,可以根据应用情况改变电容性耦合量。例如在清洁操作时,清扫反应器10将反应器10表面上不需要的材料沉积清除,可以应用较高能量的等离子体以便有利于快速清扫。
为了产生等离子体,按照本发明的一个实施方案,至少要将一种气体通过第一气体注入进气口15输送到等离子体室18。通过围绕等离子体室18排列的线圈13将射频能量14引入到等离子体源12,它使等离子室18中的气体激励成为等离子体状态。在等离子体状态,引入的气体分子很大部分电解形成反应物质,包括离子化的原子。最好达到离子密度大于1011离子数/cm3,这称为高密度等离子体(HDP)。最好射频能量的频率是13.56MHz,一种工业标准频率。发电机14一般操作在标准的50欧姆阻抗和先有技术非常熟悉的匹配网络14a,允许射频能量有效地耦合到等离子体源12。另一种可供选择的方式是,气体通过第二气体注入进气口17输送到处理室16内,从而使气体迁移到等离子体室18内,如上述那样直接激励成等离子体状态。
再参考图2,说明第一气体进气口15怎样装配到等离子体组件上。参考描述该进气口15横截面的图3a可进一步了解它的细节。在这个实施方案中,第一气体进气口15基本上是环形的,连接到等离子体源组件12的内圆周表面。进气口15包括多个在进气口基板30上形成的气体入口通道32a和32b。为了输送气相的化学品到进气口15,气体输送管线(未显示)通过气体送料连接器31a和31b连接到每个气体入口通道。在这个实施方案中显示两个气体入口通道,但是可以采用附加的气体入口通道,或者可以只采用一个气体入口通道。
气体入口通道32a和32b分别通到同心圆周方向伸展的充气室34a和34b。各充气室穿过进气口基板30,并被安装在进气口基板30上的板37封闭。在每个充气室34a和34b内设置多个孔36,它们是在盖板37中的钻孔并沿每个充气室的圆周伸展。在一个实施方案中,多个孔36大致上设置在每个充气室34a和34b的底部,并垂直通过盖板37伸展。另一种可供选择的方式是,孔36可按一定角度通过盖板37钻孔而成。选择孔36的构形以便提供最佳的等离子体室18的气体注入,该孔的数目、尺寸、形状和间距可以改变。还有,可以在盖板37中钻成同心排列的孔,并在每个充气室的圆周上伸展。
图3b是说明第一气体注入进气口的底平面图。如在这个实施方案显示的那样,孔36一般在第一气体注入进气口15的底部形成同心圆。最好在内部充气室34b的孔数是五个,在外部充气室34a的孔数是十个。图3c是显示优选的孔36形状的放大图。
在这个实施方案中,气体输送管线通过两个气体送料连接器31a和31b把气相化学品输送给进气口15。每种气体是通过通道32a和32b分立地穿过进气口15输送到充气室34a和34b,因此气体通过与每个充气室相连的许多孔36逸出进气口15,进入到等离子体室18。
第一气体进气口15应用一冷却系统在反应器10操作时冷却进气口15。冷却介质如水通过进气口15循环以便提供基本均匀的冷却。在操作时维持均匀的温度是很重要的,因为在晶片24表面发生的反应是依赖于温度的。还有,如不能维持恒定的温度则可能导致在室壁和相连部件上的沉积会起皮剥离,从而在系统中造成许多粒子。
在本实施方案中,冷却介质是通过冷却剂送料连接器38输送到多个管道42。管道42穿过进气口并被安装在进气口底板30上的盖板43封闭。如图3b所示,管道42穿过进气口底板30。在本发明的修改案中,冷却系统可以有不同的构形。
视镜玻璃39合适地设置在气体注入进气口15的中心,用来提供一视觉界面以便观察等离子体放电。最好视镜玻璃是圆形的并由蓝宝石制成,可以承受等离子体和化学品的轰击。还有视镜玻璃39允许视线直接观察晶片平面,从而允许应用远距诊断如激光干涉仪(可见的)监测薄膜的成长和应用激光干涉仪(IR)监测晶片的温度。
最好进气口15有基本平滑的平表面以便使粒子沉积最小。在这个实施方案中进气口15是由铝制成并有接近抛光的表面光洁度。C.处理室
为了处理半导体晶片和其他IC(集成电路),反应器10包括与等离子体组件11相连并相通的处理室16。再参考图1和2,进一步详细说明处理室16的内部结构。最好处理室16是圆筒形的并由铝这样的材料制成。处理室16最好包括循环冷却介质如水的机构,这样的机构形成在处理室16的壁内,或者另一种是放置在处理室16的外面,以便使处理室16保持恒定的温度。第二气体注入进气口17设置在处理室16内,基本上沿室的表面伸展,形成一个环。晶片支持件20也放置在处理室16内,它支持要处理的晶片24。最好晶片支持件20基本与处理室16的轴对齐,这样使第二气体进气口17环绕该晶片支持件20。将一个阀(未显示),如闸阀设置在处理室16的侧壁中,以便接近室16的内部用来输送晶片24到晶片支持件20上和从其上面移开晶片。泵26和隔离阀25设置在晶片支持件20下面并基本与处理室16轴向对齐。
图4中更具体地显示第二气体注入进气口17。第二气体注入进气口17进一步详细描述在共同待审的专利申请系列号08/499,861中,这里引入作为参考。一般来说,进气口17包括一充气室体40,其可安装在处理室16,可更换的喷嘴结构70可拆卸地安装在充气室体40,并至少形成一个充气室,用来接受气相化学品。形成的充气室体至少带有一根导管,它与充气室连接,将气相化学品输送到该充气室。喷嘴结构70有许多与充气室相连的喷嘴44a和44b,其构造成用于将气相物质从充气室注入到该室。在这个实施方案中,第二气体进气口17有环形的构形,其外圆周表面安装在处理室16的壁上;但是其他的构形也在本发明的范畴之内。
如图4所示的进气口17的优选实施方案,充气室体40有两个平行的圆周方向伸展的在充气室体40内形成的管道46和48。管道46和48部分确定一对充气室,用于分立地接纳处理晶片中所用的气相化学品。每个管道46和48通过供应管线58和60(未显示)经过导管54和56与气体源50和52(未显示)相连接。供应管线58和60垂直伸展与导管54和56相交,称为气体的“底部进料”。在另一种实施方案中,供应管线58和60可以构造在水平伸展穿过处理室16的壁,称为“侧边进料”。
如先有技术已知的那样,最好将带有许多开口(未显示)的缓冲板62安装在每个管道46和48中。缓冲板62阻断气体从导管54和56到喷嘴44a和44b的流动,在喷嘴附近分散气体,使得围绕充气室体40圆周的气体流动分布得更加均匀。选择缓冲板62的构形以便提供最佳的气体分布,该结构有各种各样的变化。还有如果需要可以省略缓冲板62。
喷嘴结构70可拆卸地安装在充气室体40,盖住管道46和48封闭各充气室。喷嘴结构70包括许多基本与管道46对齐的第一喷嘴44a和许多基本与管道48对齐的第二喷嘴44b,用于将保持在充气室内的气相物质注入到处理室16。喷嘴的尺寸、形状、间距、角度和取向可以显著地变化。喷嘴44a和44b的结构最好使在晶片24表面上形成的各层有基本上平的外形。
在反应器10操作时,尤其是PECVD处理晶片24时,喷嘴结构暴露在等离子体中。气体注入进气口17最好是接地,除非喷嘴结构70是由电介质材料构成。
进气口17在高密度等离子体增强的CVD处理中是特别有利的,因为各个因素,如等离子体的高密度,与常规等离子体增强的系统压力大于100毫乇(mTorr)相比较,反应器10小于3-4mTorr的低压和相对较高的电子温度Te对气体的流量都有影响。由于低的室压力,平均自由程大并使离开注入点(即第二气体注入进气口17的出口)的气相化学品很快分散,这样进气口17贴近晶片24的表面可以有效地利用化学品并促进气体在晶片表面均匀的分布。
如上所述,为了在处理中固定晶片24,在处理室16中装设晶片支持件20。晶片支持件20基本上如下所述;但是进一步的细节在共同待审的专利申请系列号08/500,480中,这里引入作为参考。参考图2、5b和7,晶片支持件20一般包括一支持体50,它有支持表面52,用来保持晶片24;一电压源74,连接到支持体使晶片与支持表面静电耦合;和用来冷却晶片的冷却系统78。该冷却系统包括多个在支持表面52中形成的气体分布槽(未显示),用于在晶片24和支持表面52之间均匀分布气相物质。该冷却系统包括一限制机械(未显示),它位于气体源和气体分布槽之间的导管中,以便在部分晶片24与支持表面52分离的情况下基本防止晶片24完全与支持表面事故性的分离。至少从支持体50伸出的一个臂部件21是可安装到带有支持体50的处理室16,并且臂部件21是与处理室16的底分离的。参考图7,在这个实施方案中臂部件21安装在托架组件86,该托架通过板29可拆卸地固定在处理室16。
晶片24通过提升组件(未显示)降落到支持表面52和从支持表面52升起。该提升组件包括许多提升销84和一个电极组件(未显示),提升销穿过在支持表面52中形成的孔。提升销84可以在伸出位置和缩进位置之间移动,在伸出位置该销使晶片24保持在支持表面52之上。
晶片支持件20应用冷却系统用来在处理时冷却晶片。气相物质如氦、氩、氧、氢和类似物分布在支持表面52和晶片24之间,以便提供跨过整个晶片大体上均匀的冷却。在处理时保持整个晶片温度均匀能极大地改善在晶片表面上沉积层的均匀度。
在这个实施方案中,晶片支持件20特别适合用于PECVD处理。电极组件(未显示)包括对支持体50施加射频偏压的机构。电极组件包括一对电气连接器(未显示),它们连接内、外电极,并分别连接到射频源23和匹配网络22。对支持表面52施加射频偏压增加了等离子体在支持表面52的局部区域内的浮动势能。通过对支持表面52施加射频偏压所感应的自偏压加速了离子扩散进入在晶片支持件20区域的等离子体外层并向晶片24扩散。这就增强了溅蚀,这正是在晶片24表面上形成无空隙的材料层时所希望的。
加到晶片支持件20上的射频偏压的频率是在1-60MHz的范围内。最好等离子体源12的射频频率与晶片支持件20的射频频率不同以便使频率拍最小。最好加到晶片支持件20的射频频率近似为3.39MHz,等离子体源12在近似13.56MHz下操作。
在处理时,晶片24放置在支持表面52上,具体是通过先有技术已知的传送装置(未显示)放在提升器销上。直流电压加到晶片支持件20的至少一个电极上,通过静电吸引将晶片牢固地保持在支持表面52。在晶片24处理之后,为了充分消除静电电荷,将该电极接地,以便将晶片24从支持表面52松开。最好支持体50包括两个电极,从而一个电极加正电压,另一个电极加负电压。在晶片24从处理室16移开后,最好将这两个电极的极性颠倒后再用于下一个晶片。
晶片支持件20在处理室16中独特的安装在处理晶片时是特别有益的,因为这有利于气体对称的流动。再参考图2,至少一个臂部件21把晶片支持件20安装到处理室16,因此晶片支持件20是悬挂在处理室16。悬挂晶片支持件20,从而将它从处理室16的底部移开,不象先有技术的系统,可使处理过程中流量控制得到改善,增加了整个反应器10设计中的灵活性。在优选的实施方案中,真空系统泵26大体与处理室16轴向对齐,使反应器10的外形最小并提高泵在操作时的效率。
参考图5a和5b,显示将晶片支持件20安装在处理室16内的两个实施方案。最好如图5b中描述的那样,使用两个臂部件21a和21b都伸向处理室16的一个壁;但是应该理解,臂部件21的数目和它们连接到处理室16的位置是可以改变的。
如图5b所示,每个臂部件21a和21b都有一个纵向伸展的中心孔60。一个臂部件21a的中心孔作为从支持体50来的导管,用于电气连接器62和64,它们把晶片支持件20的电极与电压源74连接起来。还有,电气连接器66和68连接射频源23和电极。电极组件用的气体源76和流体源78分别通过导管72和74连接到支持体50,这两根导管通过臂部件21b的中心孔60伸展。另一种可供选择的方案是图5a说明的使用一个臂部件21安装到处理室壁16,因此流体源78,气体源76,直流电源74和射频源23以及它们各自的连接都通过臂部件21的中心孔伸展到晶片支持件20。
一真空系统可操作地连接到处理室16,用于排空反应器10。再参考图1,真空系统包括一个泵26,和最好一个位于晶片支持件20下面以及在处理室16底部的真空隔离阀25。最好泵26和阀25安装成大体上与处理室16轴向对齐。这样发明的同轴泵的抽吸是特别有利的,并能促进气体在反应器10内的对称流动。如先有技术已知的那样,泵26和阀25最好分别是涡轮泵和闸阀。
本发明的一个特殊优点是本发明的创造性设计所提供的在反应器内气体的对称流动,并相应减小了在晶片24附近区域对泵流动对称性的干扰。参考图6,用流动线表示了在反应器10内对称的流动。
按照这里描述的发明的反应器,侧边安装基片支持件20的位置和同轴泵的抽吸形成独特的气体分布系统,设计这样的系统是为了在反应器10内形成气体对称的流动,并特别有助于跨过晶片24的均匀沉积和/或蚀刻。
图8描述本发明的另一个实施方案,其中一组反应器10a-d通过一先有技术已知的公共输送模件75连接,用来处理一组晶片。每个反应器10a,10b,10c和10d可以进行分别的处理步骤,或者每个反应器中可以进行相同的处理步骤。D.反应器的操作
为了促进等离子体扩散到处理室16中,发明的反应器感应一势能梯度,促使等离子体扩散。等离子体在线圈13附近产生并将向任何方向发散。再参考图3a,第一气体注入进气口有表面41,它的作用是给等离子体一基准电压势能。为了引导等离子体,第一气体注入进气口15最好是接地的,这使等离子体在进气口15的表面41上感应产生微量的正电荷(也就是等离子体势能)。另一种方式是,第一气体注入进气口15可以有一定的势能,而不是接地。这样,等离子体在表面41的局部区域被给予一特定的基准势能。等离子体扩散到处理室16内,等离子体的双极扩散将补充在处理室16内任何损失的带电粒子,从而在化学过程发生的地方,也就是在晶片支持件20处提供稳定的带电粒子供应。还有,产生的等离子体是“冷等离子体”,也就是说等离子体的势能是低的。这样在壁上的势能就非常低,所以等离子体不太可能腐蚀室壁,从而使金属污染减到最小。等离子体是冷的基本是由于静电屏蔽19,它迫使主要的离子化机理是感应的。
在应用射频偏压时,在晶片支持件20和晶片24处感应出自偏压。通过研究偏压射频电流回归路径的面积和晶片的面积之比,可以实现自偏压的控制。在一个实施方案中,当沉积操作时,自偏压加速离子从反应器中等离子体外层到晶片24表面的迁移。在沉积时离子也溅蚀该材料层,从而增加无空隙的致密的高质量薄膜的沉积。加到晶片支持件的射频偏压可以在75到400伏的范围之内,最好是近似于300伏,用于射频偏压的功率是1700瓦。
希望这样选择偏压的频率使得等离子体源频率的干扰(也就是相互调制)最小,还有使频率足够高以便能在晶片上感应出直流自偏压,从而达到这样的偏压不需要过分大的功率。一般来说,当以较低的频率产生较大的感应电压时,会在感应电压的顶部出现波动。在晶片24表面的溅蚀速率与感应偏压成比例。在频率大于2MHz和小于或等于13.56MHz之间可以找到一个可接受的折衷方案。优选实施方案加到晶片支持件20的射频偏压频率是3.39MHz;它的第一个谐波与联邦通讯委员会(FCC)的6.78ISM频率(它代表仪表、科学和医疗频率带)相一致,并与射频等离子体源12的频率完全不同以便防止相互调制,从而使控制系统的不稳定性减到最小。
在图9中说明溅蚀速率对偏压频率的依赖关系。带有一氧化物层的晶片24放在晶片支持件20上。反应器10的压力近似为1.8毫乇(mTorr),近似100sccm(标准立方厘米)的氩气注入到处理室16。使用两个不同的偏压频率3.39MHz和13.56MHz,将溅蚀速率作为加到晶片支持件20偏压功率的函数对两个频率作图。
在反应器10中存在循环的射频能量场,当接近到处理室16中晶片24时是需要特别关注的。本发明的一个特殊的优点是第二气体注入进气口17具有射频电流回归路径的作用,射频电流是在用射频能量对晶片支持件加以偏压而产生的。大部分循环的射频电流通过进气口17作为回归路径。再参考图4,第二气体注入进气口17通过配对的表面80和81接地良好,这些表面最好电镀合适的金属如镍,以便增加在充气室体40和喷嘴部分70之间金属表面与表面的接触。设计金属的对接表面是为了有利于低阻抗接触和使用特殊的垫片材料,如先有技术已知的螺旋形屏蔽。进气口17与地连接,配对的表面80和81为晶片支持件20加上射频偏压时产生的射频能量提供回归的路径。射频电流沿着表面流动,而不是通过金属块;相应地垫片材料要放在靠近金属的对接面。还有在处理室16中进气口17的位置是很重要的;与同等离子体源12的接近度和第一气体注入进气口15对晶片支持件20的接近度比较起来,进气口17放在更接近晶体支持件20的位置。循环的射频电流一般会碰到第二气体注入进气口17,但在碰到其他部件之前已经被除去。与本发明不一样,在射频电流通过等离子体源12回归的情况下,在等离子体源12内的共振可能会有很坏的影响。还有如上所述,各个频率应有足够的差别以便防止这种情况发生。
本发明的反应器10特别适合提供稳定的基本可重复的操作,这是通过提供射频电流以及源12和第一进气口15的等离子体势能与晶片支持件20绝缘而达到的。这样的绝缘允许在第一气体进气口15的表面41处完全确定和保持等离子体的势能。如果没有完全确定的等离子体势能,系统会依据与第一气体进气口15的表面41相接触的等离子体的量,天天都不一样,造成系统漂移,对沉积工艺技术的可重复性有很坏的影响。重要的是要注意到第二气体进气口17的机械构形可以显著地变化但是同时获得如上所述的相同的射频回归的作用,所以所有这样的机械变化都在本发明的范围之内。
如上所述,本发明的一个特殊优点是由本发明的设计,尤其是同轴泵所提供的在反应器内气体的对称流动,它相应地减小了在晶片24附近区域对泵流动对称性的干扰。再参考图6,用流动线表示反应器10内的对称流动,并显示在晶片平面处所希望的均匀径向流动。在低压下气体的平均自由程相对较长,从而使分子之间的碰撞更少。希望在晶片附近的区域中气体的密度是高度均匀的。通过在晶片支持件20处围绕晶片平面提供相等的有效泵抽吸速度,反应器使均匀性得到增强。通过将晶片和该泵与处理室轴向对齐,可以达到相等的有效泵抽吸速度,从而几何取向有利于围绕晶片的等距离流动。这样,气体的流动是对称地跨过晶片,从而增强晶片的均匀处理。还有,在反应器自清洁操作时,气体最好是从第一气体注入进气口15注入,用沿着对称轴的泵增强整个反应器10内的均匀气体流动,从而也增强清洁的作用。
如图10a和10b所绘示的那样,本发明的反应器10的设计有利于均匀薄膜的沉积。提供一晶片24,它有基片83,并在其上面形成许多器件构件85a-d。在器件构件85a与85b之间的间隙空间是0.25微米,在器件构件85a与85c之间的间隙空间0.3微米。纵横比是2.5∶1。一个氧化物层82是在这个发明的反应器中沉积在器件构件85和基片83上。如显示的那样,反应器10和方法成功地沉积无空隙的各层充填0.25和0.30微米的间隙,并具有优良的阶梯覆盖效应。
参考图11,该图说明在本发明中沉积速率是作为加在晶片支持件的射频偏压的函数。沉积速率是标准化的并被代表为:每硅烷流量的沉积速率(微米/分钟·sccm)作为加在晶片支持件上射频偏压功率(瓦)的函数作图。
前面本发明各特定实施方案的描述仅是为了说明和描述。并不能说是毫无遗漏的同时也不打算把本发明局限于公开的具体形式,很显然根据上述所说的内容,许多修改、各种实施方案和各种改变都是可能的。本发明旨在通过这里所附的权利要求书和它们的等价物来限定本发明的范围。

Claims (47)

1.一种等离子增强的化学处理反应器,包括:
等离子体室;
与该等离子体室相通的第一气体注入进气口,用来接受至少一种第一气体;
电磁能源,用于激励所述至少一种第一气体,形成等离子体;
与该等离子体室相通的处理室,因此该等离子体扩散到该处理室内;
晶片支持件,用于支持晶片,该晶片支持件设置在该处理室内;
第二气体进气口,放置在该处理室内,并包围该晶片支持件,用来引导反应气体到该晶片支持件,因此,反应气体与该等离子体互相作用,对支持在该晶片支持件上的晶片表面进行处理;和
真空系统,用于从该处理室的底部排出气体。
2.如权利要求1所述的反应器,其中该电磁能源是感应耦合的等离子体源。
3.如权利要求1所述的反应器,其中该电磁能源包括螺旋形的共振器和放置在该螺旋形共振器内的电容性屏蔽。
4.如权利要求1所述的反应器,其中该晶片支持件连接到该处理室的至少一个表面,从而该晶片支持件悬挂在该处理室内。
5.如权利要求1所述的反应器,其中该真空系统包括涡轮泵。
6.如权利要求5所述的反应器,其中该真空系统还包括设置在该处理室和该泵之问的真空隔离阀,用于将该处理室与该泵隔离。
7.如权利要求1所述的反应器,其中该第二气体进气口包括多个间隔开的喷嘴,用来将气体分布在该晶片附近。
8.如权利要求1所述的反应器,其中该晶片支持件包括有支持表面用于保持该晶片的支持体;
与该支持体连接的电压源,用来静电连接该晶片和该支持表面;
冷却系统,其具有多个在该支持表面中形成的气体分布槽,其结构用来在该晶片和该支持表面之间均匀分布气相物质;
至少一个有两个端部的部件,一个端部与该支持体相连,而另一个端部与该处理室的表面相连。
9.如权利要求8所述的反应器,其中所述至少一个有两个端部的部件连接到该处理室的垂直表面,从而该支持体悬挂在该处理室内。
10.如权利要求8所述的反应器,其中所述至少一个有两个端部的部件是中空的,其中装有至少一根用于将冷却介质通到该支持体的导管和至少一根用于连接直流能量和该晶片支持件的导管。
11.如权利要求10所述的反应器,其中所述至少一个部件还包括至少一根导管,用来连接射频能量和该晶片支持件。
12.如权利要求1所述的反应器,其中该晶片支持件连接到托架组件,和该托架组件连接到该处理室,从而该晶片支持件可以从该处理室移开。
13.如权利要求1所述的反应器,其中该第一气体进气口包括至少一个在其中形成的充气室,用于接受至少一种气相化学品;和
与所述至少一个充气室的每一个相通的多个孔,该孔沿着该充气室设置,用于分布所述至少一种气相化学品到该等离子体室。
14.一种等离子体增强的CVD系统,包括:
有电磁能源的等离子体室,该源有螺旋形的共振器和放置在该螺旋形共振器内的电容性屏蔽,用来产生等离子体;
与该等离子体室相通的处理室,从而该等离子体扩散到该处理室内;和
在该处理室内的支持件,用于支持晶片,使它与扩散到处理室内的等离子体互相作用。
15.一种等离子体增强的CVD系统,包括:
圆筒形的等离子体室,其具有电磁能源,用于产生等离子体;
圆筒形的处理室,与该等离子体室相通,从而等离子体扩散到该处理室内;
在该处理室内的支持件,用于支持晶片,使它与扩散到处理室内的等离子体互相作用;和
真空系统,设置在该处理室的轴上,用来排空该处理室。
16.如权利要求15所述的反应器,其中与该等离子体的互相作用在该晶片表面沉积一层材料。
17.如权利要求15所述的反应器,其中与该等离子体的互相作用蚀刻该晶片表面。
18.一种等离子体增强的CVD系统,包括:
等离子体室;
与该等离子体室相通的第一气体注入进气口,用来接受至少一种第一气体;
电磁能源,用于激励所述至少一种第一气体,形成等离子体;
与该等离子体室相通的处理室,从而该等离子体扩散到该处理室内;
晶片支持件,用于支持晶片,该晶片支持件与该处理室基本上轴向对齐;
第二气体进气口,该第二气体进气口与该处理室基本上轴向对齐,并包围该晶片支持件,用来引导反应气体到该晶片支持件,从而反应气体与等离子体互相作用并在晶片上沉积材料;和
真空系统,与该处理室基本上轴向对齐,用于从该处理室排出气体。
19.如权利要求18所述的反应器,其中该晶片支持件连接到该处理室的至少一个表面,从而该晶片支持件悬挂在该处理室内。
20.如权利要求18所述的反应器,其中该第一气体进气口包括多个在其中形成的管道,用于分立地接受至少一种气相化学品;
和多个与每一个管道相通的孔,用于分立地分布至少一种气相化学品到该等离子体室。
21.如权利要求18所述的反应器,其中该真空系统包括涡轮泵。
22.如权利要求21所述的反应器,其中该真空系统还包括设置在该处理室和该泵之间的真空隔离阀,用于将该处理室与该泵隔离。
23.如权利要求18所述的反应器,其中该第二气体进气口包括多个空间间隔开的喷嘴,用来将气体分布在该晶片附近。
24.如权利要求18所述的反应器,其中该晶片支持件包括有支持表面用于保持该晶片的支持体;
与该支持体连接的电压源,用来静电连接该晶片和该支持表面;
冷却系统,其具有多个在该支持表面中形成的气体分布槽,其构造成用来在该晶片和该支持表面之间均匀分布气相物质;
至少一个有两个端部的部件,一个端部与该支持体相连,而另一个端部与该处理室的表面相连。
25.如权利要求24所述的反应器,其中所述至少一个有两个端部的部件是中空的,其中至少装有一根用于将冷却介质通到该支持体的导管,和至少一根用于连接直流能量和该晶片支持件的导管。
26.如权利要求25所述的反应器,其中所述至少一个有两个端部的部件还包括至少一根用来连接射频能量和该晶片支持件的导管。
27.如权利要求18所述的反应器,其中该晶片支持件连接到托架组件,和该托架组件连接到该处理室,从而,该晶片支持件可以从该处理室移开。
28.一种等离子体增强的化学处理反应器,包括:
圆筒形的等离子体室;
与该等离子体室相通的第一气体注入进气口,用于接受至少一种第一气体;
电磁能源,其具有螺旋形共振器和放置在该螺旋形共振器内的电容性屏蔽,用于激励至少一种第一气体形成等离子体;
圆筒形的处理室,与该等离子体室相通,从而,等离子体扩散到该处理室内;
支持晶片的晶片支持件,该晶片支持件放置在该处理室内的轴上,并与该处理室的至少一个表面相连接,从而该晶片支持件悬挂在该处理室内;
第二气体进气口,设置在该处理室内的轴上,并包围该晶片支持件,用于引导反应气体到该晶片支持件,使反应气体与等离子体互相作用并在晶片上沉积材料;和
真空系统,与该处理室相通并放置在该晶片支持件之下,基本上与该处理室的轴对齐,用于从该处理室排出气体。
29.如权利要求28所述的反应器,其中该真空系统包括涡轮泵。
30.如权利要求28所述的反应器,其中该真空系统还包括放置在该处理室和该泵之间的真空隔离阀,用于将该处理室与该泵隔离。
31.如权利要求28所述的反应器,其中该第二气体进气口包括多个空间间隔开的喷嘴,用来将气体分布在该晶片附近。
32.如权利要求28所述的反应器,其中该晶片支持件包括有支持表面用于保持该晶片的支持体;
与该支持体连接的电压源,用于静电连接该晶片与该支持表面;
冷却系统,其具有多个在该支持表面中形成的气体分布槽,其构造成用来在该晶片和该支持表面之间均匀分布气相物质;
至少一个有两个端部的部件,一个端部与该支持体相连,而另一个端部与该处理室的表面相连。
33.如权利要求32所述的反应器,其中所述至少一个有两个端部的部件是中空的,其中至少装有一根用于将冷却介质通到该支持体的导管,和至少一根用于连接直流能量和该晶片支持件的导管。
34.如权利要求32所述的反应器,其中所述至少一个有两个端部的部件还包括至少一根用来连接射频能量和该晶片支持件的导管。
35.如权利要求28所述的反应器,其中该晶片支持件连接到托架组件,和该托架组件连接到该处理室,从而该晶片支持件可以从该处理室移开。
36.如权利要求28所述的反应器,其中该第一气体进气口包括至少一个在其中形成的充气室,用于分立地接受至少一种气相化学品;和
多个与至少一个充气室的每一个相通的孔,该孔沿着该充气室设置,用于分立地分布至少一种气相化学品到该等离子体室。
37.一种操作等离子体增强的化学处理反应器的方法,该反应器有等离子体室和处理室,该处理室包括放置在该处理室内用来支持晶片的晶片支持件,该方法包括如下步骤:
在等离子体室内产生等离子体,该等离子体室有项部表面;
沿着该顶部表面给等离子体第一电压势能;
将射频能量加到该晶片支持件,从而建立第二电压势能,其中该第一电压势能和第二电压势能之间的差诱导等离子体扩散到邻近该晶片支持件的区域。
38.如权利要求37所述的方法,包括将至少一种气相化学品引入该处理室中该晶片支持件附近的附加步骤,从而在该晶片支持件附近该至少一种气相化学品与等离子体互相作用,在晶片上沉积一层材料。
39.如权利要求37所述的方法,包括将至少一种气相化学品引入到该处理室中该晶片支持件附近,和该等离子体室的附加步骤,从而在该晶片支持件附近该至少一种气相化学品与等离子体互相作用,在晶片上沉积一层材料。
40.如权利要求37所述的方法,包括将至少一种气相化学品引入到该处理室中的附加步骤,从而在该晶片支持件附近该至少一种气相化学品与等离子体互相作用蚀刻该晶片表面。
41.如权利要求37所述的方法,包括将至少一种气相化学品引入到该处理室和该等离子室中的附加步骤,从而在该晶片支持件附近该至少一种气相化学品与等离子体互相作用,蚀刻该晶片表面。
42.如权利要求37所述的方法,其中给等离子体第一势能的步骤还包括将该顶部表面与电气接地相连接和在该顶部表面建立约10-30伏范围内的势能。
43.如权利要求37所述的方法,其中将射频能量加到该晶片支持件的步骤还包括所加射频能量基本上在1-60MHz范围内。
44.如权利要求37所述的方法,其中将射频能量加到该晶片支持件的步骤还包括所加射频能量近似在3.39MHz。
45.如权利要求37所述的方法,包括将至少一种气相化学品引入到该等离子体室的附加步骤,从而该至少一种气相化学品扩散到该处理室内,清洁该等离子体室和处理室的各表面。
46.如权利要求9所述的反应器,其中该至少一个有两个端部的部件是中空的,其中装有至少一根用于将冷却介质通到该支持体的导管,和至少一根用于连接直流能量和该晶片支持件的导管。
47.如权利要求33所述的反应器,其中所述至少一个有两个端部的部件还包括至少一根用来连接射频能量和该晶片支持件的导管。
CNB961952024A 1995-07-10 1996-06-21 等离子体增强的化学处理反应器和方法 Expired - Fee Related CN1160479C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50049395A 1995-07-10 1995-07-10
US08/500,493 1995-07-10

Publications (2)

Publication Number Publication Date
CN1189859A true CN1189859A (zh) 1998-08-05
CN1160479C CN1160479C (zh) 2004-08-04

Family

ID=23989658

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB961952024A Expired - Fee Related CN1160479C (zh) 1995-07-10 1996-06-21 等离子体增强的化学处理反应器和方法

Country Status (9)

Country Link
US (4) US6001267A (zh)
EP (1) EP0839217B1 (zh)
JP (1) JP3701390B2 (zh)
KR (1) KR100241171B1 (zh)
CN (1) CN1160479C (zh)
AT (1) ATE331053T1 (zh)
DE (1) DE69636286T2 (zh)
TW (1) TW283250B (zh)
WO (1) WO1997003224A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1313640C (zh) * 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 等离子体增强式化学气相沉积处理方法
CN100369201C (zh) * 2004-11-17 2008-02-13 上海华虹Nec电子有限公司 一种高密度等离子体化学气相沉淀装置
CN101106070B (zh) * 2003-05-02 2012-01-11 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
CN101866806B (zh) * 2001-06-01 2012-04-25 东京毅力科创株式会社 等离子体处理装置
CN103620729A (zh) * 2011-04-11 2014-03-05 朗姆研究公司 用于半导体处理的电子束增强解耦源
CN106954331A (zh) * 2011-02-03 2017-07-14 泰克纳等离子系统公司 感应等离子体焰炬和管状焰炬体
CN107017147A (zh) * 2016-01-07 2017-08-04 朗姆研究公司 包括多个注气点和双注射器的衬底处理室
CN108118312A (zh) * 2016-11-29 2018-06-05 应用材料公司 用于可流动式cvd的双远程等离子体源的集成
CN109952618A (zh) * 2016-09-09 2019-06-28 C·阿苏 Pert太空垃圾的修复、采矿和精制
CN111341698A (zh) * 2020-03-09 2020-06-26 苏州能讯高能半导体有限公司 一种刻蚀设备
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
CN111744450A (zh) * 2019-03-27 2020-10-09 艾弗若斯股份公司 具有被感测的自清洁输送管道的高压混合装置
US11342163B2 (en) 2016-02-12 2022-05-24 Lam Research Corporation Variable depth edge ring for etch uniformity control

Families Citing this family (487)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193878B1 (en) * 1995-01-25 2001-02-27 Zpm, Inc. Multi-modal method and apparatus for treating a solution
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
JPH1167675A (ja) * 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
US6129807A (en) 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
JPH11193468A (ja) * 1997-12-30 1999-07-21 Shimadzu Corp 薄膜形成装置
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
EP1063690A4 (en) * 1998-03-05 2003-03-26 Tokyo Electron Ltd METHOD AND DEVICE FOR PLASMA WORKING
JPH11274137A (ja) * 1998-03-18 1999-10-08 Kenichi Nanbu エッチング方法
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000183037A (ja) 1998-12-11 2000-06-30 Tokyo Electron Ltd 真空処理装置
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
WO2000040771A1 (en) * 1998-12-30 2000-07-13 Tokyo Electron Limited Large area plasma source
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
WO2000063953A1 (fr) * 1999-04-16 2000-10-26 Tokyo Electron Limited Procede de production d'un dispositif a semi-conducteur et sa ligne de production
US6402848B1 (en) 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
WO2000068985A1 (fr) * 1999-05-06 2000-11-16 Tokyo Electron Limited Appareil de traitement au plasma
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
AU2577001A (en) * 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6426280B2 (en) 2000-01-26 2002-07-30 Ball Semiconductor, Inc. Method for doping spherical semiconductors
JP2001323376A (ja) * 2000-03-06 2001-11-22 Canon Inc 堆積膜の形成装置
EP1139402A1 (en) * 2000-03-27 2001-10-04 Infineon Technologies AG Method and arrangement for depositing a dielectric layer
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
DE10101548C1 (de) * 2001-01-15 2002-05-29 Infineon Technologies Ag Reaktionskammer zur Bearbeitung einer Substratscheibe und Verfahren zum Betrieb derselben
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP2003201566A (ja) * 2002-01-08 2003-07-18 Mitsubishi Electric Corp 化学気相堆積装置
JP4574987B2 (ja) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 処理装置
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
KR100483886B1 (ko) * 2002-05-17 2005-04-20 (주)엔피씨 나노분말 양산용 고주파 유도 플라즈마 반응로
US20060162656A1 (en) * 2002-07-31 2006-07-27 Tokyo Electron Limited Reduced volume, high conductance process chamber
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
AU2003275437A1 (en) * 2002-10-03 2004-04-23 Genus, Inc. Systems and methods for improved gas delivery
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
JP4588329B2 (ja) * 2003-02-14 2010-12-01 東京エレクトロン株式会社 プラズマ発生装置およびリモートプラズマ処理装置
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
JP4179041B2 (ja) * 2003-04-30 2008-11-12 株式会社島津製作所 有機el用保護膜の成膜装置、製造方法および有機el素子
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
JP4074224B2 (ja) * 2003-06-26 2008-04-09 住友重機械工業株式会社 真空装置及び電子ビーム近接露光装置
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
JP4934595B2 (ja) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
CN100462300C (zh) * 2005-07-29 2009-02-18 鸿富锦精密工业(深圳)有限公司 碳纳米管生长装置
US7651587B2 (en) 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
CN101150909B (zh) * 2006-09-22 2010-05-12 中微半导体设备(上海)有限公司 等离子体约束装置
US20070084407A1 (en) * 2005-10-14 2007-04-19 Hon Hai Precision Industry Co., Ltd. Apparatus and method for manufacturing carbon nanotubes
KR100725108B1 (ko) * 2005-10-18 2007-06-04 삼성전자주식회사 가스 공급 장치 및 이를 갖는 기판 가공 장치
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
JP5426811B2 (ja) 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US8419854B2 (en) * 2007-04-17 2013-04-16 Ulvac, Inc. Film-forming apparatus
US20100144122A1 (en) * 2007-07-07 2010-06-10 Xinmin Cao Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
EP2215282B1 (en) * 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
FR2923946A1 (fr) * 2007-11-21 2009-05-22 Alcatel Lucent Sas Equipement pour la fabrication de semi-conducteurs, dispositif de pompage et porte-substrat correspondant
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
KR101004822B1 (ko) 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
EP2299922B1 (en) * 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
WO2011123125A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
EP2297377B1 (en) * 2008-05-30 2017-12-27 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
CN102246274A (zh) * 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
JP5558035B2 (ja) 2009-06-18 2014-07-23 三菱重工業株式会社 プラズマ処理装置及び方法
JP5634037B2 (ja) 2009-06-18 2014-12-03 三菱重工業株式会社 排気構造、プラズマ処理装置及び方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200479181Y1 (ko) * 2009-09-10 2015-12-30 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
CN102762767B (zh) * 2010-03-12 2015-11-25 应用材料公司 具有多重注射道的原子层沉积腔室
CA2794895A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
WO2012026241A1 (ja) * 2010-08-26 2012-03-01 株式会社日立国際電気 半導体装置の製造方法、及び基板処理装置
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9267205B1 (en) 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
JP5940375B2 (ja) * 2012-06-01 2016-06-29 シャープ株式会社 気相成長装置および窒化物半導体発光素子の製造方法
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9745663B2 (en) 2012-07-20 2017-08-29 Applied Materials, Inc. Symmetrical inductively coupled plasma source with symmetrical flow chamber
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140187045A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6473131B2 (ja) 2013-03-12 2019-02-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
KR102130061B1 (ko) 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150294843A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Methods for extending chamber component life for plasma processing semiconductor applications
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016149515A1 (en) * 2015-03-19 2016-09-22 Mattson Technology, Inc. Controlling azimuthal uniformity of etch process in plasma processing chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP7166759B2 (ja) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN107093545B (zh) * 2017-06-19 2019-05-31 北京北方华创微电子装备有限公司 反应腔室的下电极机构及反应腔室
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117400A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. A component, method of manufacturing a component, and method of cleaning a component
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) * 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634740A (en) 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US3656454A (en) * 1970-11-23 1972-04-18 Air Reduction Vacuum coating apparatus
US3916270A (en) 1974-05-02 1975-10-28 Tektronix Inc Electrostatic holddown apparatus
US4184188A (en) 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
JPS5846057B2 (ja) 1979-03-19 1983-10-14 富士通株式会社 プラズマ処理方法
US4514636A (en) 1979-09-14 1985-04-30 Eaton Corporation Ion treatment apparatus
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4324611A (en) 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS57149734A (en) 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
US4365588A (en) * 1981-03-13 1982-12-28 Rca Corporation Fixture for VPE reactor
JPS5816078A (ja) 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS59186955A (ja) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
KR890004881B1 (ko) 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
US4558388A (en) * 1983-11-02 1985-12-10 Varian Associates, Inc. Substrate and substrate holder
GB2162207B (en) * 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS6164124A (ja) * 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
DE3539981C1 (de) * 1985-11-11 1987-06-11 Telog Systems Gmbh Verfahren und Vorrichtung zur Behandlung von Halbleitermaterialien
JPH0691020B2 (ja) * 1986-02-14 1994-11-14 日本電信電話株式会社 気相成長方法および装置
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
US4705951A (en) 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0275965B1 (en) * 1987-01-19 1995-05-31 Hitachi, Ltd. Plasma operation apparatus
JP2750430B2 (ja) * 1987-05-26 1998-05-13 住友金属工業株式会社 プラズマ制御方法
JPH01276736A (ja) * 1988-04-28 1989-11-07 Tokyo Electron Ltd エッチング装置
JPH0730468B2 (ja) 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
JPH02271626A (ja) * 1989-04-13 1990-11-06 Sumitomo Metal Ind Ltd プラズマ装置
EP0395415B1 (en) * 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
JPH0791645B2 (ja) * 1989-04-28 1995-10-04 株式会社日立製作所 薄膜形成装置
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0376112A (ja) * 1989-08-17 1991-04-02 Nippon Sanso Kk 気相成長装置
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
DE69128345T2 (de) * 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
JPH06103683B2 (ja) 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
US5099571A (en) 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
EP0578047B1 (en) * 1992-06-23 1998-05-13 Nippon Telegraph And Telephone Corporation Plasma processing apparatus
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JP3259380B2 (ja) * 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5545591A (en) * 1993-01-29 1996-08-13 Nec Corporation Method for forming an aluminum film used as an interconnect in a semiconductor device
TW249313B (zh) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP2916735B2 (ja) * 1993-03-24 1999-07-05 株式会社日本製鋼所 プラズマ表面改質方法および装置
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
EP0635870A1 (en) 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3172759B2 (ja) 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
TW293983B (zh) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5467249A (en) 1993-12-20 1995-11-14 International Business Machines Corporation Electrostatic chuck with reference electrode
US5452510A (en) 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0668608A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Electrostatic chuck with erosion-resistant electrode connection
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5958134A (en) * 1995-06-07 1999-09-28 Tokyo Electron Limited Process equipment with simultaneous or sequential deposition and etching capabilities
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101866806B (zh) * 2001-06-01 2012-04-25 东京毅力科创株式会社 等离子体处理装置
CN101106070B (zh) * 2003-05-02 2012-01-11 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
CN1313640C (zh) * 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 等离子体增强式化学气相沉积处理方法
CN100369201C (zh) * 2004-11-17 2008-02-13 上海华虹Nec电子有限公司 一种高密度等离子体化学气相沉淀装置
CN106954331B (zh) * 2011-02-03 2019-06-11 泰克纳等离子系统公司 感应等离子体焰炬和管状焰炬体
CN106954331A (zh) * 2011-02-03 2017-07-14 泰克纳等离子系统公司 感应等离子体焰炬和管状焰炬体
US10893600B2 (en) 2011-02-03 2021-01-12 Tekna Plasma Systems Inc. High performance induction plasma torch
CN103620729A (zh) * 2011-04-11 2014-03-05 朗姆研究公司 用于半导体处理的电子束增强解耦源
CN103620729B (zh) * 2011-04-11 2016-10-12 朗姆研究公司 用于半导体处理的电子束增强解耦源
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN107017147B (zh) * 2016-01-07 2020-07-14 朗姆研究公司 包括多个注气点和双注射器的衬底处理室
CN107017147A (zh) * 2016-01-07 2017-08-04 朗姆研究公司 包括多个注气点和双注射器的衬底处理室
US11342163B2 (en) 2016-02-12 2022-05-24 Lam Research Corporation Variable depth edge ring for etch uniformity control
CN109952618A (zh) * 2016-09-09 2019-06-28 C·阿苏 Pert太空垃圾的修复、采矿和精制
CN108118312A (zh) * 2016-11-29 2018-06-05 应用材料公司 用于可流动式cvd的双远程等离子体源的集成
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
CN111744450A (zh) * 2019-03-27 2020-10-09 艾弗若斯股份公司 具有被感测的自清洁输送管道的高压混合装置
CN111744450B (zh) * 2019-03-27 2023-11-07 艾弗若斯股份公司 具有被感测的自清洁输送管道的高压混合装置
CN111341698A (zh) * 2020-03-09 2020-06-26 苏州能讯高能半导体有限公司 一种刻蚀设备
CN111341698B (zh) * 2020-03-09 2022-07-26 苏州能讯高能半导体有限公司 一种刻蚀设备

Also Published As

Publication number Publication date
JP3701390B2 (ja) 2005-09-28
EP0839217A4 (en) 2001-04-04
WO1997003224A1 (en) 1997-01-30
KR100241171B1 (ko) 2000-02-01
KR970008401A (ko) 1997-02-24
US6178918B1 (en) 2001-01-30
ATE331053T1 (de) 2006-07-15
US6375750B1 (en) 2002-04-23
US5792272A (en) 1998-08-11
TW283250B (en) 1996-08-11
DE69636286D1 (de) 2006-08-03
JPH09167762A (ja) 1997-06-24
US6001267A (en) 1999-12-14
CN1160479C (zh) 2004-08-04
EP0839217A1 (en) 1998-05-06
DE69636286T2 (de) 2007-04-12
EP0839217B1 (en) 2006-06-21

Similar Documents

Publication Publication Date Title
CN1160479C (zh) 等离子体增强的化学处理反应器和方法
US11315760B2 (en) Symmetric plasma process chamber
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
US8097120B2 (en) Process tuning gas injection from the substrate edge
CN107338423A (zh) 等离子体源组件
CN100576438C (zh) 增强磁控制等离子体径向分布的约束挡板和流动均衡器
WO2008088110A1 (en) Plasma generating apparatus
US5580384A (en) Method and apparatus for chemical coating on opposite surfaces of workpieces
US11887824B2 (en) Method of cleaning plasma processing apparatus and plasma processing apparatus
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
KR100883561B1 (ko) 자속 채널에 결합된 기판 처리 챔버를 구비한 플라즈마반응기
KR20070099742A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040804

Termination date: 20140621

EXPY Termination of patent right or utility model