CN1262883C - 影印用于平版印刷工艺中的自动化液体分配的方法和系统 - Google Patents

影印用于平版印刷工艺中的自动化液体分配的方法和系统 Download PDF

Info

Publication number
CN1262883C
CN1262883C CNB01815560XA CN01815560A CN1262883C CN 1262883 C CN1262883 C CN 1262883C CN B01815560X A CNB01815560X A CN B01815560XA CN 01815560 A CN01815560 A CN 01815560A CN 1262883 C CN1262883 C CN 1262883C
Authority
CN
China
Prior art keywords
template
substrate
liquid
pattern
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB01815560XA
Other languages
English (en)
Other versions
CN1455888A (zh
Inventor
B·J·周
M·科尔博恩
S·V·斯瑞尼瓦萨恩
T·百利
C·G·威尔森
J·埃克德特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of CN1455888A publication Critical patent/CN1455888A/zh
Application granted granted Critical
Publication of CN1262883C publication Critical patent/CN1262883C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/2901Shape
    • H01L2224/29012Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/3001Structure
    • H01L2224/3003Layer connectors having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/301Disposition
    • H01L2224/3012Layout
    • H01L2224/3016Random layout, i.e. layout with no symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01067Holmium [Ho]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Abstract

公开了一种在平版印刷过程中用于在平坦材料的表面,或包括半导体晶片的基片上分配液体的自动化液体分配方法和系统。该分配方法使用可以在液体分配器尖端和基片之间产生相对横向运动的液体分配器和基片台。还描述了一种用于使用基本上无图案的平坦模板在基片上创建平坦表面的方法和装置。

Description

影印用于平版印刷工艺中的自动化液体分配的方法和系统
发明背景
发明领域
本发明涉及可用于平版印刷工艺中的自动化液体分配方法和系统。
相关技术的描述
平版印刷是能够在基片上印刷尺寸小于50nm的特征的技术。平版印刷具有取代光刻作为用于在100nm以下的区间上制造半导体的一个选择。在九十年代已经引入了几种平版印刷工艺。然而,它们中的大多数都有局限性,使得它们不能真正替代光刻。这些现有技术的局限包括,例如高温变异,需要高压和使用弹性模板。
近年来,平版印刷工艺可用来在室温和低压的条件下,从石英模板上将高分辨率图案转印到基片表面。在Step和Flash平版印刷(SFIL)工艺中,在光固化液体材料存在的情况下,将刚性石英模板与基片表面间接接触。通过使用光将该液体材料固化并将模板上的图案印刷到固化的液体上。
使用刚性和透明模板可以实施作为SFIL工艺的一部分的高分辨率重叠。同时,应用可在低压和室温条件下通过光固化工艺的低粘性液体材料产生最小化的不希望的层变形。这种变形可导致重叠对齐难以实施。
气泡和局部化变形是引起平版印刷制造的装置中大多数缺陷的原因。用于这些印刷过程中的印刷压力可引起扭曲使得重叠对齐极端困难。用于平版印刷过程中的以亚100纳米级的小面积和液体体积使得这种体积的应用对于成功进行平版印刷来说很重要。
在基片上添加液体薄层的现有技术包括使用旋转涂层方法。旋转涂层方法依赖于将相对高粘度(例如大于大约20厘泊(cps))的液体应用在基片上。高粘度液体的使用允许使液体均匀地分配在光可固化组合物中,该组合物是在光存在时能够进行化学反应的组合物。可诱导化学反应的光包括紫外光(例如具有大约300nm到大约400nm波长的光)、有光化性的光、可见光、红外光和诸如电子束和X线源的辐射源。可以用很多形式证明化学变化。化学变化可包括,但不限于引起聚合发生的任何化学反应。在一些实施方案中,化学变化引起形成透镜组合物内引发剂种类的形成,所述引发剂种类能够引发化学聚合反应。
在一个实施方案中,光可固化组合物可以是光阻组合物。光阻组合物包括暴露于UV光下可固化的任何组合物。光阻组合物的特征在于仅有暴露于光(例如紫外光)下的组合物部分可进行光化学反应。通常用于半导体工业的各种光阻组合物都可以使用。在一个实施方案中,光可固化组合物包括酰化单体。
在大多数光刻工艺中,光阻材料典型的具有高粘性(大于大约20厘泊(cps))。在平版印刷中,使用高粘性液体使得很难产生100nm以下的结构。已经发现,低粘性液体产生100nm以下结构的精确的多的复制品。在一个实施方案中,光可固化液体可具有低于大约20cps,优选低于大约10cps,更优选低于大约5cps的粘度。
在将光可固化液体应用到基片上后,将图案模板定向到其上应用有光可固化液体的基片的部分上。在半导体工艺中,可以在单一基片上形成多个半导体装置。每单个半导体装置可以由多层形成。这些层可以在前面重叠形成的层上顺序形成层。由于半导体装置的单个元件小的形体尺寸,每一层与其它层的对齐对于半导体装置的适当功能来说十分关键。在固化前,模板和基片可适当地对齐,以确保新形成的层与下面的层匹配。
在完成模板和基片的对齐之后,可以完成加工。可以将固化光应用到光可固化液体上。固化光引起液体至少部分固化。在液体至少部分固化之后,可以将模板取出,这时固化的液体将包括与蚀刻到模板上的图案互补的结构。
可以通过各种方法将光可固化液体应用到基片上。在一个实施方案中,可以将液体分配器连接到平版印刷装置的顶部框架上。可以构建液体分配器以分配光可固化液体到基片上。可以使用的液体分配器的例子包括,但不限于:基于置换的液体分配器、微螺线管液体分配器和压电促动的液体分配器。通过液体分配器以预定图案可以将液体应用到基片上。预定图案可以是线、多条线或小点图案。
在一个实施方案中,可以将液体分配器连接到平版印刷装置的框架上。可以将基片安装在位于定向台下方的基片台上。可以构建基片台以可控制地在基本上平行于模板的平面上移动。通过相对于液体分配器移动基片可以将光可固化液体应用到基片上,并控制添加到基片上的液体的量。以这种方式,可以以多种图案将液体添加到基片上。可以预定这种图案以消除或最小化模板和基片之间形成气泡或穴。在使用时,当模板靠近基片定位时,可以分配液体以填满模板和基片间的间隙。在填充间隙时,随着液体填充间隙可出现气泡或穴。气泡或穴的形成是由于图案,在填充间隙前液体形成闭合环路。在一些实施方案中,可以预定图案,使得可以避免形成闭合环路的情况。可以用于最小化气泡和穴形成的图案包括正弦曲线图案、X图案和包括多个液体小滴的图案。
平版印刷工艺可用于在基片上创建平面。这里所用的平面性是由基片表面的曲率变化所限定。例如,1微米的平面性指的是表面的曲率变化为限定平面的中心点的上和/或下1微米。在一个实施方案中,可以使用无图案的基本上平的模板来创建基片上的平坦的固化层。平坦的模板可具有多个小于大约500纳米。为了使表面平坦,可以在基片上放置光可固化液体。可以使无图案的基本上平坦的模板与液体接触。通过使固化光导向光可固化液体,可以在基片表面形成平坦的固化液体层。
当有或无图案的模板与基片表面上的液体接触时,液体会在模板上施加变形力。这种力会引起模板以一种会改变所需要的印刷特征的方式发生变形。在一些实施方案中,可以使用这种变形力自我校正模板和基片之间的位置。在大多数实施方案中,需要模板与基片平行。由于基片和模板的表面上都包括多个不规则特征,这里所用的“平行定向”是指中线(即,通过模板或基片的中心所画的实线)彼此平行。在一些实施方案中,这里公开的装置可用于相对于基片以基本上平行的布置来定位模板。这些装置可包括促动器和允许相对于表面准确定位模板的柔性元件。
在另一个实施方案中,用于相对于基片定位模板的装置可包括设计在装置中的预定柔性。例如,可以构建柔性元件以相应施加到模板上的压力来移动。当模板靠近基片定位时,液体对抗模板的压力可引起柔性元件移动。通过控制液体的图案和柔性臂允许的移动量,模板可“自我校正”到基本上平行定向。液体对抗模板的力可引起模板围绕由柔性元件的移动限定的支点而运动。
这里公开的技术可用于多种装置。例如,可以生产半导体装置。半导体装置可包括至少一些具有横向尺寸小于大约200纳米,优选小于大约100纳米的特征。通过在半导体基片上形成印刷的光阻层和使用该印刷的光阻层作为掩模在半导体基片上形成图案可形成这种特征。可以从平版印刷工艺中形成的具有尺寸小于大约250纳米的特征的其它装置包括光电装置、生物装置、MEMS装置、光子装置、表面声波装置、微流体装置和微型光学装置。
附图说明
在阅读下列详细描述和参考伴随的附图之后,本发明的其它目的和优点会变得更加明显,其中:
图1A和1B描绘模板和基片之间的缝隙的横截面图;
图2A-2E描绘平版印刷处理的横截面图;
图3描绘示出平版印刷处理的步骤序列的处理流程图;
图4描绘根据第一实施方案用于形成平版印刷模板处理的横截面图;
图5描绘根据第二实施方案用于形成平版印刷模板处理的横截面图;
图6描绘将可校正的流施加到基片处理的顶视图;
图7描绘用于在平版印刷处理期间分发流的设备的示意图;
图8描绘用于平版印刷处理的流分发图案;
图9描绘包括在基片上的多个滴的流图案;
图10描绘用于在平版印刷处理期间分发流的另一设备的示意图;
图11描绘包括多条实际上是平行的线的流图案;
图12描绘定向台的投影图;
图13描绘组装以进行利用的第一和第二柔性元件;
图14描绘柔性臂的概略图;
本发明允许有各种改进和选择形式,其特定实施方案例如由图解形式显示,这里将详细描述。然而,应该理解的是这里的附图和详细描述不是要将本发明限定到这里所述的特定形式,本发明涵盖落在由所附的权利要求书限定的本发明的精神和范围内的所有改进、等同物和替换物。
本发明的详细描述
这里描述的实施方案一般涉及制造小装置的系统、装置和相关处理。更具体说,这里的实施方案涉及平版印刷的系统、装置和相关处理。例如,这些实施方案具有在基片,例如半导体晶片上印刷非常小的特征的用途。应该理解的是这些实施方案还具有其它方面的用途,例如节省成本的微电机系统(或MEMS)。这些实施方案还具有制造其它种类装置,包括,但不限于用于数据储存的图案磁介质、微型光学装置、生物和化学装置、X-线光学装置等。
现在来看附图,特别来看图1A和1B,图中显示了相对于基片20预先放置的模板12的布置,其中的基片20上准备使用平版印刷来印刷所需的特征。具体地说,模板12可包括一个被制造成具有所需特征的形状的表面14,它反过来可以转引到基片20上。在一些实施方案中,转印层18可放在基片20和模板12之间。转印层18可经印刷层16从模板12接受所需的特征。如本领域所共知的,转印层18可使得从低纵横比印刷的特征中获得高纵横比结构(或特征)。
为了平版印刷的目的,重要的是保持模板12和基片20尽可能地彼此靠近并几乎平行。例如,对于大约100nm宽和大约100nm深的特征来说,为了成功进行平版印刷处理,可能需要在基片20的印刷区域上有大约200nm或更小,变化小于大约50nm的平均间隙。这里所给的实施方案提供了一种控制模板12和基片20之间空间的方法,用于在具有如此严格和精确的间隙要求的条件下成功进行平版印刷。
图1A和1B说明了在平版印刷中可能遇到的两种类型的问题。在图1A中,由于模板12在印刷层16的一端与基片20靠近,所以形成一个楔形印刷层16。图1A说明了在图案转印期间保持模板12和基片20充分平行的重要性。图1B显示太厚的印刷层16。这两种情况都是很不理想的。这里的实施方案提供了能够消除图1A和1B以及现有平版印刷技术中相关的其它定向问题的系统、加工和相关装置。
图2A到2E说明了统一用30代表的平版印刷处理的实施方案。在图2A中,可以在相对于基片20的空间定向模板12,从而在分隔模板12和基片20的空间处形成间隙31。模板12的表面14可以用薄层13处理,薄层13降低模板表面能量并帮助模板12从基片20分离。下面讨论定向的方式和用于控制模板12和基片20之间间隙的装置。下一步,可以用于处理过的表面14的形状一致的物质40填充间隙31。或者,在一个实施方案中,可以在将模板12相对于基片20移动到所需位置之前将物质40分配到基片20上。
物质40可以形成如图1A和1B所示的印刷层16的印刷层。优选物质40可以是液体,从而可以在不需要高温的条件下很容易地填充间隙31,并且可以不需要高压而使间隙靠近。下面将详细讨论关于物质40的适当选择。
可以将固化剂32应用到模板12以引起物质40变硬并确保由间隙31限定的空间的形状。以这种方式,所需的特征44(图2D)可以从模板12转印到基片20的上表面上。也可以将转印层18直接提供到基片20的上表面上。转印层18可以便于从模板12转印的特征放大以产生一个高纵横比的特征。
如图2D所示,可以将模板12从基片20移走而留下所需的特征44。必须使模板12和基片20分隔从而不需要从基片20的表面剪或撕扯而使所需的特征44保持完好。这里的实施方案提供了一种方法和相关的系统,用于在印刷后从基片20剥离或拉出(这里简称为“剥和拉”方法)模板12,从而保持所需的特征44完好无损。
最后,在图2E中,如使用共知的双层阻抗处理,通过转印层18的作用是从模板12转印到基片20的特征44的垂直截面尺寸放大。可以使用已知技术将所得的结构进一步加工以完成制造过程。图3以流程表形式概述了用50统一表示的平版印刷过程的实施方案。首先,在步骤52,可以进行模板和基片的路线定向,从而达到模板和基片的粗略对齐。在步骤52的路线定向的优点在于它可以允许在制造环境中进行预校准,所述制造环境是有许多装置准备以高效并高产率制造的环境。例如,在基片包括半导体晶片上多个模具之一的情况下,在单一生产运行中,一旦在第一模具上进行路线对齐(步骤52),就可以应用到所有其它模具上。以这种方式,生产周期可以缩短并且产率可以增加。
在步骤54,可以将物质分配到基片上。物质可以是光可固化的有机硅溶液,或其它当暴露于活性光时可以变成固体的有机液体。使用液体这一事实可以消除在现有平版印刷技术中所需要的高温和高压。下一步,在步骤56,可以控制模板和基片之间的间隔,从而在两层之间形成相对均一的间隙,以允许在成功印刷中所需的精确定向。这里的实施方案提供了用于步骤56所需的定向(粗糙和精细)的装置和系统。
在步骤58,随着关于基片和物质而精细定向模板,可以使间隙靠近。可以固化该物质(步骤59),使得该物质变硬形成具有模板特征的形状。下面,在步骤60,可以使模板从基片分离,导致印刷在模板上的特征转印到基片上。最后,在步骤62可以使用初步蚀刻以除去残余材料和共知的氧化蚀刻技术以蚀刻转印层来蚀刻结构。
在各种实施方案中,模板上可以与无图案的区域结合,i)模板表面的平面,ii)在模板的凹口,iii)在模板的突出,或iv)上面所述的组合部分。模板上可以形成一个突起,它可以是刚性的。这种突起可以提供一个均匀的间隔层,用于承受颗粒和光学装置,如光栅、全息图等。或者,模板上可以形成能够压缩的突起。
一般来说,模板可以由一个从i)侧面,ii)背面,iii)前面或iv)上述组合经表面接触来支撑它的刚体。模板支持体具有在施加压力的情况下防止其变形或扭曲的优势。在一些实施方案中,可以用反射涂层在模板的某些区域形成涂层。在某些这种实施方案中,在模板的反射涂层处可以形成孔使得光可以穿过或通过模板。这种涂层在利用干涉仪进行模板定位以重叠校正的情况下有用。这种涂层还使得可以用照射通过模板的侧面而不是顶部的固化剂源进行固化。这还可允许在其它事务中在模板支架、间隙探测技术和重叠标记检测系统的设计方面具有弹性。可以在i)法线入射到模板,ii)以一定角度入射到模板,或iii)通过模板的侧表面入射来进行模板的暴露。在某些实施方案中,刚性的模板可以结合有柔性的物质。
可以用光学平版印刷、电子束平版印刷、离子束平版印刷、X线平版印刷、极端紫外线平版印刷、扫描探针平版印刷、聚焦离子束制粉、干涉仪平版印刷、外延生长、薄膜沉积、化学蚀刻、等离子蚀刻、离子制粉、反应离子蚀刻或上述组合来制造模板。可以在具有平面、抛物面、球面或其他表面形态的基片上形成模板。可以与具有平面、抛物面、球面或其他表面形态的基片一起使用模板。基片可以包含有预定的图案形态和/或多材料的膜堆
在图4描绘的实施方案中,可以形成具有高分辨率、浅深度模具图案602和低分辨率、高深度周边图案603两者的单个、厚的基片601。在图5描绘的实施方案中,可以形成具有高分辨率、浅深度模具图案701的薄基片702(例如石英晶片)。然后可以从基片702切割模具图案701。然后可以将模具图案701粘合到厚的基片703上,选择尺寸使其适合于印刷机上的印刷模板固定器。这种粘合优选使用具有与模板材料的固化剂(例如UV光)类似的折射指数的粘合剂704来获得。
在一个实施方案中,模板可以包括用于基于材料的物理特性以及模板的几何结构控制液体扩散的机构。不会引起基片面积损失的可以容受的过量液体的量可以由各种材料的表面能、液体密度和模板的几何结构来限定。因此,可以使用一个缓解结构以夹卷包围在所需模制或图案区域周围的过量液体。这个区域可以统称为“截口”。截口处的缓解结构可以使用用于构建如上所述的图案或模制缓解结构的标准加工技术凹陷入模板表面。
在传统的光刻中,在光掩膜设计中的光学近端校正。可以将类似的概念应用到微型和毫微模制或平版印刷中。在平版印刷过程中的完全不同在于误差不是由于衍射或光干涉引起的,而是由于在加工过程中可能发生的物理特性的变化所引起的。这些变化可确定在模板的几何形态中的特性或设计的缓解校正的需要。
对于平版印刷过程,可能涉及到模板的耐用性和其释放特性。耐用的模板可以由硅或二氧化硅基质构成。其它适宜的材料可包括,但不限于碳化硅锗、氮化镓、锗化硅、蓝宝石、砷化镓、外延型硅、聚硅、氧化栅、石英或它们的组合物。模板可以包括用于形成可检测特征,例如对齐标记的材料。例如,可检测特征可以由SiOx形成,其中的x小于2。在一些实施方案中,x可以是大约1.5。认为这种材料可以对可见光不透明,但是对于某些活性光波长透明。
通过实验已经发现经由处理模板以在模板的表面形成薄层可以改善模板的耐用性。例如,可以在表面上形成烷基硅烷、氟代烷基硅烷或氟代烷基三氯硅烷层,特别是可以使用十三氟代-1,1,2,2-四氢辛基三氯代硅烷(C5F13C2SiCl3)。这种处理可以在模板的表面上形成自装配单层(SAM)。
可以优化表面处理过程以产生低表面能涂层。这种涂层可用于制备用于平版印刷中的模板。处理的模板相对于未处理的模板可具有所需的释放特性。例如,新处理的模板可具有的表面自由能λ处理的为大约14达因/厘米。未处理的模板表面可具有的表面自由能λ处理的为大约65达因/厘米。这里描述的处理方法可产生具有高耐用性水平的膜。耐用性是非常需要的,因为它可以导致模板耐受制造设置中的多次印刷。
可以使用液相加工或蒸汽相加工的方法在模板表面上形成涂层。在液相加工中,可以将基片浸入到前体和溶剂的溶液中。在蒸汽相加工中,可以经隋性载体气体转运前体。获得用于液相处理中的纯的无水溶剂可能很困难。处理期间体相中的水可能导致凝块沉积,这可能反过来影响涂层的最终质量或覆盖。在蒸汽相加工的一个实施方案中,可以将模板放置在真空室内,之后可以循环净化真空室以除去过量水。一些可吸收的水可以保留在模板的表面上。可能需要少量的水以完成形成涂层的表面反应。认为反应可以通过如下反应式描述:
为了促进该反应可以经温度控制盘将模板的温度调整到所需的反应温度。然后将前体送进反应室保留预定的时间。诸如模板温度、前体浓度、流体几何学等反应参数可以根据特定的反应前体和模板基片组合物而定。
如前面提到的,物质40可以是液体,从而使得它可以填充到间隙31的空间内。例如,物质40可以是低粘度液体单体溶液。合适的溶液可以具有大约0.01厘泊到大约100厘泊范围的粘度(在25℃下测定的)。对于高分辨率结构(例如100nm以下)特别需要低粘度。特别是,在50nm以下方案中,溶液的粘度应该在或低于25厘泊,或更优选低于5厘泊(在25℃下测定的)。在一个实施方案中,适当的溶液可以包括50%重量的正丁基丙烯酸盐和50%SIA 0210.0(3-丙烯酰氧丙基三三甲基硅氧烷)硅烷的混合物。可以向该溶液中加入小量的聚合引发剂(例如光引发剂)。例如,3%重量的1∶1 Irg819和Irg 184,和5%重量的SIB 1402.0是比较合适的。这种混合物的粘度大约是1厘泊。
在一个实施方案中,平版印刷系统可包括用于将液体分配的剂片(例如半导体晶片)的表面的自动化液体分配方法和系统。这种分配方法可使用具有一个或多个延伸的分配端的模块自动化液体分配器。这种分配方法可使用X-Y台以在分配器尖端和基片之间产生相对横向运动。这种分配方法可以消除使用低粘度液体的平版印刷中出现的几个问题。例如,该方法可以消除印刷区域的气泡捕获和局部变形。实施方案还可以提供在将液体扩散到印刷模板和基片之间的整个间隙时,没有不必要的浪费过量液体而获得低印刷压力的方法。
在一个实施方案中,对于1平方英寸印刷面积典型的分配体积可以小于大约130nl(毫微升)。分配后,后面的方法可以包括将模板和基片组件暴露于固化剂下。模板从基片的分离可以将转印的图像留在印刷表面的顶部。转印的图像可位于保留暴露的材料的薄层上。保留层可被称为“基层”。该基层应该薄且均匀以用于可制造的印刷。
印刷加工可包括施加到模板和基片界面的高压和/或高温。然而,为了可以制造包括高分辨率重叠对齐的平版印刷过程的目的,应该避免高压和高温。这里描述的实施方案通过使用低粘度光可固化液体而避免了对高温的需要。另外,通过减少将液体扩散到整个印刷区域所需的挤压力而最小化印刷压力。因此,为了基于液体的平版印刷的目的,液体分配过程应该满足以下特性:
1.在模板和基片之间没有应当捕获的气泡;
2.应该避免分配器尖端和基片之间的直接接触以产生最小化颗粒;
3.应该最小化填充模板和基片之间间隙所需的压力;
4.应该最小化非均匀液体集结和/或压力梯度以减少模板-基片界面的非均匀局部变形;和
5.应该最小化分配的液体的浪费。
在一些实施方案中,可以利用在基于可置换的液体分配器尖端和基片之间的相对运动,以在印刷区域形成具有基本上连续线条的图案。通过平衡分配的速率和相对运动可控制线条的截面尺寸和线条的形状。在分配过程中,分配器尖端可以靠近基片固定(例如在数十微米级)。在图6A和6B中描绘形成线条图案的两种方法。图10A和10B中描绘的线条图案是正弦曲线图案。然而,也可能是其它图案。正如图10A和10B中描绘的,可以使用单一分配器尖端1001或多个分配器尖端1002画出连续线条图案。
分配率Vd和基片的相对横向移动速率Vs可以具有如下关系:
Vd=Vd/td(分配体积/分配时期),       (1)
Vs=L/td(线长度/分配时期),          (2)
Vd=aL(这里“a”是线条图案的截面积),(3)
因此,
Vd=aVs                              (4)
初始线条图案的宽度通常可依赖于分配器的尖端的大小。分配器的尖端可以固定。在一个实施方案中,可以使用液体分配控制器1111(如图7所描绘的)以控制分配的液体的体积(Vd)和分配液体所用的时间(td)。如果Vd和td是固定的,线条长度的增加会导致线条图案的截面高度下降。通过增加周期性图案的空间频率可获得图案长度的增加。降低图案高度可导致在印刷过程中转移的液体量下降。与单一分配尖端的情况相比,通过使用连接到同一分配线的多个尖端,可以更快地形成具有长长度的线条图案。在一个实施方案中,基于液体分配系统的转移可包括:液体容器1101、入口管1102、入口阀1103、出口阀1104、注射器1105、注射器促动器1106、分配器尖端1107、X台促动器1109、Y台促动器1110、分配器控制器1111、XY台控制器1112和主控制计算机1113。基于分配器的适宜的转移可以从Hsmilton公司购买。
图8显示了几个不希望的用于低粘度液体的液体图案或分配方法。这些分配图案可导致一个或多个问题包括:捕获气泡、局部变形和浪费液体。例如,在印刷区域1201的中心分配一滴液体,或分配不规则线条1205可导致模板和/或基片的局部变形。在环形图案中分配几滴1202,或线条1206可导致气泡的捕获。其它具有几乎靠近环形图案1204的分配图案可类似地导致气泡捕获。同样地,喷雾或随机放置小滴1203也可导致气泡的捕获。用低粘度液体旋转涂敷基片,会由于薄膜不稳定而可引起“去湿”问题。去湿会造成在基片上形成多个小液滴,而不是形成薄的均匀的液体层。
在一个实施方案中,液体分配方法可分配之后随着其扩展会形成连续体的多个小液滴。图9描绘使用5滴液体的情况。这里,使用5滴仅仅是为了说明的目的。可以使用这种方法实现其它“开放”的图案,诸如正弦线、“W”或“X”。随着模板-基片间隙下降,圆滴1301可变薄变宽,引起周围液滴融合成1302。因此,即使初始分配可以不包括连续形式,扩展的液体会从模板和基片之间的间隙排气。应该以这样的方式分配用于这种方法中的有效图案,即随着小滴的扩展,它们不会捕获模板和基片之间的任何气体。
其体积可以准确指定的小液滴可以使用具有压力支持元件的微型电磁阀来分配。其他类型的液体分配促动器可包括压电促动分配器。与基于转移的液体分配器相比,具有微型电磁阀分配器的系统的优点包括更快的分配时间和更准确的体积控制。这些优点对于大量印刷(例如几个英寸截面)来说尤其需要。图10显示了包括多个微型电磁阀的系统的一个实施例。该系统包括:液体容器1401、入口管1402、入口阀1403、泵1404、出口阀1405、泵控制器1406、微型电磁阀1407、微型电磁阀控制器1408、X-Y台1409、X-Y台控制器1410和主控制计算机1412。基片1411可以放在X-Y台1409上。合适的微型阀分配器系统可以从Lee公司得到。
图11A显示了可以用于大规模(例如,大于几英寸)的印刷区域的分配图案。在这些实施例中,可以分配液体1503的平行线。液体1503的平行线可以按照下列方式扩展:在模板1501接近基片1502时,从缝隙中排出空气。为了按照所希望的方式扩展线1503,模板1501可以以有意楔入的结构(如图11B所示)接近缝隙。即,模板/基片缝隙可以沿着线1503(楔形角可以平行于线1503)接近。
提供分布良好的初始液体层的优点在于可以补偿模板和基片之间的定向误差。这可能是由于液体薄层的流体动力学和定向台的顺应性。模板的下部可以比模板的其它部分早接触分配的液体。随着模板和基片之间间隙变小,模板的下部和上部之间反应力的不平衡增加。这种力的不平衡可能导致模板和基片之间的校正运动,使得它们基本上保持平行。
成功的平版印刷需要模板相对于基片精确地对齐和定向,以控制模板和基片之间的间隙。这里的实施方案可提供在产品制造过程中获得精确的对齐和间隙控制的系统。在一个实施方案中,该系统可包括高分辨率X-Y平移台。在一个实施方案中,该系统可提供用于用于执行模板和基片表面之间初对齐和路线对齐操作的预校准台,以使相对对齐落在精细运动定向台的运动范围内。这个预校准台可已经仅在新的模板安装在仪器(有时称为步进器)的时候才需要。预校准台可以由基板、柔性元件和多个测微计或连接到基板和柔性元件的高分辨率促动器组成。
图12描绘了一个用100代表的系统的组件,它用于关于诸如基片20的有待印刷的基片校准和定向诸如模版12的模板。系统100可用于机器中,如步进器中,用于使用这里描述的平版印刷方法在生产环境中批量制造装置。如图所示,系统100可以安装在顶部框架110上,顶部框架110可对机架120提供支持。机架120可包括用于关于基片路线对齐模版150的预校准台(图12未显示)。
机架120可以用附着在机架120对面的中部框架114上的导轴112a连接到中部框架114。在一个实施方案中,可以使用三个导轴(图12中未显示背导轴),用以在模版150的垂直平移期间支撑上下滑动的机架120。连接到中部框架114周围的相应导轴112a和112b上的滑动器116a和116b可促进机架120的上下运动。
系统100可包括连接到机架120底部的盘形底座122。底座122可连接到盘形柔性环124上。柔性环124可支撑包括第一柔性元件126和第二柔性元件128的下部放置的定向台。典型地,模板150可包括其上印有所希望的特征的一片石英。模板150还可以根据已知的方法包括其它物质。
系统100可包括能够精确控制模板150从而能够获得模板与基片之间精确的定向对齐和保持均匀的间隙的机构。另外,系统100可提供在印刷后不用从基片表面剪下特征而将模板150从基片的表面分离的方法。通过第一和第二柔性元件126和128的结构可分别促进精确的对齐和间隙控制。
在一个实施方案中,通过设计模板,使得基片和模板之间的最小间隙落在可使用感测技术的范围内,可获得高分辨率间隙感测。可以独立于实际图案表面操作这个测量的间隙。这使得可以在感测技术的有用范围内执行间隙控制。例如,如果将使用有用感测范围在大约150纳米到20微米之间的光谱反射性分析技术来分析该间隙,则模板可具有形成在模板上的具有大约150纳米深度或更大的特征。这可保证将被感测到的最小间隙大于150纳米。
由于模板被朝向基片降低,基片和模板之间的液体会被排出。当粘着力接近施加的压缩力的平衡条件时,即片和模板之间的间隙会接近实际底限。这在模板的表面接近基片时可能发生。例如,当14kPa的力在一秒内施加到半径为1厘米的模板上时,该方案中对于1cP液体的间隙高度大约为100纳米。结果,该间隙可以自我限制,保持一个均匀并平行的间隙。同样,可以排出(或夹卷)能清楚预测量的液体。根据仔细的液体动力学和表面现象计算可预测夹卷的液体的体积。
为了规模化生产印刷图案,需要控制模板相对于基片之间的倾度和间隙。为了完成定向和间隙控制,可以使用以标线制造技术生产的模板与诸如以下的间隙感测技术结合,i)单波长干涉仪,ii)波长干涉仪,iii)椭圆光度法,iv)电容感测器或v)压力感测器。
参考图13,柔性接点160和162可以是一个槽口形状,用于提供刚性体164,166,208和210围绕枢轴运动,所属枢轴位于槽口的最薄截面上。这种结构可提供两个(2)基于柔性的亚系统,用于具有退耦顺应运动轴180和200的精细退耦定向台250。柔性元件126和128可经表面紧密配合而组装,使得模板150的运动可围绕支点252发生,基本上消除了可引起从基片上剪切印刷的特征的“摇摆”和其他运动。因此,定向台250可精确地移动模板150围绕支点252运动。从而消除在平版印刷后从基片上剪切所需的特征。
参考图14,图中描绘了一般用300代表的柔性模型,用于理解诸如定向台250这种精细退耦定向台的操作原理。柔性模型300可包括四个(4)平行接点:接点1、2、3和4,用于在它的法向和旋转结构中提供四-棒-连接系统。线310可穿过接点1和2。线312可穿过接点3和4。可选择角α1和α2,使得顺应对齐轴(或定向轴)基本上位于模板-晶片界面254上。为了精细定向变化,位于接点2和3之间的刚性体314可围绕由点C表示的轴旋转。刚性体314可以是柔性元件126和128的刚性体170和206的典型代表。
垂直于第一柔性元件(如图13所示)安装第二柔性元件可提供具有两个退耦定向轴的装置,所属两个轴彼此正交并位于模板-基片界面254上。柔性元件适于具有一个开口,用于使固化剂(例如UV光)穿过模板150。
定向台250能够相对于基片精细对齐和精确运动模板150。理想的是,定向调整可导致在界面上忽略横向运动,并在与界面表面正交的周围忽略扭曲运动,这是由于选择性限制高结构硬度的原因。具有柔性接点160和162的柔性元件126和128另一个优点在于它们不会像在一般摩擦接点处一样产生颗粒。这在成功进行平版印刷过程中是一个重要的因素,因为颗粒对这种过程特别有害。
由于需要精细间隙控制,这里提供的实施方案可需要能够测量模板和基片之间小至500纳米或更小数量级的小间隙的间隙感测方法。这种间隙感测方法可需要大约50纳米或更小的分辨率。理想的是,可实时提供这种间隙感测。实时提供间隙感测可使得要使用的间隙感测产生反馈信号以主动地控制促动器。
本发明参考各种示例性实施方案进行了描述,这些描述不应该理解为对本发明的限制。本领域技术人员在参考本说明书的情况下很明显可以对示意性实施方案以及本发明的其它实施方案进行改进和组合。因此,所附的权利要求包括这些改进或实施方案。

Claims (10)

1.一种在基片上形成层的方法,包括:
将一种液体施加到所述基片上作为多个具有固定距离间隔的液滴,在所述多个具有固定距离间隔的液滴之间存在着气体;
将模板放置叠加在所述多个具有固定距离间隔的液滴上,并与所述基片保持定距离间隔;以及
扩展所述多个具有固定距离间隔的液滴中的液体以在所述基片上形成所述液体的连续层,同时保持所述模板与基片间隔定距离,以限定它们之间的间隙,从而所述多个具有固定距离间隔的液滴可以限定所述间隙中通过所述间隙排气的开口。
2.权利要求1的方法,其中将所述液体施加到所述基片上还包括使用压电促动的分配器分配所述液体。
3.权利要求1的方法,其中进一步包括在将所述液体施加到所述基片上之前,在所述基片上形成转印层。
4.权利要求1的方法,其中施加所述液体还包括将所述多个具有固定距离间隔的液滴配置成一种形状,该形状是从一组由曲线和线性形状构成的形状中选择出来。
5.权利要求1的方法,其中所述扩展进一步包括用所述液体基本上填充该间隙。
6.权利要求1的方法,其中放置所述模板进一步包括定位所述基片上的模板基本上非平行于所述基片;而所述扩展进一步包括朝着所述基片移动所述模板,其中随着所述模板朝向所述基片移动,所述模板相对于所述基片保留在一个基本上非平行的方向;当所述模板与所述液体接触之后,将所述模板定位在与所述基片基本平行的方向以限定所述间隙。
7.权利要求1的方法,其中放置所述模板进一步包括排列所述有图案模板位于第一平面,所述基片位于第二平面,使所述第一平面相对于所述第二平面倾斜延伸;而所述扩展进一步包括在所述有图案模板和所述基片之间提供相对运动,使得所述有图案模板在不同时间接触所述预定图案的不同区域,直到获得所述空间关系,此时,所述有图案模板基本上平行于所述基片而定位,限定所述间隙。
8.权利要求1、2、3、4、5、6和7中任一所述的方法,其中施加所述液体进一步包括以预定图案安排所述多个具有固定距离间隔的液滴。
9.权利要求8的方法,其中所述预定图案包括多个离散的所述液体材料液滴,并且其中所述液滴包括预定体积并间隔预定距离,从而抑制气泡形成。
10.权利要求8的方法,其中从包括所述液体材料的连续正弦曲线图案、所述图案材料的连续X形图案、多个所述液体材料的彼此平行间隔的连续线中选择所述预定图案。
CNB01815560XA 2000-07-17 2001-07-17 影印用于平版印刷工艺中的自动化液体分配的方法和系统 Expired - Fee Related CN1262883C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21875400P 2000-07-17 2000-07-17
US60/218,754 2000-07-17

Publications (2)

Publication Number Publication Date
CN1455888A CN1455888A (zh) 2003-11-12
CN1262883C true CN1262883C (zh) 2006-07-05

Family

ID=22816382

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB01815560XA Expired - Fee Related CN1262883C (zh) 2000-07-17 2001-07-17 影印用于平版印刷工艺中的自动化液体分配的方法和系统

Country Status (7)

Country Link
US (2) US20020094496A1 (zh)
EP (2) EP2270592B1 (zh)
JP (2) JP4740518B2 (zh)
KR (1) KR100827741B1 (zh)
CN (1) CN1262883C (zh)
AU (1) AU2001277907A1 (zh)
WO (1) WO2002006902A2 (zh)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2003218658A (ja) * 2002-01-17 2003-07-31 Nec Corp 弾性表面波素子及び半導体装置の製造方法
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
JP4411575B2 (ja) 2002-04-25 2010-02-10 セイコーエプソン株式会社 電子装置の製造装置
GB0213722D0 (en) 2002-06-14 2002-07-24 Suisse Electronique Microtech Micro electrical mechanical systems
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
MY164487A (en) * 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
JP4563181B2 (ja) 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド 基板の面曲がりを使用する倍率補正
JP4340086B2 (ja) 2003-03-20 2009-10-07 株式会社日立製作所 ナノプリント用スタンパ、及び微細構造転写方法
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US20050257709A1 (en) * 2003-08-28 2005-11-24 Tony Mule Systems and methods for three-dimensional lithography and nano-indentation
JP2005101201A (ja) * 2003-09-24 2005-04-14 Canon Inc ナノインプリント装置
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20080210158A1 (en) * 2004-02-13 2008-09-04 Adolf Munzer Device for Applying a Liquid Dopant Solution on a Wafer
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
JP4393244B2 (ja) * 2004-03-29 2010-01-06 キヤノン株式会社 インプリント装置
JP4481698B2 (ja) 2004-03-29 2010-06-16 キヤノン株式会社 加工装置
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
WO2005120834A2 (en) 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
KR101031693B1 (ko) * 2004-06-18 2011-04-29 엘지디스플레이 주식회사 패턴형성용 레지스트 및 이를 이용한 패턴형성방법
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US20060027036A1 (en) * 2004-08-05 2006-02-09 Biggs Todd L Methods and apparatuses for imprinting substrates
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) * 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) * 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US7676088B2 (en) 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
JP4617917B2 (ja) * 2005-02-21 2011-01-26 セイコーエプソン株式会社 膜パターン形成方法、及び弾性表面波デバイスの製造方法
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
EP1891662A4 (en) * 2005-06-13 2008-12-10 Korea Mach & Materials Inst EMBODIMENT FOR FORMING A PATTERN WHEN EQUAL TO CONTACT THROUGH ADDITIONAL CONSTANT PRINTING
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
JP4827513B2 (ja) * 2005-12-09 2011-11-30 キヤノン株式会社 加工方法
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
KR100808969B1 (ko) 2005-12-29 2008-03-04 서울시립대학교 산학협력단 탄성 표면파 필터의 제작 방법
KR101197061B1 (ko) * 2006-03-27 2012-11-06 삼성디스플레이 주식회사 표시장치 제조용 몰드와 이를 이용한 표시장치의 제조방법
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
KR20090003153A (ko) * 2006-04-03 2009-01-09 몰레큘러 임프린츠 인코퍼레이티드 다수의 필드와 정렬 마크를 갖는 기판을 동시에 패턴화하는방법
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
JP4795300B2 (ja) * 2006-04-18 2011-10-19 キヤノン株式会社 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7931460B2 (en) * 2006-05-03 2011-04-26 3D Systems, Inc. Material delivery system for use in solid imaging
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
JP4819577B2 (ja) * 2006-05-31 2011-11-24 キヤノン株式会社 パターン転写方法およびパターン転写装置
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
US20080102380A1 (en) * 2006-10-30 2008-05-01 Mangat Pawitter S High density lithographic process
TW200842934A (en) * 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control
KR100814264B1 (ko) * 2007-05-18 2008-03-18 주식회사 아바코 임프린트 리소그래피 공정에서의 스탬프와 임프린트된기판과의 분리 방법
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
JP2010080630A (ja) * 2008-09-25 2010-04-08 Canon Inc 押印装置および物品の製造方法
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
JP2011066238A (ja) * 2009-09-17 2011-03-31 Toshiba Corp パターン形成用テンプレートの作製方法
JP5774598B2 (ja) 2009-11-24 2015-09-09 エーエスエムエル ネザーランズ ビー.ブイ. アライメント及びインプリントリソグラフィ
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
SG172492A1 (en) * 2009-12-16 2011-07-28 Choong Whye Kwok Method and apparatus for making polymeric resin-based optical components via ultra-violet radiation
JP5033867B2 (ja) * 2009-12-28 2012-09-26 株式会社日立ハイテクノロジーズ 微細構造体、微細構造体の製造方法、及び微細構造体製造用の重合性樹脂組成物
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
JP2012169537A (ja) * 2011-02-16 2012-09-06 Toshiba Corp インプリント装置、インプリント方法およびプロセス条件選択方法
JP5932286B2 (ja) * 2011-10-14 2016-06-08 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP5930699B2 (ja) * 2011-12-20 2016-06-08 キヤノン株式会社 インプリント装置、インプリント方法およびデバイスの製造方法
JP5942551B2 (ja) * 2012-04-03 2016-06-29 大日本印刷株式会社 ナノインプリント用マスターテンプレート及びレプリカテンプレートの製造方法
JP5907788B2 (ja) * 2012-04-11 2016-04-26 株式会社図研 情報処理装置、情報処理方法、プログラムおよび基板製造システム
JP6120678B2 (ja) * 2013-05-27 2017-04-26 キヤノン株式会社 インプリント方法、インプリント装置及びデバイス製造方法
US10488753B2 (en) * 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP6141500B2 (ja) * 2015-09-08 2017-06-07 キヤノン株式会社 ナノインプリントリソグラフィーにおける充填時間を短縮するための基板の前処理
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
WO2017176538A1 (en) * 2016-04-06 2017-10-12 The Procter & Gamble Company Method of making a patterned flexographic printing plate
JP6281592B2 (ja) * 2016-04-06 2018-02-21 大日本印刷株式会社 レプリカテンプレートの製造方法
US11131922B2 (en) * 2016-06-06 2021-09-28 Canon Kabushiki Kaisha Imprint lithography template, system, and method of imprinting
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
KR20180023102A (ko) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 와이어 그리드 패턴 및 이의 제조방법
JP6924828B2 (ja) 2016-10-18 2021-08-25 モレキュラー インプリンツ, インコーポレイテッドMolecular Imprints,Inc. 構造のマイクロリソグラフィ加工
US10258741B2 (en) 2016-12-28 2019-04-16 Cequr Sa Microfluidic flow restrictor and system
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7425602B2 (ja) 2017-03-08 2024-01-31 キヤノン株式会社 パターン形成方法、ならびに加工基板、光学部品及び石英モールドレプリカの製造方法、ならびにインプリント前処理コーティング材料及びそれとインプリントレジストとのセット
WO2018164017A1 (ja) 2017-03-08 2018-09-13 キヤノン株式会社 硬化物パターンの製造方法、光学部品、回路基板および石英モールドレプリカの製造方法、ならびにインプリント前処理コート用材料およびその硬化物
CA3054965A1 (en) * 2017-03-16 2018-09-20 Molecular Imprints, Inc. Optical polymer films and methods for casting the same
JP6875233B2 (ja) * 2017-09-12 2021-05-19 キオクシア株式会社 テンプレート基板、テンプレート基板の製造方法、及び半導体装置の製造方法
KR102527262B1 (ko) 2017-10-17 2023-04-28 매직 립, 인코포레이티드 중합체 생성물들을 주조하기 위한 방법들 및 장치들
WO2019160058A1 (ja) * 2018-02-19 2019-08-22 Scivax株式会社 モールド形成方法およびモールド
US11927883B2 (en) 2018-03-30 2024-03-12 Canon Kabushiki Kaisha Method and apparatus to reduce variation of physical attribute of droplets using performance characteristic of dispensers
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
WO2020081697A1 (en) 2018-10-16 2020-04-23 Magic Leap, Inc. Methods and apparatuses for casting polymer products
CN111825311A (zh) * 2019-04-17 2020-10-27 中国兵器工业第五九研究所 光学玻璃阵列透镜微纳热压成型工艺
JP7441037B2 (ja) * 2019-12-13 2024-02-29 キヤノン株式会社 インプリント装置、情報処理装置、インプリント方法及び物品の製造方法
US11656546B2 (en) 2020-02-27 2023-05-23 Canon Kabushiki Kaisha Exposure apparatus for uniform light intensity and methods of using the same
US11443940B2 (en) * 2020-06-24 2022-09-13 Canon Kabushiki Kaisha Apparatus for uniform light intensity and methods of using the same
US11719533B2 (en) * 2021-03-28 2023-08-08 Kla Corporation Modulation of scanning velocity during overlay metrology
KR102474000B1 (ko) 2022-03-07 2022-12-05 주식회사피에스디이 나노 임프린팅을 위한 디스펜서 및 그 제조 방법
KR102454787B1 (ko) 2022-05-06 2022-10-14 주식회사피에스디이 몰드 자동 교체형 나노 임프린팅 리소그래피 장치 및 그 방법
CN115513072B (zh) * 2022-11-08 2023-03-24 四川遂宁市利普芯微电子有限公司 一种基于流体点胶的微电子器件封装方法

Family Cites Families (495)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1236304A (en) 1917-02-03 1917-08-07 Riley L Howell Cushioned hand-stamp.
GB494877A (en) 1938-03-01 1938-11-02 Johnson Bros Hanley Ltd Improvements in or relating to pottery ware and the manufacture thereof
US3574485A (en) 1958-11-28 1971-04-13 Broido Louis Method and apparatus for movement of liquids by electromagnetic means
GB1139808A (en) 1965-05-11 1969-01-15 Hayes Engineers Leeds Ltd Improvements in or relating to tracer valve control units
GB1146618A (en) 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3577593A (en) 1968-10-29 1971-05-04 Bird & Son Apparatus for heat and vacuum-pressure machine molding
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
JPS4826845B1 (zh) 1970-11-25 1973-08-16
US3807027A (en) * 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) * 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) * 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
JPS5226171A (en) * 1975-08-22 1977-02-26 Nippon Telegr & Teleph Corp <Ntt> Mask creation method
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
JPS577931Y2 (zh) 1977-06-03 1982-02-16
NL7710555A (nl) 1977-09-28 1979-03-30 Philips Nv Werkwijze en inrichting voor het vervaardigen van informatie bevattende platen.
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
SE412303B (sv) * 1978-06-09 1980-02-25 Ericsson Telefon Ab L M Telefonapparat med magasinfack
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) * 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
JPS605935Y2 (ja) 1978-12-05 1985-02-25 アイダエンジニアリング株式会社 フィ−ドバ−移動装置
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
JPS5820046U (ja) 1981-07-31 1983-02-07 東陶機器株式会社 衛生設備室における天壁と側壁との取付装置
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
JPS5882726A (ja) * 1981-11-12 1983-05-18 Toppan Printing Co Ltd 高密度情報担体の製造方法
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4614300A (en) 1982-04-19 1986-09-30 E. I. Du Pont De Nemours And Company Computerized spray machine
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
US4512386A (en) * 1982-11-12 1985-04-23 Swiss Aluminium Ltd. Adjustable mold for electromagnetic casting
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
JPS6011905U (ja) 1983-07-01 1985-01-26 ダイハツ興産株式会社 2壁間の接合構造
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5571471A (en) 1984-08-08 1996-11-05 3D Systems, Inc. Method of production of three-dimensional objects by stereolithography
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
JPH0740378B2 (ja) * 1984-12-07 1995-05-01 株式会社日立製作所 情報記録担体複製方法及びその装置
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0245461A1 (en) * 1985-11-18 1987-11-19 EASTMAN KODAK COMPANY (a New Jersey corporation) Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
JPH0770780B2 (ja) * 1986-02-07 1995-07-31 株式会社日立製作所 半導体レ−ザ
NL8600809A (nl) 1986-03-28 1987-10-16 Philips Nv Methode om een matrijs te voorzien van een loslaag.
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
JPS62183582U (zh) * 1986-05-09 1987-11-21
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3622540A1 (de) 1986-07-04 1988-01-07 Bayer Ag Verfahren zur herstellung von spannungsarmen formteilen
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6334108A (ja) 1986-07-30 1988-02-13 Hitachi Ltd 光デイスク用基板の製造方法および装置
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
JPS63138730U (zh) 1987-03-03 1988-09-13
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
JPS63194956U (zh) 1987-05-29 1988-12-15
JPS6414752A (en) * 1987-07-09 1989-01-18 Seiko Epson Corp Production of optical recording medium
US5132069A (en) 1987-07-10 1992-07-21 Newton John R Method of injection molding composite articles
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
JPH01206007A (ja) * 1988-02-12 1989-08-18 Canon Inc 情報記録媒体用基板の製造方法
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JPH0822526B2 (ja) 1988-08-31 1996-03-06 三菱電機株式会社 中空セラミックス殻の製造方法
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US4982796A (en) * 1988-10-18 1991-01-08 Arch Development Corp. Electromagnetic confinement for vertical casting or containing molten metal
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH0292603U (zh) 1989-01-09 1990-07-23
JPH02192045A (ja) * 1989-01-20 1990-07-27 Fujitsu Ltd 光ディスク基板の製造方法
JPH02289311A (ja) * 1989-01-25 1990-11-29 Hoya Corp スタンパーおよびこのスタンパーを用いる情報記録媒体用基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
JPH0781024B2 (ja) 1989-03-22 1995-08-30 旭硝子株式会社 撥水性.防汚性を有する透明基材およびそれを装着した構造物
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
JP2906472B2 (ja) 1989-09-01 1999-06-21 旭硝子株式会社 透明成形体
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP2811337B2 (ja) * 1989-12-07 1998-10-15 日化エンジニアリング株式会社 ディスク製造方法
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
JPH03283435A (ja) * 1990-03-30 1991-12-13 Toshiba Corp 樹脂吐出装置
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP3039788B2 (ja) * 1990-05-18 2000-05-08 ティーディーケイ株式会社 光ディスク用基板の製造方法および光ディスク
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5016691A (en) 1990-06-19 1991-05-21 Lucien Bernier Apparatus for centering template guide on router
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
JPH04252040A (ja) * 1991-01-11 1992-09-08 Kawasaki Steel Corp 接着剤塗布装置およびダイボンディング方法
JP2796899B2 (ja) 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
SE9100904L (sv) 1991-03-26 1992-08-24 Lennart Olsson Saett och anordning foer foeraendring av vinkelhastigheten hos ett drivet roterande mediabaerorgan
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
JPH04327939A (ja) * 1991-04-26 1992-11-17 Matsushita Electric Ind Co Ltd 樹脂製凹版およびその製造方法
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0547051A (ja) * 1991-08-19 1993-02-26 Sony Corp 光デイスクの製造方法
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US5543228A (en) * 1992-11-10 1996-08-06 Dai Nippon Printing Co., Ltd. Molded relief hologram
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (ja) 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
JPH06183561A (ja) 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5594042A (en) 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US6279474B1 (en) 1993-08-13 2001-08-28 Heidelberger Druckmaschinen Ag Method and device for transferring ink in a printing unit of an offset printing press
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
JPH07221006A (ja) * 1994-01-28 1995-08-18 Sony Corp 平坦化膜の形成方法およびその形成装置
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5573877A (en) 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
JPH07335532A (ja) * 1994-06-13 1995-12-22 Fujitsu Ltd 樹脂の回転塗布方法
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) * 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5477058A (en) 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
JPH08171744A (ja) * 1994-12-16 1996-07-02 Canon Inc 光記録媒体用スタンパー原盤の製造方法
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
JPH08241899A (ja) * 1995-03-06 1996-09-17 Matsushita Electric Ind Co Ltd チップのボンディング方法
DE19509452A1 (de) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5625193A (en) 1995-07-10 1997-04-29 Qc Optics, Inc. Optical inspection system and method for detecting flaws on a diffractive surface
JP3624476B2 (ja) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5804017A (en) 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
JP3372258B2 (ja) * 1995-08-04 2003-01-27 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン リソグラフィ・プロセス用のスタンプ
US6518168B1 (en) 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
JPH0963134A (ja) * 1995-08-24 1997-03-07 Sony Corp 光学記録媒体の製造方法
JPH09128820A (ja) * 1995-08-25 1997-05-16 Sony Corp 光学記録媒体の製造方法と製造装置
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
JPH0991771A (ja) * 1995-09-27 1997-04-04 Sony Corp 光学記録媒体の製造方法
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) * 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5747102A (en) * 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
US5923408A (en) 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
JP2842362B2 (ja) * 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5817376A (en) 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
JPH09278490A (ja) 1996-04-11 1997-10-28 Matsushita Electric Ind Co Ltd 撥水性ガラスコート及びその製造方法
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6753131B1 (en) 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JP2002513445A (ja) 1996-09-06 2002-05-08 オブデュキャット、アクチボラグ 導電材料内の構造の異方性エッチング方法
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6036055A (en) 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
DE19648844C1 (de) 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Einrichtung und Verfahren zur Abformung mikrosystemtechnischer Strukturen
FR2756400B1 (fr) 1996-11-28 1999-12-03 Bornes De Distribution Sofabod Procede et dispositif d'autorisation et de controle par carte a memoire pour la distribution et le comptage de consommation d'au moins un fluide ou energie
JPH10172897A (ja) 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5885514A (en) 1996-12-09 1999-03-23 Dana Corporation Ambient UVL-curable elastomer mold apparatus
US6048623A (en) 1996-12-18 2000-04-11 Kimberly-Clark Worldwide, Inc. Method of contact printing on gold coated films
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
DE19710420C2 (de) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
JP3296239B2 (ja) 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5996415A (en) 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
IT1294942B1 (it) 1997-08-01 1999-04-23 Sacmi Procedimento di pressatura di polveri ceramiche ed attrezzatura di attuazione dello stesso.
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6539286B1 (en) 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
EP1060299A1 (en) 1998-03-05 2000-12-20 Obducat AB Method of etching
SE511682C2 (sv) 1998-03-05 1999-11-08 Etchtech Sweden Ab Motstånd i elektriska ledare på eller i mönsterkort, substrat och halvledarbrickor
JPH11251337A (ja) * 1998-03-06 1999-09-17 Hitachi Chem Co Ltd 半導体装置の製造方法及び半導体装置
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP2000039702A (ja) * 1998-04-30 2000-02-08 Ebara Corp 微細パタ―ンの転写加工方法
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6239590B1 (en) 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6027595A (en) 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
DE19832414C2 (de) 1998-07-18 2000-07-20 Rob Borgmann Siebdruckverfahren zum Bedrucken von ebenflächigen Gegenständen, insbesondere Glasscheiben, mit einem Dekor und Vorrichtung zur Durchführung des Verfahrens
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
AU1444800A (en) 1998-10-09 2000-05-01 Trustees Of Princeton University, The Microscale patterning and articles formed thereby
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6726195B1 (en) 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6437891B1 (en) 1998-10-27 2002-08-20 Agere Systems Guardian Corp. Integrated dual-wavelength transceiver
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
JP2000194142A (ja) * 1998-12-25 2000-07-14 Fujitsu Ltd パタ―ン形成方法及び半導体装置の製造方法
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US20020122873A1 (en) 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
DE19913076A1 (de) 1999-03-23 2000-10-19 Hahn Schickard Ges Vorrichtung und Verfahren zum Aufbringen von Mikrotröpfchen auf ein Substrat
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4286374B2 (ja) 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
JP4151151B2 (ja) 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
JP2000298352A (ja) * 1999-04-14 2000-10-24 Jsr Corp 電子部品用材料およびその使用方法
KR100335070B1 (ko) * 1999-04-21 2002-05-03 백승준 압축 성형 기법을 이용한 미세 패턴 형성 방법
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP2000323461A (ja) * 1999-05-11 2000-11-24 Nec Corp 微細パターン形成装置、その製造方法、および形成方法
JP3939048B2 (ja) 1999-05-17 2007-06-27 セイコーインスツル株式会社 圧電アクチュエータ
BR0010743A (pt) 1999-05-20 2002-04-16 Lancer Partnership Ltd Dispensador de bebida, processos para projetar um dispensador de bebida ou de reconfigurar um dispensador de bebida existente, para receber entrada de usuário em um dispensador de bebida, para enviar informação de um dispensador de bebida para um usuário, opara dispensar uma bebida a partir de um dispensador de bebida, de comunicação externa para um dispensador de bebida, e de comunicação externa de dispensador de bebida, sistema para monitoração de fluido refrigerante congelado, processo para controlar uma unidade de refrigeração de um dispensador de bebida, sistema para monitoração de nìvel de lìquido, e, processo para controlar um sistema de carbonação de um dispensador de beebida
US6522411B1 (en) 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6306467B1 (en) 1999-06-14 2001-10-23 Ford Global Technologies, Inc. Method of solid free form fabrication of objects
US6188150B1 (en) 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6780001B2 (en) 1999-07-30 2004-08-24 Formfactor, Inc. Forming tool for forming a contoured microelectronic spring mold
US6809802B1 (en) 1999-08-19 2004-10-26 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6512401B2 (en) 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6377868B1 (en) 1999-10-28 2002-04-23 Ecolab Inc. Data processing system for managing chemical product usage
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
AU2001228987A1 (en) 2000-01-21 2001-07-31 Obducat Aktiebolag A mold for nano imprinting
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6337262B1 (en) 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
SE515962C2 (sv) 2000-03-15 2001-11-05 Obducat Ab Anordning för överföring av mönster till objekt
JP2001277594A (ja) 2000-03-31 2001-10-09 Nec Corp 画像形成装置及び画像形成方法
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
SE0001369L (sv) 2000-04-13 2001-10-14 Obducat Ab Förfarande vid samt apparat för bearbetning av substrat
SE0001368L (sv) 2000-04-13 2001-10-14 Obducat Ab Apparat och förfarande för elektrokemisk bearbetning av substrat
SE0001367L (sv) 2000-04-13 2001-10-14 Obducat Ab Apparat och förfarande för elektrokemisk bearbetning av substrat
SE516194C2 (sv) 2000-04-18 2001-12-03 Obducat Ab Substrat för samt process vid tillverkning av strukturer
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
SE516414C2 (sv) 2000-05-24 2002-01-15 Obducat Ab Metod vid tillverkning av en mall, samt mallen tillverkad därav
JP2001358056A (ja) 2000-06-15 2001-12-26 Canon Inc 露光装置
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
DE50104178D1 (de) 2000-06-22 2004-11-25 Unaxis Balzers Ag Beschichtungsanlage für scheibenförmige Werkstücke
US20020159918A1 (en) 2000-06-25 2002-10-31 Fan-Gang Tseng Micro-fabricated stamp array for depositing biologic diagnostic testing samples on bio-bindable surface
CN100504598C (zh) 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 用于平版印刷工艺中的高分辨率重叠对齐方法和系统
US6696220B2 (en) 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050160011A1 (en) 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7322287B2 (en) 2000-07-18 2008-01-29 Nanonex Corporation Apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
AU2001280980A1 (en) 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6443571B1 (en) 2000-08-03 2002-09-03 Creo Srl Self-registering fluid droplet transfer method
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
AU2001286573A1 (en) 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
WO2002022916A1 (en) 2000-09-18 2002-03-21 Obducat Aktiebolag Method of etching, as well as frame element, mask and prefabricated substrate element for use in such etching
CN1243849C (zh) 2000-09-20 2006-03-01 奥博杜卡特股份公司 湿法刻蚀方法
US6790763B2 (en) 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
JP2002299226A (ja) 2001-04-03 2002-10-11 Nikon Corp 電子線露光用ステンシルマスク及びその作製方法
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6943036B2 (en) 2001-04-30 2005-09-13 Agilent Technologies, Inc. Error detection in chemical array fabrication
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002353102A (ja) 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
JP2003084123A (ja) 2001-06-29 2003-03-19 Seiko Epson Corp カラーフィルタ基板、カラーフィルタ基板の製造方法、液晶表示装置、電気光学装置、電気光学装置の製造方法及び電子機器
US20050064344A1 (en) 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6743368B2 (en) 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
US7309560B2 (en) 2002-02-19 2007-12-18 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating
DE10307518B4 (de) 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
TW594431B (en) 2002-03-01 2004-06-21 Asml Netherlands Bv Calibration methods, calibration substrates, lithographic apparatus and device manufacturing methods
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US6881366B2 (en) 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6852454B2 (en) 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
US7179079B2 (en) 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
GB2391385A (en) 2002-07-26 2004-02-04 Seiko Epson Corp Patterning method by forming indent region to control spreading of liquid material deposited onto substrate
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US7029529B2 (en) 2002-09-19 2006-04-18 Applied Materials, Inc. Method and apparatus for metallization of large area substrates
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
JP4563181B2 (ja) 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド 基板の面曲がりを使用する倍率補正
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
EP1460738A3 (en) 2003-03-21 2004-09-29 Avalon Photonics AG Wafer-scale replication-technique for opto-mechanical structures on opto-electronic devices
EP1606834B1 (en) 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
US6808646B1 (en) 2003-04-29 2004-10-26 Hewlett-Packard Development Company, L.P. Method of replicating a high resolution three-dimensional imprint pattern on a compliant media of arbitrary size
US6860956B2 (en) 2003-05-23 2005-03-01 Agency For Science, Technology & Research Methods of creating patterns on substrates and articles of manufacture resulting therefrom
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20040261703A1 (en) 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US9725805B2 (en) 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US6879191B2 (en) 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
US6852358B1 (en) 2003-08-28 2005-02-08 Chang Chun Plastics Co., Ltd. Process for preparing an optical waveguide component from acrylate/titanium alkoxide composite material and the prepared optical waveguide component
US7136150B2 (en) 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US20050084804A1 (en) 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050170670A1 (en) 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
US20050253137A1 (en) 2003-11-20 2005-11-17 President And Fellows Of Harvard College Nanoscale arrays, robust nanostructures, and related devices
US7023238B1 (en) 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US20050151283A1 (en) 2004-01-08 2005-07-14 Bajorek Christopher H. Method and apparatus for making a stamper for patterning CDs and DVDs
US20050156353A1 (en) 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
KR100566700B1 (ko) 2004-01-15 2006-04-03 삼성전자주식회사 반도체 공정에서 포토레지스트 패턴 형성 방법,포토레지스트 패턴 형성용 템플레이트 및 이의 제조 방법.
KR100558754B1 (ko) 2004-02-24 2006-03-10 한국기계연구원 Uv 나노임프린트 리소그래피 공정 및 이 공정을수행하는 장치
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
JP2005267738A (ja) 2004-03-18 2005-09-29 Meiki Co Ltd 光ディスク基板成形用金型装置
US20050230882A1 (en) 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050253307A1 (en) 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
WO2005119802A2 (en) 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US7259833B2 (en) 2004-05-28 2007-08-21 Board Of Regents, The Universtiy Of Texas System Substrate support method
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
WO2005120834A2 (en) 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US7785526B2 (en) 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US20060177532A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
JP2006315207A (ja) 2005-05-10 2006-11-24 Pital Internatl Machinery Co Ltd 内部充填発泡体を有する中空異型板の共用押出し製造方法及びその製品
US20060266916A1 (en) 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
DE102008051973A1 (de) 2008-10-16 2010-04-22 Vmi - Az Extrusion Gmbh Extrusions-Fördervorrichtung

Also Published As

Publication number Publication date
EP2270592B1 (en) 2015-09-02
WO2002006902A2 (en) 2002-01-24
KR20030079910A (ko) 2003-10-10
EP2270592A3 (en) 2011-11-30
US9223202B2 (en) 2015-12-29
WO2002006902A3 (en) 2002-10-03
EP2270592A2 (en) 2011-01-05
US20020094496A1 (en) 2002-07-18
JP2011176321A (ja) 2011-09-08
JP2004504714A (ja) 2004-02-12
US20080199816A1 (en) 2008-08-21
AU2001277907A1 (en) 2002-01-30
CN1455888A (zh) 2003-11-12
JP4740518B2 (ja) 2011-08-03
KR100827741B1 (ko) 2008-05-07
EP1303793B1 (en) 2015-01-28
JP5325914B2 (ja) 2013-10-23
EP1303793A2 (en) 2003-04-23

Similar Documents

Publication Publication Date Title
CN1262883C (zh) 影印用于平版印刷工艺中的自动化液体分配的方法和系统
US7811505B2 (en) Method for fast filling of templates for imprint lithography using on template dispense
US7691313B2 (en) Method for expelling gas positioned between a substrate and a mold
JP5361309B2 (ja) インプリント装置およびインプリント方法
JP5316132B2 (ja) ナノインプリント用モールド
US20070228609A1 (en) Imprinting of Partial Fields at the Edge of the Wafer
JP6925423B2 (ja) インプリントリソグラフィプロセスにおける光学層の構成
US20090136654A1 (en) Contact Angle Attenuations on Multiple Surfaces
JP6538695B2 (ja) パーシャルフィールドインプリントのための非対称的なテンプレート形状の調節
KR20020073329A (ko) 액체 엠보싱에 의해 정밀하게 형성되는 장치의 제조
JP2011171747A (ja) ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術
WO2007067469A2 (en) Method for expelling gas positioned between a substrate and a mold
JP5480530B2 (ja) 微細構造転写方法及び微細構造転写装置
EP2222764A2 (en) Controlling thickness of residual layer
US20200096863A1 (en) Method of fluid droplet offset and apparatus for imprint lithography
US11373861B2 (en) System and method of cleaning mesa sidewalls of a template
JP2021100110A (ja) インプリントシステム内のディストーションの補正を伴うナノ製作方法
JP7324257B2 (ja) インプリントリソグラフィプロセスにおける光学層の構成
KR20200026063A (ko) 임프린트 필드의 에지를 구배 선량으로 조명하기 위한 시스템 및 방법
US11526076B2 (en) Nanofabrication system with dispensing system for rotational dispensing
US11567414B2 (en) Devices, systems, and methods for the hybrid generation of drop patterns
US20220384205A1 (en) Planarization process, planarization system, and method of manufacturing an article
TWI795709B (zh) 用於清潔模板之側壁的裝置和方法
US20100099047A1 (en) Manufacture of drop dispense apparatus
JP2022080673A (ja) インプリント装置、インプリント方法及び凹凸構造体の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060705

Termination date: 20180717