CN1301880A - 溅射铜用自离化的等离子体 - Google Patents

溅射铜用自离化的等离子体 Download PDF

Info

Publication number
CN1301880A
CN1301880A CN00135989A CN00135989A CN1301880A CN 1301880 A CN1301880 A CN 1301880A CN 00135989 A CN00135989 A CN 00135989A CN 00135989 A CN00135989 A CN 00135989A CN 1301880 A CN1301880 A CN 1301880A
Authority
CN
China
Prior art keywords
target
copper
cabin
abschirmblech
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN00135989A
Other languages
English (en)
Inventor
托尼·P·常
余·D·丛
丁佩军(音译)
付建明(音译)
霍华德·H·唐
安尼史·托利亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1301880A publication Critical patent/CN1301880A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Abstract

溅射铜的DC磁控管溅射反应器,优选压力低于5毫乇,使用SIP作第一铜层将铜涂覆进入窄而深的通道或沟槽的方法。使用有磁强度不相等磁极的小磁控管促进SIP并且在溅射期间向靶施加高功率。通过长投射溅射促进洞孔填充,靶-基体间隔是基体直径的至少50%,SIP铜层起籽晶和成核层作用。可联合SIP和高密度等离子体溅射来沉积铜籽晶层。优选以冷激发工序来激发等离子体,在存在较高压的氩气工作气体下向靶施加较低功率。激发后,降低压力,将靶功率提升到较高的作业量级,以便溅射沉积薄膜。

Description

溅射铜用自离化的等离子体
本发明一般涉及溅射,具体涉及半导体集成电路制备中铜的溅射沉积。
半导体集成电路一般包括多层面的涂覆金属以便在大量活性半导体元件之间提供电连接。先进的集成电路,尤其是那些微处理器可包括五个或多个涂覆金属层面。过去,铝有幸用作涂覆金属,而铜已在先进的集成电路中被开发作涂覆金属。
图1说明一般涂覆金属层面的截面图。底层面的层10包括传导性部件12。如果底层面层10是一种底层面的介质层如硅石或其他绝缘材料,传导性部件12可以是底层面铜的金属化,则认为上层面金属化的垂直部分从中经过,因为它互联两个层面的涂覆金属。如果底层面层10是硅层,传导性部件12可以是一种掺杂的硅区,则认为上层面金属化的垂直部分是一种接触,因为它电接触硅。上层面介质层14沉积在底层面介质层10和底层面涂覆金属12之上。还可以有其他形态如包括线路和沟槽的洞孔。而且在下文叙述的双重镶嵌和类似互联结构中,洞孔可包括复杂的形态。在一些应用中,洞孔可以不穿过介质层。下文所讨论的仅涉及从中穿过的洞孔,但大多数情况下该讨论可等效地良好用于其他类型的洞孔,这只是本领域熟知有限的改良。
介质通常是使用原硅酸四乙酯(TEOS)作前体进行等离子增强化学汽相沉积(PECVD)形成的硅氧化物。然而也考虑其他组成的低K材料和沉积技术,本发明对它们同样适用。所开发的某些低K介质以硅酸盐为特征,如氟化硅酸盐玻璃。下文仅直接叙述硅酸盐(氧化物)介质,但本发明可用于大部分其他介质。
上层面介质层14内刻蚀通孔,在硅酸盐介质情况下,通常使用氟基等离子刻蚀工艺。在先进的集成电路中,通孔的宽度小到0.18μm甚或更小。介质层14的厚度一般至少0.7μm,有时是其两倍,洞孔的深宽比可以是4或更大。有人建议用6和更大的深宽比。另外,在大多数情况下通孔应有纵向图案。
衬里层16保形地沉积在下部和洞孔一侧并在介质层14之上。衬里层16实施几个功能。它在介质和金属之间起粘结作用,因为金属薄膜趋于从氧化物上脱落。它还起阻挡层作用抵抗氧化物类介质和金属之间的互相扩散。它还起籽晶和成核层作用,促进均匀粘覆和生长以及金属沉积填充洞孔的低温回流能力,并使独立的籽晶层成核均匀生长。
然后,例如是铜的金属层18沉积在衬里层16上部填充洞孔并覆盖介质层14顶部。通过金属层18平面部分的选择刻蚀一般让铝金属涂层图案化成为水平互连。然而对铜金属化的优选技术,叫作双重镶嵌,在介质层14内形成两个连接部分的洞孔,首先是穿过介质层下部部分的狭窄通道,其次是在相互连接通道的表面部分宽阔的沟槽。金属沉积后进行化学机械抛光(CMP),去除介质氧化物上相对柔软的铜而止于较硬的氧化物。结果,上层面的多重铜填充沟槽,类似于下一个较低层面的传导性部件12,彼此是绝缘的。铜填充沟槽在铜填充通道之间起水平互相连接的作用。双重镶嵌和CMP的联合排除了需要刻蚀铜。对双重镶嵌已开发了多层结构和刻蚀工序,并且其他金属化结构也有类似的建造需求。
填充通孔和类似的高深宽比结构,如双重镶嵌所遇到的,已不断受到要求不断加大深宽比的挑战。4∶1的深宽比最为一般,而且该数值将进一步增大。深宽比定义为洞孔深度与洞孔最狭窄的宽度(通常在靠近其顶部表面)之比。0.18μm的洞孔宽度也是最普通的并且该数值会进一步缩小。在氧化物介质内形成先进的铜互连方面,阻挡层的形成趋于将成核或籽晶层显然分开。扩散阻挡层由Ta/TaN,W/WN,Ti/TiN或其他结构的双分子层形成、阻挡层的厚度一般是10-50nm。对于铜互连,发现必须沉积一层或多层铜以满足成核和籽晶功能。下文的讨论将说明形成铜成核和籽晶层以及最终的铜填充洞孔。
通过常规物理汽相沉积(PVD)的金属化沉积,也叫作溅射,相对快一些。DC磁控管溅射反应器有一个由待溅射沉积金属构成的靶,且用DC电源给能。磁控管扫描靶后背附近并发射磁场进入反应器邻近靶的部分从而增大到达靶的等离子密度而提高溅射速度。但是,常规DC溅射(认为是PVD溅射,区别于所介绍其他类型的溅射)主要溅射中性原子。在PVD中一般离子密度低于109cm-3。PVD还将原子溅射成广角分布,一般是依赖靶法向的余弦(分布)。这种广角分布不利于如图2所示填充深而窄的通孔,其中已沉积了阻挡层24。大量的斜溅射颗粒造成铜层26围绕洞孔22上部角落优先沉积并形成悬突28。大的悬突进而限制进入洞孔22,并且最少引起洞孔22侧壁30和下部32的不充分覆盖。最坏的情况是悬突在其填充之前桥接洞孔22并在洞孔22内的金属化中造成空缺34。一旦形成空缺34,大多不可能通过加热金属涂层至其熔点附近使它回流出去。甚至小空缺也会引起严重的可靠性问题。如果计划了第二个如通过电镀的沉积铜步骤,桥接的悬突会令它不可能进行。
改善悬突问题的一个手段是在常规反应器内长投射式(long-throw)溅射。在长投射溅射中,靶相对地远离待涂覆晶片。例如,靶-晶片间隔是晶片直径的至少50%,优选大于90%,最优选大于140%。结果,溅射分布的斜向部分优先指向舱室边壁,而中央部分保持指向晶片。截去角的分布造成较高比例的溅射颗粒深深地指向进入洞孔22并减少悬突28的程度。在靶和晶片之间安置一个准直管也能达到类似效果。因为准直管有大量深宽比高的洞孔,斜向溅射的颗粒撞击准直管边壁,仅让中心角的颗粒通过。长投射靶和准直管两者都不利于减少到达晶片的溅射颗粒流。亦即降低溅射沉积速度。投射越长这个降低变得越显著并且对增大深宽比的通孔变得更需要严格的准直。长投射进一步受到溅射颗粒必须经过的基体-靶较大距离的限制。甚至用长投射在常规PVD使用的几乎零毫乇氩气压力时,也存在氩气使溅射颗粒散射的较大可能性。因此,减少了向前颗粒的几何性选择。长投射和准直的另一个问题是减少铜流必延长沉积的时间周期。这不仅降低生产量,还趋于提高溅射期间晶片经受的最高温度。长投射减少悬突并在边壁的中间和上部提供良好的覆盖,但较低的边壁和下部覆盖低劣。
对深洞填充的另一种技术是在叫做金属离子镀(IMP)的溅射方法中使用高密度等离子体(HDP)。高密度等离子体的定义是在等离子体不包括其壳的截面平均密度至少1011cm-3,优选1012cm-3。在IMP沉积中,在离开晶片的区域形成一个隔开的等离子源区,例如通过将感应耦合RF电源引入围绕在靶和晶片之间区域缠绕的导电线圈。这种配置以HDP PVD反应器在市场有售,Applied Materials of Santa Clara,California。市场还有其他类型的HDP溅射反应器出售。较高能量不仅离化氩气工作气体,而且还显著增加溅射原子的离化份额,这就是说产生金属离子。晶片或者自带电成负电位或者被RF偏压控制其DC电位。金属离子在接近负偏压晶片时穿过等离子体外壳就被加速。结果,它们的角度分布在向前方向变得强烈尖峰化,使其深深地落入通孔。悬突在IMP溅射中变得不成问题,并且下部和边壁下部的覆盖要相对高一些。
然而在IMP沉积金属中经历许多问题。首先HDP反应器价格昂贵。其次,使用远程等离子源溅射通常都在至少30毫乇的高压下进行。高压和高密度等离子体产生特别多的氩气离子,它们还被加速越过等离子体外壳来到待溅射沉积的表面。高能氩气离子带来许多问题。氩气离子能量以耗散的热量直接进入正在形成的薄膜。铜在IMP所经历提高的温度甚至在50-75℃的低温都会从氮化钛或其他阻挡层材料上脱湿(dewet)。另外,氩气趋于变得嵌入形成中的薄膜,不会有好的效果。实验观察到IMP沉积铜薄膜36时,如图3所示,表面形态非常粗糙甚或是断续的。这种薄膜不能促进洞孔填充,尤其在将衬里用作电镀电极时。
沉积铜的另一个技术是持续自溅射(SSS),如以下专利所述,Fu等人1997.05.08提交的美国专利,申请号08/854008和Fu等人1999.08.12提交的专利,申请号09/373097。在接近铜靶有足够高的等离子密度时,出现充分高密度的铜离子,使铜离子重复溅射铜靶并附带超越同质的收益。然后,撤除氩气工作气体源或者至少降低到非常低的压力而持续铜等离子体。铝不能进行SSS,某些其他材料如Pd,Pt,Ag和Au也不能经受SSS。
通过持续自溅射来沉积铜有许多优点。SSS的溅射速度趋向高速。有高份额的铜离子被加速越过等离子体外壳而朝向偏压晶片,因此增大溅射流出的方向性。舱室压力非常低,经常受到背部冷却气体泄漏的限制,从而使晶片减少来自氩离子的加热并降低氩气散射金属颗粒。而且还发现,标准的长投射PVD舱室并不支持铜的SSS。
已发展了促进持续自溅射技术和反应器结构。显然,某些溅射材料不适于SSS,因为从这些相同技术和结构中尽管受益但不过是亚同质(sub-unity)再溅射的收益,大概由于不完全的自溅射所致,这将造成不完全自离化的等离子体(SIP)。另外,虽然不用任何氩工作气体也作得成SSS,但用低而且有限的氩压力溅射铜大为有益。因此,对更一般的包括减压或零压工作气体的溅射工艺使得SSS是SIP类型,铜的SIP溅射是一种优选的术语。
沉积铜还可用化学汽相沉积(CVD)技术,使用金属有机前体如市场出售产自Schumacher的Cu-HFAC-VTMS,一种与其他添加剂的专有掺合物,商品名CupraSelect。用这个前体还可使用热CVD方法,这是本领域技术人员熟知的,还能使用等离子增强CVD方法(PECVD)。CVD方法甚至在本文考虑的高深宽比洞孔中能够沉积几乎保形的薄膜。原始观念是CVD沉积薄膜作籽晶层,然后用PVD或其他技术进行最终的洞孔填充。所提出的观念是基于CVD涉及的费用和填充相当宽通孔,也许0.25-0.5μm宽度而需要的设备。然而观察到CVD的铜籽晶层差不多始终如一地粗糙。粗糙度贬低了作为籽晶层的用途,特别是在沉积铜深入洞孔之后作为回流层促进低温回流的用途。还有,粗糙度表明,需要沉积50nm级相对厚的CVD铜层以便可靠地涂覆一种连续的籽晶层。现在考虑狭窄的通孔时,必要厚度的CVD铜籽晶层无论如何几乎可以填充洞孔。但是,以CVD进行完整填充趋于遇到中心接缝,这将影响器件的可靠性。
再者,联合技术使用IMP溅射沉积薄的铜成核层,有时称作闪烁沉积,而后在IMP层上沉积较厚的铜CVD铜籽晶层。但是如图3所示,IMP层36趋于粗糙,而CVD层则趋于保形地跟随着粗糙基体。因此,IMP层之上的CVD层也是粗糙的。
电化学镀(ECP)也是另一种铜沉积技术,业已开发并似乎变成优选的大规模填充方法。在这种方法中,晶片浸渍在铜电解槽内。就槽而言晶片是电偏压的,在晶片上以一般的保形方法电化学沉积铜。也可用化学沉积技术。电镀及其有关工艺都是有益的,因为它们能在大气压下用简单设备实施,且沉积速度高,以及液体加工与后续化学机械抛光相一致。
然而电镀有其自己的要求。在诸如Ta/TaN的阻挡层顶部要求有籽晶和粘附层,以便电镀铜成核并粘附阻挡层材料。而且,围绕通孔22的普通绝缘结构要求在介质层14和通孔22之间形成电镀电极。钽和其他阻挡层材料一般有相对低劣的电传导性,面向通孔22(含有铜电解质)的阻挡层24的常规氮化物子层对电镀所需横向电流的长通道则甚至是较低的传导性。因此,如果电镀要有效地填充通孔下部,必须沉积良好传导性的籽晶和粘附层。
在阻挡层24上沉积的铜籽晶层一般用作电镀电极。但是必须确保其整体性,并且优选连续、光滑和均匀的薄膜。否则电镀电流仅仅指向铜覆盖的区域,或者优先指向较厚铜层覆盖的区域。沉积铜籽晶层有其自身难题。IMP沉积的籽晶层对高深宽比洞孔提供良好的下部覆盖,但是边壁覆盖较少,使得所得薄膜为不连续点的粗糙,导致边壁有空缺。薄的CVD籽晶也是粗糙的。较厚的CVD籽晶层或IMP铜上面的CVD铜要求特别厚的籽晶层来实现所要求的连续性。还有,电镀电极主要在整个洞孔边壁作业,所以要求边壁高度覆盖。长投射提供一种适当的边壁覆盖,但下部覆盖却不充分。
因此,需要一种用铜更好地填充通孔的方法。
已察觉铜溅射引起的问题是用其他导电材料溅射所察觉不到的问题。观察到散射的铜原子大多进入溅射装置的狭窄凹处,特别是低压SSS和SIP方法。由于溅射,特别是SSS和SIP关联的高能量涉及高电压,电介质绝缘体必须隔开不同偏压的部件。而且,这些绝缘体就在SSS期间趋于变得被铜覆盖,并因此需要频繁更换或清洗。
结果,就需要保护这种绝缘体不让铜沉积。
溅射舱室的标准部件是一种保护舱室不被沉积的舱室屏蔽件。在溅射涂覆层堆积太厚时涂覆的恰恰是屏蔽件而不是侧壁,并且更容易将其拿出清洗。屏蔽件一般是金属的并且是电接地的,以便作阴极靶的接地板。而且越来越知道,围绕舱室上部靠近靶安置的辅助电浮动的屏蔽件能容许低压溅射。这种浮动屏蔽件一般简单地支托在屏蔽件绝缘体上无需任何夹持。然而非夹持屏蔽件在热循环期间引入额外的机械移动,影响等离子体暗间隔和固有靶偏置的公差。屏蔽件弯曲会造成过多的微粒剥落。在屏蔽件和绝缘体之间不合适的间隙和配置会造成电短路。如果非夹持屏蔽件在热循环期间移动,常常不能保证它返回原来冷时的位置,使得在下一个循环中甚至进一步歪斜。
出于这些原因,对非夹持屏蔽件要求更好的校准找正。
低压等离子溅射,特别是有关SIP和SSS时可招致激发等离子体的问题。等离子激发涉及不同于连续激发的组合条件。舱室常常需要通入较高压如氩气的工作气体产生激发。然而存在大量氩气溅射涂覆的薄膜似乎与低压溅射所要求的薄膜类型不一致。另外,激发等离子体时获得长而且独立的激发工序也成问题。
因此,要求对特别是铜的低压溅射提供一种更好的等离子激发。
概述本发明是联合长投射溅射与自离化等离子溅射(SIP)的一种溅射沉积铜方法。长投射溅射的特征在于靶-基体距离和基体直径之间相对高的比率。长投射SIP溅射促进离化和中性铜成分两者的深洞孔涂覆。
促进SIP可通过低于5毫乇,优选低于2毫乇更优选低于1毫乇压力来进行。特别在这些低压时通过有相对小区域的磁控管来促进SIP,从而增大靶的能量密度,并且通过有不对称磁体的磁控管造成磁场远远朝向基体穿透。还可通过离靶延伸相对较远的电浮动溅射屏蔽件来促进SIP,优选延伸范围是6-10cm。有利的是,在浮动屏蔽件和接地屏蔽件之间形成狭窄的第一回旋通路,在浮动屏蔽件和靶之间形成狭窄的第二回旋通路。这些通路防止铜或其他金属原子离子渗透到隔开靶、屏蔽件和接地屏蔽件的电介质间隔区和O环区。这种通路的宽度范围优选100-120密耳(2.5-3mm)。两个屏蔽件之间通路下部圆柱形部分的深宽比至少4∶1,更优选至少8∶1。通路优选包括两个90°转向。
根据本发明的一个方面,应控制溅射条件以便在SIP和使用工作气体的常规溅射之间提供一种均衡,从而控制溅射流中铜离子和中性铜原子之比。
本发明可用来沉积铜籽晶层,促进沉积层之后的成核或晶种化,特别用来形成窄而深的通道或穿过电介质层的接触。第二层铜层可通过电化学镀(ECP)来沉积。
在本发明另一个填充洞孔的实施方案中,第二层铜层通过化学汽相沉积(CVD)来进行。CVD层可自身用作后续ECP的籽晶层,或者CVD层完全填充洞孔特别是深宽比非常高的洞孔。
本发明方法形成铜籽晶层的另一个实施方案是,第一个步骤在认为是离化金属镀(IMP)的方法中以高密度等离子体沉积一部分薄的铜籽晶层,在第二个步骤以SIP沉积另一部分的铜籽晶层。
本发明还有一个方面涉及舱室屏蔽件。较长的浮动屏蔽件促进等离子激发。另外,优选在浮动和接地屏蔽件之间形成狭窄回旋通路,从而保护涉及支托和绝缘这些屏蔽件的绝缘体不被溅射金属涂覆。中心装置保持电和机械浮动的屏蔽件在温度循环从头到尾都被校正。在加工体积(volume)和滑动表面之间形成阻挡,该滑动表面存于绝缘体、浮动屏蔽件和支托表面之间。
本发明再一个方面涉及激发工序。等离子体优选在相对高压的工作气体但在降低靶能量等级情况下激发。等离子激发后,舱室压力减小而靶能量增加到其运行溅射的等级。
图1是用涂覆金属填充通道的截面图,其中也覆盖电介质顶部,属
现有技术。
图2是通道在金属涂覆期间的截面图,其中有悬突并阻断通孔。
图3是有离化金属镀沉积粗糙籽晶层的通道的截面图。
图4概括说明用于本发明的溅射舱室。
图5是图4的部分分解图,详述靶,屏蔽件,绝缘件和靶O型环。
图6曲线说明浮动屏蔽件长度和维持等离子最小压力之间的关系。
图7是按照本发明一个实施方案通道金属化的截面图。
图8和9曲线标绘两个不同磁控管和不同运行条件下越过晶片流出的离子电流。
图10是按照本发明第二个实施方案通道金属化的截面图。
图11是按照本发明第三个实施方案通道金属化的截面图。
图12是等离子激发工序的流程图,其中降低晶片加热。
图13概括说明本发明实施的整体加工工具。
DC磁控管溅射反应器内的离化原子流和中性原子流之间的分布能被修整为在介电层洞孔内产生光滑保形铜衬里,通过其自身或者在溅射铜成核层之上通过组合化学汽相沉积(CVD)而淀积的铜籽晶层来实现。铜衬里层特别用作电镀铜的薄籽晶层。
现有技术的DC磁控管反应器涉及常规工作气体溅射或持续自溅射。两种手段侧重不同类型的溅射。另一方面,优选铜衬里的反应器联合现有技术的各个方面来控制离化铜原子和中性铜原子之间的分布。这种反应器50的一个实例如图4的截面图所示。这种反应器是基于市售Endura PVD反应器的一种改良,由California,.Santa Clara的Applied Materials公司制造。反应器50包括真空舱室52,通常是金属并电接地,通过靶绝缘件54到至少表面部分此时由铜或铜合金等材料构成的靶56密封,让它们溅射在晶片58上。一般存在的合金元素要少于5wt%,如果用其他方法形成了适当的阻挡层,则可以使用基本纯的铜。晶片夹具60将晶片58夹持在基架电极62上。在基架62中未画出的电阻加热器、致冷剂通道和热转移气体腔控制基架温度到低于-40℃的温度,从而让晶片温度同样得到控制。
被第二个介电屏蔽绝缘件隔开的浮动屏蔽件64和接地屏蔽件66固定在舱室52内,以便保护舱室壁52不受溅射材料影响。接地屏蔽件66还起和阴极靶56极性相反的阳极基面的作用,从而供给等离子体电容。某些电子沉积在浮动屏蔽件64上使其上建立负电荷。负电势不仅进一步排斥电子沉积,还将电子约束在等离子体主区,因此减少电子丢失,持续低压溅射并增大等离子体密度。
图5的分解截面图详细说明靶和屏蔽件。靶56包括铝或钛衬板70,其上焊接或扩散结合铜靶部分72。衬板70的凸缘73支托在聚合物靶O型环74上并通过它对靶绝缘件54真空密封,它优选由诸如氧化铝的陶瓷构成。靶绝缘件54支托在适配器O型环75上并通过它对舱室52真空密封,实际上它可以是密封在舱室主体上的一种铝适配器。金属夹具环76在其内部径向侧面上有向上延伸的环形边77。未画出的螺栓将金属夹具环76固定到舱室52向内延伸的突出部分78并搭接固定接地屏蔽件66的凸缘79。这样就使接地屏蔽件66与接地舱室52机械连接和电连接。
屏蔽绝缘件68无约束地支托在夹具环76上并可由诸如氧化铝的陶瓷材料机加工。它是紧凑的而且同较小宽度相比有大约165mm相当大的高度以便在反应器温度循环期间提供强度。屏蔽绝缘件68的下部有一个内环状凹进固定夹具环76的边缘77。边缘77不仅使屏蔽绝缘件68的内径与夹具环76起中心定位作用,而且还起阻挡层作用,不让在陶瓷屏蔽绝缘件68和金属夹具环76之间滑动表面80上产生的任何颗粒到达主要加工区。
浮动屏蔽件64的凸缘81无约束支托在屏蔽绝缘件68上并在其外部有小垂片或边缘82向下延伸凹进屏蔽绝缘件68的外面上部边角处。这样,小垂片82在屏蔽绝缘件68的外径处让浮动屏蔽件64与靶56中心定位。屏蔽小垂片82离开屏蔽绝缘件68有一个狭窄的间隙,该间隙足够小,使得能与等离子体暗间隔对齐,但又要大到能足够防止卡住屏蔽绝缘件68,并且浮动屏蔽件81支托在屏蔽绝缘件68上的滑动接触区83内且在小垂片82上方。
在浮动屏蔽件64的头部85和靶56之间形成一个狭窄通路84。其宽度大约2mm并起等离子体暗间隔的作用。狭窄通路84以比过去所画衬板背部凸缘74的向下伸出边缘86径向更加向内延伸的通路延续到屏蔽件头部85和靶绝缘件54之间的上部背后的间隙84a。这些元件的结构及其性能类似于Tang等人于1998.10.30提交的美国专利所公开的内容,申请号09/191253。上部背后间隙84a的宽度在室温是大约1.5mm。当屏蔽元件处于温度循环时它们趋向变形。有比狭窄通路84宽度更小贴近靶56的上部背后间隙84a能充分维持狭窄通路84内的等离子暗间隔。背后间隙84a向下延续进入内侧是屏蔽绝缘件68及夹具环76外侧是舱室主体52之间的下部背后间隙84b。下部背后间隙84b起腔体作用,收集陶瓷屏蔽绝缘件68和夹具环76和浮动屏蔽件64之间滑动表面80、83处产生的陶瓷颗粒。屏蔽绝缘件68另外包括在其上部内边角之上的浅凹穴83a,以便收集来自滑动表面83径向向内一侧的陶瓷颗粒。
浮动屏蔽件64包括向下延伸,从凸缘81向下延伸的上部宽圆柱部分88和通过过渡部分92连接其下部底端的下部窄圆柱部分90。与之类似,接地屏蔽件66在浮动屏蔽件64的上部宽圆柱部分88外部有个上部宽圆柱部分94并因此比其更宽。接地的上部宽圆柱部分94在其上端连接接地屏蔽件凸缘80并且在其下端通过过渡部分98连接下部窄圆柱部分96,该过渡部分与舱室大致是径向延伸。接地的下部窄圆柱部分96配合浮动的下圆柱部分90外侧并因此比其更宽;但比浮动的上圆柱部分64更小,径向间隔大约3mm。两个过渡部分92和98两者垂直且水平错位。从而在浮动和接地屏蔽件64、66之间形成曲折的狭窄通路100,并在接地的下圆柱部分96和浮动的上圆柱部分64之间错位,保证两个垂直通道部分之间没有可视直线。通路100的目的是使两个屏蔽件64、66电绝缘,同时保护夹具环76和屏蔽绝缘件68不被铜沉积。
在屏蔽件64、66的下圆柱部分90、96之间通路100的下部的深宽比是4∶1或更多,优选8∶1或更多。通路100的下部的示范性宽度是0.25cm,长度是2.5cm,其优选范围是0.25-0.3cm和2-3cm。因此,穿透通路100的任何铜离子和散射的铜原子在它们找到其路线进一步朝向夹具环76和屏蔽绝缘件68之前似乎不得不从这些屏蔽件反弹几次并至少被上部接地圆柱部分94中止。任何一个反弹似乎都导致离子被屏蔽件吸收。在两个过渡部分92、98之间通路100中两个90°转向或弯曲进一步将屏蔽绝缘件68与铜等离子隔开。与之类似用60°弯曲甚或45°弯曲而获得降低的效果,但在屏蔽材料中更容易形成更有效的90°弯曲。90°转向更加有效,因为它增大可能性,即来自任何方向的铜离子有至少一个高角冲撞并因此丢失其大部分能量被上部接地圆柱部分94中止的可能性。90°转向还遮挡夹具环76和屏蔽绝缘件68不让铜颗粒直接照射。发现铜优选沉积在浮动过渡部分92下部的水平表面和纵向的上接地圆柱部分94,两者皆在90°转向的端部之一。还有,回旋通路100收集来自屏蔽绝缘件68在对接地屏蔽件66的水平过渡部分98加工期间产生的陶瓷颗粒。似乎这种收集的颗粒也被此处收集的铜粘附。
现回到大图4,接地屏蔽件66的下圆柱部分96向下延续到支托晶片58的基架62顶部恰当的背后。然后,接地屏蔽件66径向向内延续成碗形部分102并纵向向上成最内的圆柱部分104延续到晶片58大致高度但与基架62外部径向间隔开。
屏蔽件64、66一般由不锈钢构成,它们的内侧可被鼓风珠粒化,或以其他方式打毛以便促进溅射沉积的铜对其的粘附。然而在延续溅射期间的某些位点,铜所堆积的厚度似乎使其剥落,产生有害颗粒。到达这种位点之前,应当清洗或者更应当更新这些屏蔽件。但在大多数维修作业中不需要更新更昂贵的绝缘件54、68。再者,决定维修作业的是屏蔽件的剥落而不是绝缘件的电短路。
如上所述,浮动屏蔽件64积聚一些电荷并建立负电位。对浮动屏蔽件64从而进一步抵制电子丢失并因此约束等离子体更靠近靶56。Ding等人在US5736021中公开用多少类似的结构得到类似效果。但是,图5浮动屏蔽件64有其下圆柱部分90比Ding等人相应部件所作的延伸的更多而进一步远离靶56,从而约束等离子体覆盖更大范围。而且浮动屏蔽件64将接地屏蔽件66相对靶56电屏蔽,使它不能从靶56延伸太远。如果该距离太长,难以触击等离子体;而如太短时,增加电子丢失使等离子体不能在低压持续且等离子密度降低。发现有个最佳长度,在该长度处如图5所示,随着浮动屏蔽件66轴向总长度是7.6cm时浮动屏蔽件66的底梢106与靶56的正面隔开6cm。在保持溅射铜的最小压力下检验三种不同的浮动屏蔽件。靶功率为1kW和18kW时得到的结果列于表6。横坐标表示屏蔽件总长度,屏蔽件末梢106和靶56之间隔开距离少于1.6cm。隔开的优选范围是5-7cm,且相对的长度是6.6-8.6cm。屏蔽件长度延续到10cm多少会降低最小压力但增大撞击等离子的难度。
再参看图4,可选择的DC电源110使靶56相对接地屏蔽件66负偏压到大约-400至-600VDC,以便激发和保持等离子。一般使用在1-5kW之间的靶功率激发等离子,而在本文所述SIP溅射中优选大于10kW的功率。通常使基架62因而使晶片58处于电浮动,而负DC自身偏压尽管在其上提高。另一方面,某些设计使用可控电源112对基架62施加DC或RF偏压以便进一步控制其上提高的负DC偏压。在该检验配置中,偏压电源112是一种在13.56MHz作业的RF电源。对200mm晶片,RF功率可施加到600W,优选范围是350-550W。
供给溅射工作气体的气源114一般是化学惰性的氩气,通过流量控制计116进入舱室52。工作气体可通入舱室顶部或如图示的下部,两者皆用一个或多个入口管穿过小孔通过屏蔽接地屏蔽件66的下部,或通过接地屏蔽件66、晶片夹具60和基架62之间的间隙118。真空泵系统120通过泵吸的宽舱门122连接舱室52保持舱室低压。尽管基压可以掌握在大约10-7乇或更低,但在常规溅射中工作气体压力保持在大约1-1000毫乇之间,而在SIP溅射中则低于大约5毫乇。计算机类控制器124控制反应器,包括控制DC靶电源110,偏压电源112和流量控制仪116。
为了提供有效的溅射,磁控管130在靶56的后背定位。它连接相反的磁体132,134并由磁轭(偏转线圈)136支托。磁体在舱室52内靠近磁控管130建立磁场。磁场俘获电子,并且为中和电荷还应增加离子密度以便形成高密度等离子区138。磁控管通常通过马达驱动轴142绕靶56中心140旋转,以便在靶56的溅射中得到完整覆盖。为了获得充分离化密度的高密度等离子体138以便许可铜的持续自溅射,传递到磁控管130邻近区域的功率密度必须作得高一些。这将通过上述列举Fu等人的专利所述达到,即增大DC电源110传递的功率等级并减小磁控管130区域,例如以三角形或跑道形状的区域。60°三角形磁控管,以其端梢与靶中心140大约一致旋转,在任何时刻仅仅覆盖靶的大约1/6。在市售能够SIP溅射的反应器中优选最大覆盖1/4。
为减少电子丢失,以内磁体132代表的内磁极和未画出的磁极面应当没有明显的小孔并被外磁体134代表的连续外磁极和未画出的磁极面环绕。另外,为将离化的溅射颗粒导向晶片58,外磁极应当产生比内磁极高许多的磁通量。延伸的磁场线俘获电子并因此延伸等离子体更靠近晶片58。磁流比应当至少150%优选大于200%。Fu三角形磁控管的两个实施方案有25个外磁体和6-10个强度相同而极性相反的内磁体。
当氩气进入舱室时,在靶56和接地屏蔽件66之间的DC压差将氩气激发为等离子体,带正电荷的氩气离子被带负电荷的靶56吸引。这些离子以相当大的能量撞击靶,并从靶56上产生待溅射的靶原子或原子簇。一些靶粒子撞击晶片58并因之沉积其上,从而形成靶材料的薄膜。在金属氮化物的反应溅射中,另将氮气通入舱室,它将与待溅射的金属原子反应在晶片58上形成金属氮化物。
所示舱室能够让铜包括持续自溅射式地自离化溅射。在这种情况下,激发等离子后,可在SSS情况切断氩气源,铜离子有足够高的密度重复溅射铜靶并带有比单一溅射更大的产量。作为选择,可持续供入一些氩气,但要降低流速和舱室压力,也许不充分的靶功率密度支持单纯的持续自溅射,但尽管明显却减少自溅射的份额。如果氩气压力增加到该明显程度的大约5毫乇以上,氩气将削减铜离子能量,因此减少自溅射。晶片偏压吸引深入该洞孔铜粒子流的离化部分。
还有,为了用部分中性流得到更深洞孔的涂覆,增大靶56和晶片58之间的距离是合乎要求的,亦即以长投射模式作业。在长投射中,靶-基体间隔大于基体直径的一半,优选大于晶片直径,更优选大于基体直径的至少80%,最优选大于基体直径的至少140%。在实施方案的实施例所述投射皆涉及200mm的晶片。常规溅射中长投射还降低溅射沉积速度,但离化溅射颗粒不会遇到这种大幅度降低。
控制区分常规(氩气类)溅射和持续自溅射(SSS)将许可控制中性和离化溅射之间的分布。这种控制对高深宽比的通孔中溅射沉积铜籽晶层特别有益。认为控制溅射原子的离化份额就是自离化溅射(SIP)。
本发明产生的结构中的一个实施方案在图7截面图中由一个通道所说明。例如使用图4的长投射反应器和促进SIP条件,在通孔22内于阻挡层24上面沉积铜耔晶层150。例如可将SIP铜层150沉积到50-300nm覆盖厚度,优选80-200nm厚度。对通道边壁SIP铜籽晶层150的厚度范围优选2-20nm,更优选7-15nm。考虑到狭窄洞孔,边壁厚度应当不超过50nm。改良薄膜质量可通过将基架温度降低到低于0℃优选低于-40℃,使得赋予的冷度让快速SIP沉积变得更加重要。
SIP铜籽晶层150有优良的下部覆盖和增进的边壁覆盖。经验观察到比IMP或CVD在阻挡层24上直接沉积的铜要光滑的多。沉积保形的铜籽晶层150之后,洞孔如图1所示被铜层18填充,优选在电化学镀中用籽晶层150作电镀电极之一。而且,SIP铜籽晶层150的光滑结构还能在标准溅射或物理汽相沉积(PVD)中促进回流或促进铜的高温沉积。
进行SIP的几个试验是将这种籽晶层沉积进入宽为1.2μm氧化物的0.20μm通孔。靶-基体间隔290mm,舱室压力低于0.1毫乇(表明SSS模式)和14kW的DC电源用于带有60°三角形磁控管的靶,在氧化物上面沉积得到0.2μm覆盖厚度的铜时将在通道下部产生18nm而在通道边壁约12nm。沉积时间一般30秒或更少。靶功率增加到18kW时,下部覆盖增到37nm而边壁厚度并无明显变化。功率高下部覆盖厚表明离化份额大。两种情况观察到沉积的铜薄膜比IMP或CVD见到的要光滑得多。
和IMP不大于0.2μm/min的沉积速度相比,SIP沉积相对快一些,在0.5-1.0μm/min之间。快速沉积导致缩短沉积时间,加上无需加热氩离子,显然减少热预算。可以相信,低温SIP沉积会造成非常光滑的铜籽晶层。
Fu利用十个内磁体二十五个外磁体的标准三角形磁控管时使用290mm投射。测量各种条件下流出离子电流与靶中心起半径的函数关系。结果绘于图8。曲线160的测量条件是靶功率16kW和舱室压力0毫乇。曲线162,164,164的测量条件是靶功率18kW和舱室压力分别是0,0.2及1毫乇。这些电流相应的离子密度在1011-1012cm-3之间,对照常规磁控管和溅射反应器却少于109cm-3。还用零压力条件测量铜离化份额。空间的依赖关系与直接取决于DC靶功率在大约10%-20%之间变化的离化份额大体相同。相对低的离化份额说明,没有长投射的SIP有大份额的中性铜流,不适合常规PVD的深填充特征。结果表明,由于可增大离化,为更好的步骤覆盖而优选高功率作业。
随后作许多重复试验,但Fu磁控管中使用的内磁体减少到六个。这就是说,第二磁控管改良了磁流的均匀性,有助于均匀溅射的离子流向晶片。结果绘于图9。曲线168表示12kW靶功率和0毫乇压力的离子流;曲线170则是18kW的。14和16kW的曲线居中。因此,改良的磁控管使更均匀的离子流过晶片,其中再次取决于靶功率,优选高功率。
和90-100%的IMP份额相比,10-20%相对低的离化份额表明一种中性铜的主流。虽然晶片偏压引导铜离子深入洞孔,但对中性铜长投射同样能实现。
用一系列试验测定投射和舱室压力对溅射颗粒分布的综合作用。零舱室压力时140mm投射产生大约±45°分布,投射190mm大约±35°,而投射290mm大约±25°。于投射190mm时改变压力。对0,0.5和1毫乇时中心分布保持大致相同。然而对最高压力,低位的拖尾差不多推出10°,表明有些颗粒散射。这些结果说明低于5毫乇得到可接受的结果,优选范围低于2毫乇,更优选的范围低于1毫乇,最优选的范围低于0.2毫乇。还能预料,长投射的分布最好。
高深宽比洞孔SIP沉积的薄膜具有良好的上边壁覆盖并倾向不发展成悬突。另一方面,IMP沉积这种洞孔的薄膜有良好的下部和下部边角覆盖,但边壁薄膜倾向台阶覆盖率差并且粗糙。通过使用两步骤铜籽晶的溅射沉积可将两种类型溅射的优点联合。在第一个步骤中,在产生高密度等离子的IMP反应器内沉积铜,例如使用RF感应电源。示范性的沉积条件是压力20-60毫乇,RF线圈功率1-3kW,DC靶功率1-2kW,偏压功率150W。第一个步骤得到的覆盖虽然粗糙但下部和下边壁良好。第二个且优选的后续步骤中,在前述产生较低等级铜离化的SIP反应器内沉积铜。示范性沉积条件是压力1毫乇,DC靶功率18-24kW和偏压功率500W。第二个步骤得到优良光滑的上边壁覆盖并且使已沉积的IMP层之外也进一步光滑。两个步骤覆盖沉积的厚度范围对IMP是50-100nm,对SIP是100-200nm。覆盖厚度比率可以是30∶70-70∶30。作为选择,可在IMP层之前沉积SIP层。通过两步骤方法溅射沉积铜籽晶层后,例如通过电镀填充洞孔的残余部份。
对非常狭窄和高深宽比的通道,SIP的边壁覆盖就成问题。对0.13μm和更小的通道技术有待开发。低于大约100nm的覆盖厚度,边壁覆盖变得不连续。如图10截面图所示,不合适的几何图形会使待形成的薄膜180在通道边壁30上是包括空缺和其他缺陷182的不连续薄膜。缺陷182缺少铜,或这种铜层局部不能起电镀阴极作用。尽管如此,SIP铜层180除缺陷182外是光滑的并且成核良好。于是在这些有难度的几何图形中,在SIP铜成核层180之上沉积铜CVD籽晶层184大为有益。由于它是化学汽相沉积的,一般是保形的并可被SIP铜层180良好成核。CVD籽晶层184可修补缺陷182,且产生连续的不粗糙的籽晶层以利后续完成填充洞孔22的铜电镀。可在为沉积铜设计的CVD舱室内沉积CVD层,例如市售Applied Materials的CuxZ舱室,使用前述热加工。
分别在SIP铜成核层和IMP成核层上沉积20nm的CVD铜,以此进行试验。联合SIP得到相对光滑的CVD籽晶层,而联合IMP在CVD层上得到粗糙很多的表面乃至有不连续点。
CVD层184沉积的厚度范围例如可为5-20nm。然后可通过其他方法将铜填充洞孔的其余部分。在SIP铜成核层上面用CVD铜产生非常光滑的籽晶层有利于在待生长狭窄通道内通过电镀或常规PVD技术提供铜的有效洞孔填充。尤其在电镀中,光滑的铜核与籽晶层为激励电镀加工提供连续并近乎均匀的电极。
在通道或其他高深宽比洞孔的填充时,如图11截面图所示,不电镀而代之在SIP铜成核层180上沉积充分厚的CVD铜层188以完全填充通道将大为有益。CVD填充的优点是免除另外的电镀步骤。而且,低于0.13μm宽度洞孔的电镀难以控制需要的物流。
本发明这个实施方案的双铜层优点是允许用相对低的热预算进行铜沉积。钽在较高热预算时趋于从氧化物脱湿(dewet)。IMP对深洞填充有许多相同的覆盖优点,但IMP倾向在更高的温度下作业,因为它产生高能氩气离子流,将其能量耗散在待沉积的层上。另外,IMP恒定地将一些氩气离子注入沉积薄膜。与此相反,相对薄的SIP层以相对高的速度沉积,并且SIP方法并非天生炙热,因为它不用氩气。而且,SIP沉积速度比IMP快得多,所以任何炙热沉积是缩短许多,可达一半因数。
通过凉激发SIP等离子也降低热预算。凉的等离子激发和加工工序如图12流程图所示。插好的晶片通过负载锁定阀进入溅射反应器内,关闭负载锁定阀,并在步骤190使气压平衡。升高舱室氩气压力用以激发,一般在2和大约5-10毫乇之间,向晶片背后供入背后氩气冷却气体,背后气压大约5-10乇。在步骤192,用低等级靶功率激发氩气,其一般范围是1-5kW。查明激发等离子之后,在步骤194,快速例如3秒泄降舱室压力,并保持靶功率在低等级。如果计划采用持续自溅射,则关断舱室氩气源,而等离子继续处于SSS模式。为了自离化等离子溅射,可减少氩气供给。继续供给背后冷却气体。在步骤196,氩气压一旦降低,将靶功率快速骤升到预定溅射的量级,例如对200mm晶片是10-24kW或更多,选择SIP或SSS溅射。通过并行地降低压力和骤降功率将步骤194,196结合是可行的。在步骤198中,以选择的量级给靶供能持续一段必要的时间,以便溅射沉积材料达到选定的厚度。这个激发工序比预定激发使用的溅射功率等级要冷一些。较高的氩气压力有助于激发,但如果在所要求的较高功率等级继续溅射沉积就对溅射的中性(粒子)有危害作用。在较低的激发功率时,仅有非常少的铜沉积,因为是降低的功率下低沉积速度。还有,基架冷却保持晶片硬冷贯穿激发工序始终。
本发明包括一种综合的方法,优选在一种综合多重舱室工具上实施,如图13平面图概括说明的一种Endura 5500平台。该平台的功能叙述见Tepman等人的美国专利US5186718。
已刻蚀在介质层上带通道洞孔或其他结构的晶片通过装配两个单独作业的负载锁定舱室202、204出入系统,该舱室用于将晶片从装入各自负载锁定舱室的晶片盒传入和传出系统。装载的晶片盒进入负载锁定舱室202、204之后,泵吸舱室到合适的低压力,其范围例如10-3-10-4乇,打开第一负载锁定舱室和第一晶片传递舱室206之间未画出的狭缝阀。此后第一晶片传递舱室206保持在低压。
置于第一晶片传递舱室206的机械手208将晶片从盒传递到两个脱气/定向舱室210、212之一,然后传递到第一等离子预清洁舱室214,其中用氢或氩等离子体清洁晶片表面。如果将沉积CVD阻挡层,第一机械手将晶片送入CVD阻挡层舱室216。沉积CVD阻挡层后,机械手208传递晶片到过渡舱室218,从其内的第二机械手220将晶片送到第二传递舱室222。未画出的狭缝阀将舱室214、216、218与第一传递舱室206隔开,以便将加工和压力水平分开。
第二机械手220有选择地传递晶片出入周围排列的反应舱室。第一IMP溅射舱室224专门沉积铜。SIP溅射舱室226专门进行SIP铜成核层的沉积。如果要通过IMP沉积例如Ta/TaN的至少一部分的阻挡层,第二IMP溅射舱室230专门溅射高温金属,尽可能在反应性氮气等离子中溅射。该相同的IMP舱室230可用来沉积高温金属及其氮化物。CVD舱室228专门沉积铜籽晶层并能用来完成洞孔填充。224、226、228、230中的每个舱室通过未画出的狭缝阀向第二传递舱室222选择开放;让使用不同配置成为可能。例如,具体在使用CVD完成洞孔填充时,IMP舱室224、230之一用第二CVD铜舱室替代。
低压加工之后,第二机械手220将晶片传递到中间寄放的热舱室232,如果先前加工是炙热的它就可以是凉下来的舱室,或者如需要金属涂层退火时就是快速热加工(RTP)舱室。热处理后,第一机械手208取下晶片并将其返回负载锁定舱室202、204之一内的晶片盒。当然,也能用本发明实施其他配置,取决于统筹加工的步骤。
整个系统通过计算机类控制器240进行控制,通过勾通有关各个舱室未画出的子控制器的控制总线242进行操作。输入控制器240的加工方法通过可读介质读取,诸如可插入控制器240的软盘或光盘,或者通讯连线246。
本发明装置和方法的许多特征都能用于不涉及长投射的溅射。
尽管本发明这次具体用于铜层间(inter-level)金属化,但本发明的不同方面皆能用于溅射其他材料和其他目的。
总之,本发明提供一种利用简单元件组合的改良溅射舱室,在溅射某些有难度几何形状时仍然有效。本发明还为高深宽比的洞孔填充铜提供一种直接的方法。本发明进一步简化等离子激发方法。所有这些都有益于金属特别是铜的洞孔填充技术发展,而且只在现有技术上作简单改变。

Claims (61)

1.一种在基体上溅射沉积铜的方法,包括步骤:
提供一种舱室,具有主要包括铜的靶,该靶与夹持待溅射涂覆基体的基架以一种投射距离隔开,该投射距离大于基体直径的50%;
绕靶后背旋转磁控管,所述磁控管面积不大于靶面积的大约1/4,并且包括被一种相反磁极性的外磁极环绕的一种磁极性的内磁极,所述外磁极的磁通量比所述内磁极的磁通量大至少50%;
在舱室激发等离子体后,泵吸所述舱室至其压力不超过5毫乇;和
泵吸所述舱室到所述压力的同时,对规格化为200mm晶片的所述靶施加至少10kW的DC功率,从而自所述靶向所述基体上溅射铜。
2.根据权利要求1的方法,其中所述施加步骤相对于环绕所述靶和所述支托之间间隔的接地屏蔽件施加所述DC功率,所述方法进一步包括提供一种从所述靶的前面3-5cm之间的所述靶的一侧向所述支托伸出的电浮动屏蔽件。
3.根据权利要求2的方法,其中所述压力低于2毫乇。
4.根据权利要求3的方法,其中所述投射距离大于所述基体直径的80%。
5.根据权利要求4的方法,其中所述投射距离大于所述基体直径的140%。
6.根据权利要求1的方法,其中所述压力低于2毫乇。
7.根据权利要求5的方法,其中所述压力低于1毫乇。
8.根据权利要求7的方法,其中所述投射距离大于所述基体直径的80%。
9.根据权利要求1的方法,其中所述施加步骤对规格化为所述200mm晶片的所述靶施加至少18kW的DC功率。
10.根据权利要求9的方法,其中所述施加步骤规格化为所述200mm晶片的所述靶施加至少24kW的DC功率。
11.根据权利要求1的方法,其中所述压力低于1毫乇,所述投射距离大于所述基体直径的140%,且所述施加步骤对规格化为所述200mm晶片的所述靶施加至少24kW的DC功率。
12.根据权利要求1的方法,其中将所述铜沉积进入在所述基体介电层上形成的洞孔,且该洞孔的深宽比至少4∶1。
13.根据权利要求12的方法,其中所述铜在所述基体上平面表面沉积的厚度在50-300nm之间,并进一步包括将铜填入所述洞孔其余部分。
14.根据权利要求13的方法,其中所述厚度在80-200nm之间。
15.根据权利要求13的方法,其中所述填充步骤包括电镀。
16.根据权利要求13的方法,其中所述填充步骤包括化学汽相沉积。
17.根据权利要求12的方法,其中:
所述压力低于2毫乇;
所述投射距离大于所述基体直径的80%;
所述施加步骤相对于环绕在所述靶和所述支托之间间隔的接地屏蔽件施加所述DC功率,所述方法进一步包括提供一种从所述靶的前面3-5cm之间的所述靶的一侧向所述支托伸出的电浮动屏蔽件。
18.一种沉积方法,沉积铜进入基体介电层上形成且深宽比至少4∶1的洞孔,包括步骤:
第一步骤,在能够自离化等离子体溅射的第一溅射反应器内溅射沉积第一铜层以便在所述洞孔边壁形成铜层但并不填充所述洞孔;和
第二步骤,在所述第一层上沉积第二铜层。
19.根据权利要求18的方法,进一步包括在能够离化金属镀的第二溅射反应器内溅射沉积第三铜层的所述第二步骤之前实施第三步骤。
20.根据权利要求19的方法,其中在所述第一步骤之前实施所述第三步骤。
21.根据权利要求19的方法,其中所述第一溅射反应器仅使用RF电容耦合形成自离化等离子体而所述第二溅射反应器至少部分使用RF感应耦合形成高密度等离子体。
22.根据权利要求19的方法,其中所述第一步骤沉积第一覆盖厚度的铜而所述第三步骤沉积第二覆盖厚度的铜,所述第一对所述第二覆盖厚度的比例范围是30∶70-70∶30。
23.根据权利要求19的方法,其中所述第二步骤包括电镀。
24.根据权利要求18的方法,其中所述第一步骤在舱室压力低于5毫乇下实施。
25.根据权利要求18的方法,其中所述第一层在所述介电层上表面有50-300nm的厚度。
26.根据权利要求18的方法,其中所述厚度在80-150nm之间。
27.根据权利要求18的方法,其中所述第二步骤用铜填充所述洞孔。
28.根据权利要求18的方法,其中所述第二步骤包括化学汽相沉积。
29.根据权利要求28的方法,进一步包括第三步骤,亦即在所述第二层上电镀包括铜的第三层,从而用铜填充所述洞孔。
30.根据权利要求28的方法,其中所述第二步骤用铜填充所述洞孔。
31.一种沉积方法,沉积铜进入基体介电层上形成且深宽比至少4∶1的洞孔,包括步骤:
第一步骤,在溅射沉积第一铜层的等离子体溅射反应器内实施第一步骤以便在所述洞孔边壁形成铜层但并不填充所述洞孔;和
第二步骤,在所述第一铜层上CVD沉积第二铜层。
32.根据权利要求31的方法,其中所述第一步骤在自离化等离子体溅射条件下实施所述第一步骤。
33.根据权利要求30的方法,其中所述第二步骤填充所述洞孔。
34.根据权利要求31的方法,进一步包括将铜电镀进入所述洞孔并填充的第三步骤。
35.用于等离子体溅射反应器中的一对屏蔽件,包括第一常规环形对称屏蔽件和第二常规环形对称屏蔽件,两者可参照地同轴:
其中所述第一屏蔽件包括
第一圆筒形部分,沿所述轴延伸第一长度并具有连接所述第一凸缘部分的第一直径,并有第一直径,
第二圆筒形部分,沿所述轴延伸第二长度,并有比所述第一直径小的第二直径,
连接所述第一和第二圆筒形部分的第一过渡部分,
连接所述第二圆筒形部分并沿所述轴径向延伸的碗形部分,
第三圆筒形部分,沿所述轴延伸小于所述第一长度的第二长度并有比所述第二直径小的第三直径;
其中所述第二屏蔽件包括
从所述轴径向向外延伸的第二凸缘部分,
第四圆筒形部分,沿所述轴延伸小于所述第一长度的第四长度并有比所述第一直径小的第三直径,
第五圆筒形部分,沿所述轴延伸小于所述第二长度的第五长度并有比所述第二直径大但比所述第三直径小的第四直径,和
连接所述第四第五圆筒形部分的第二过渡部分;并且
其中所述第一和第二屏蔽件可沿所述轴定位以便在(a)所述第一和第四圆筒形部分,(b)所述第一和第二过渡部分,和(c)所述第二和第五圆筒形部分之间形成回旋通路。
36.根据权利要求35的一对屏蔽件,其中所述第二长度至少2cm。
37.根据权利要求36的一对屏蔽件,其中所述第二长度不大于3cm。
38.根据权利要求35的一对屏蔽件,其中在所述第二和第五圆筒形部分之间的回旋通路部分有至少8∶1的深宽比。
39.根据权利要求35的一对屏蔽件,其中所述回旋通路邻近所述两个过渡部分形成至少两个至少90°弯曲。
40.一种等离子体溅射反应器,包括:
一种金属真空舱室,含有对舱室轴准直的基架并有支托表面来支托待溅射沉积的基体;
一种包括在所述基体上待溅射沉积材料的靶,并对所述真空舱室电绝缘;
一般对所述轴对称的第一导电屏蔽件,支托在并且电连接所述舱室,并且从所述靶沿离开所述舱室边壁延伸到所述支托表面背后的高度;
被所述舱室支托的一种电绝缘件;
一般对所述轴对称的第二导电屏蔽件,支托在所述绝缘件上,对所述舱室和所述靶电绝缘,和从所述靶并沿着而且在所述第一屏蔽件的部分内部延伸;
其中在所述第一和第二屏蔽件之间形成一种深宽比至少4∶1的通路并从所述第二屏蔽件的底梢延伸到对所述绝缘件裸露的区域。
41.根据权利要求40的反应器,其中所述靶的所述材料主要包括铜。
42.根据权利要求40的反应器,其中所述通路有至少8∶1的深宽比和2.5-3mm之间的宽度。
43.根据权利要求40的反应器,其中所述通路包括两个至少45°的弯曲。
44.根据权利要求43的反应器,其中所述弯曲是90°,以便形成所述通路在所述两个屏蔽件之间的水平延伸部分。
45.一种等离子体溅射反应器,包括:
一种金属真空舱室,含有对舱室轴准直的基架并有支托表面来支托待溅射沉积的基体;
一种包括在所述基体上待溅射沉积材料的靶,并对所述真空舱室电绝缘;
一般对所述轴对称的第一导电屏蔽件,支托在并且电连接所述舱室,并且从所述靶沿所述舱室边壁延伸到所述支托表面背后的高度;
被所述舱室支托的一种电绝缘件;
一般对所述轴对称的第二导电屏蔽件,支托在所述绝缘件上,对所述舱室和所述靶电绝缘,并从所述靶沿着而且在所述第一屏蔽件的部分内部延伸,其中第二屏蔽件以自所述靶前面起至少2cm距离终止在所述靶的朝向所述基架一侧。
46.根据权利要求45的反应器,其中自所述靶的所述前面起的距离不大于3cm。
47.根据权利要求45的反应器,其中所述电绝缘件对轴一般对称且轴向高度比径向宽度大。
48.根据权利要求47的反应器,进一步包括一种金属夹具环,将所述第一屏蔽件固定到所述金属真空舱室并有一个在径向内侧上朝向所述靶延伸的边缘,其中所述电绝缘件在面向所述基架一侧的内部边角上有个凹穴容纳所述边缘,从而将所述绝缘件与所述夹具环调直。
49.根据权利要求48的反应器,其中所述第一屏蔽件有个小垂片从所述第一屏蔽件邻近所述靶一侧向所述基架延伸,并且其中所述电绝缘件在面向离开所述基架一侧的外角上有个第二凹穴容纳所述小垂片,从而将所述第一屏蔽件与所述绝缘件调直。
50.根据权利要求45的反应器,进一步包括:
一种第二绝缘件,支托在并且密封所述真空舱室;和
一种溅射靶,有个凸缘部分支托在并且密封所述第二绝缘件;
其中所述第二屏蔽件沿所述靶的靶部分一侧延伸,并且
其中不大于2mm的径向环状对称的间隔能够形成在所述第二屏蔽件径向向外部分和所述第二绝缘件径向向内部分之间,从而校准所述第二屏蔽件和所述靶部分之间的暗间隔。
51.根据权利要求45的反应器,其中在所述第一和第二屏蔽件之间形成有两个90°弯曲的回旋通路。
52.根据权利要求45的反应器,其中所述靶包括主要包括铜的靶部分。
53.一种在等离子体溅射反应器中激发等离子体的方法,包括工序步骤:
将工作气体通入所述舱室;
将所述工作气体激发成等离子体同时保持所述舱室在第一压力并向所述靶施加第一量级的功率;
在所述激发步骤之后,所述舱室压力降低到低于所述第一压力的第二压力;
所述激发步骤之后,向所述靶施加的所述功率增加到大于所述第一量级功率的第二量级功率;和
完成在所述舱室内基体上薄膜的溅射沉积。
54.根据权利要求53的方法,其中所述增加步骤紧随所述降低步骤。
55.根据权利要求53的方法,其中并行实施所述增加和降低步骤。
56.根据权利要求53的方法,其中所述靶包括铜。
57.根据权利要求53的方法,其中所述第一量级功率对规格化为直径200mm晶片的区域不大于5kW而所述第二量级功率对规格化为直径200mm晶片的区域大于10kW。
58.根据权利要求53的方法,其中所述降低步骤包括通过直接通入所述舱室的舱口孔减少工作气体的供给。
59.根据权利要求58的方法,其中所述减少所述供给包括中止所述供给。
60.根据权利要求53的方法,其中所述第一压力大于5毫乇并且所述第二压力小于5毫乇。
61.根据权利要求60的方法,其中所述第二压力低于1毫乇。
CN00135989A 1999-10-08 2000-10-08 溅射铜用自离化的等离子体 Pending CN1301880A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/414,614 1999-10-08
US09/414,614 US6398929B1 (en) 1999-10-08 1999-10-08 Plasma reactor and shields generating self-ionized plasma for sputtering

Publications (1)

Publication Number Publication Date
CN1301880A true CN1301880A (zh) 2001-07-04

Family

ID=23642197

Family Applications (1)

Application Number Title Priority Date Filing Date
CN00135989A Pending CN1301880A (zh) 1999-10-08 2000-10-08 溅射铜用自离化的等离子体

Country Status (6)

Country Link
US (4) US6398929B1 (zh)
EP (1) EP1091016A3 (zh)
JP (1) JP5226913B2 (zh)
KR (1) KR100843514B1 (zh)
CN (1) CN1301880A (zh)
TW (1) TW483944B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1656243B (zh) * 2001-11-14 2010-06-16 应用材料有限公司 用于溅射和再溅射的自离子化及电感耦合等离子体
CN101410952B (zh) * 2006-01-31 2010-06-23 东京毅力科创株式会社 种膜的成膜方法、等离子体成膜装置和存储介质
CN103352201A (zh) * 2006-05-03 2013-10-16 应用材料公司 适合于蚀刻高纵横比特征的真空处理室
CN104752330A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 硅通孔深孔填充工艺
WO2015172549A1 (zh) * 2014-05-13 2015-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及半导体加工设备
CN105200379A (zh) * 2014-06-25 2015-12-30 北京北方微电子基地设备工艺研究中心有限责任公司 沉积薄膜的磁控溅射方法
CN108063099A (zh) * 2017-11-30 2018-05-22 长江存储科技有限责任公司 物理气相沉积机台对孔或沟槽的填充能力的检测方法
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
CN109473331A (zh) * 2017-09-08 2019-03-15 北京北方华创微电子装备有限公司 腔室屏蔽装置和半导体处理腔室
CN115572949A (zh) * 2022-09-16 2023-01-06 广州湾区半导体产业集团有限公司 一种双镀源物理气相沉积工艺及多模式物理气相沉积设备

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6306265B1 (en) * 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US7382142B2 (en) 2000-05-23 2008-06-03 Nanonexus, Inc. High density interconnect system having rapid fabrication cycle
US6812718B1 (en) 1999-05-27 2004-11-02 Nanonexus, Inc. Massively parallel interface for electronic circuits
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6610184B2 (en) 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
WO2003042424A1 (en) * 2000-10-10 2003-05-22 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US7952373B2 (en) 2000-05-23 2011-05-31 Verigy (Singapore) Pte. Ltd. Construction structures and manufacturing processes for integrated circuit wafer probe card assemblies
AU2001272643A1 (en) 2000-07-27 2002-02-13 Stephen Robert Burgess Magnetron sputtering
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
JP4703828B2 (ja) * 2000-09-07 2011-06-15 株式会社アルバック スパッタリング装置及び薄膜製造方法
US6489231B1 (en) * 2001-07-17 2002-12-03 Lsi Logic Corporation Method for forming barrier and seed layer
US20020092673A1 (en) * 2001-01-17 2002-07-18 International Business Machines Corporation Tungsten encapsulated copper interconnections using electroplating
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
KR100744669B1 (ko) * 2001-06-28 2007-08-02 주식회사 하이닉스반도체 구리를 사용한 대머신 금속배선 형성 방법
US20030015421A1 (en) * 2001-07-20 2003-01-23 Applied Materials, Inc. Collimated sputtering of cobalt
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6503824B1 (en) * 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US7041201B2 (en) 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6846396B2 (en) * 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US7147759B2 (en) * 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US6853142B2 (en) * 2002-11-04 2005-02-08 Zond, Inc. Methods and apparatus for generating high-density plasma
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US20040103365A1 (en) * 2002-11-27 2004-05-27 Alan Cox System, method, and computer program product for an integrated spreadsheet and database
AU2003292630A1 (en) * 2002-12-27 2004-07-29 Matsushita Electric Industrial Co., Ltd. Electronic device and method of manufacturing the same
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
DE10304103B4 (de) * 2003-01-31 2005-02-03 Infineon Technologies Ag Verfahren zur Herstellung von mit Aluminium gefüllten Kontaktlöchern
US6805779B2 (en) * 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
TWI247576B (en) * 2003-03-28 2006-01-11 Hon Hai Prec Ind Co Ltd Method of manufacturing electromagnetic interference shield
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US7745332B1 (en) 2008-02-29 2010-06-29 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
EP1642330A4 (en) * 2003-06-24 2011-09-28 Ibm PLANAR MAGNETIC TUNNEL BARRIER SUBSTRATE WITH OUTSTANDED ALIGNMENT MARKINGS
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US20050133361A1 (en) * 2003-12-12 2005-06-23 Applied Materials, Inc. Compensation of spacing between magnetron and sputter target
KR100591154B1 (ko) * 2003-12-31 2006-06-19 동부일렉트로닉스 주식회사 연결 콘택과의 접촉 저항을 줄이는 반도체 소자의 금속패턴 형성 방법
US6974984B2 (en) * 2003-12-31 2005-12-13 Intel Corporation Method to sputter deposit metal on a ferroelectric polymer
US20050173799A1 (en) * 2004-02-05 2005-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method for its fabricating
US9123508B2 (en) * 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7718042B2 (en) * 2004-03-12 2010-05-18 Oc Oerlikon Balzers Ag Method for manufacturing sputter-coated substrates, magnetron source and sputtering chamber with such source
US7030016B2 (en) * 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
JP2006019708A (ja) * 2004-06-04 2006-01-19 Toshiba Corp 半導体装置の製造方法及び半導体装置
US20060014378A1 (en) * 2004-07-14 2006-01-19 Sanjeev Aggarwal System and method to form improved seed layer
US20060030069A1 (en) * 2004-08-04 2006-02-09 Chien-Wei Chang Packaging method for manufacturing substrates
US7189650B2 (en) 2004-11-12 2007-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for copper film quality enhancement with two-step deposition
US7256120B2 (en) * 2004-12-28 2007-08-14 Taiwan Semiconductor Manufacturing Co. Method to eliminate plating copper defect
KR100642750B1 (ko) * 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4733990B2 (ja) * 2005-02-01 2011-07-27 株式会社昭和真空 スパッタ装置
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
WO2006093953A1 (en) * 2005-02-28 2006-09-08 Tosoh Smd, Inc. Sputtering target with an insulating ring and a gap between the ring and the target
JP4931173B2 (ja) * 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
US7618521B2 (en) * 2005-03-18 2009-11-17 Applied Materials, Inc. Split magnet ring on a magnetron sputter chamber
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060239800A1 (en) * 2005-04-26 2006-10-26 Roger Hamamjy Pulsed DC and RF physical vapor deposition cluster tool
US7250363B2 (en) * 2005-05-09 2007-07-31 International Business Machines Corporation Aligned dummy metal fill and hole shapes
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
JP4923450B2 (ja) * 2005-07-01 2012-04-25 富士ゼロックス株式会社 バッチ処理支援装置および方法、プログラム
US20070012663A1 (en) * 2005-07-13 2007-01-18 Akihiro Hosokawa Magnetron sputtering system for large-area substrates having removable anodes
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070084720A1 (en) * 2005-07-13 2007-04-19 Akihiro Hosokawa Magnetron sputtering system for large-area substrates having removable anodes
US20070012558A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc. Magnetron sputtering system for large-area substrates
US20070012559A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc. Method of improving magnetron sputtering of large-area substrates using a removable anode
JP4236201B2 (ja) * 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20070051616A1 (en) * 2005-09-07 2007-03-08 Le Hienminh H Multizone magnetron assembly
US20070056850A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
JP4412293B2 (ja) * 2006-02-08 2010-02-10 セイコーエプソン株式会社 スパッタ装置
US20070215049A1 (en) * 2006-03-14 2007-09-20 Applied Materials, Inc. Transfer of wafers with edge grip
US20070227878A1 (en) * 2006-03-29 2007-10-04 Roger Hamamjy Forming ovonic threshold switches with reduced deposition chamber gas pressure
US7355192B2 (en) * 2006-03-30 2008-04-08 Intel Corporation Adjustable suspension assembly for a collimating lattice
US20070259519A1 (en) * 2006-05-02 2007-11-08 International Business Machines Corporation Interconnect metallization process with 100% or greater step coverage
US8273222B2 (en) * 2006-05-16 2012-09-25 Southwest Research Institute Apparatus and method for RF plasma enhanced magnetron sputter deposition
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7815782B2 (en) * 2006-06-23 2010-10-19 Applied Materials, Inc. PVD target
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
US20080014732A1 (en) * 2006-07-07 2008-01-17 Yanping Li Application of PVD W/WN bilayer barrier to aluminum bondpad in wire bonding
KR100744424B1 (ko) * 2006-08-29 2007-07-30 동부일렉트로닉스 주식회사 반도체소자의 제조방법
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US8221602B2 (en) * 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8277617B2 (en) * 2007-08-14 2012-10-02 Southwest Research Institute Conformal magnetron sputter deposition
US7659197B1 (en) * 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US20090127097A1 (en) * 2007-11-16 2009-05-21 Kei-Wei Chen Forming Seed Layer in Nano-Trench Structure Using Net Deposition and Net Etch
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US7964504B1 (en) 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
KR102134276B1 (ko) 2008-04-16 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
CN102017077B (zh) 2008-05-02 2012-09-19 应用材料公司 用于射频物理气相沉积的处理套组
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
EP2209132A1 (en) * 2009-01-16 2010-07-21 Applied Materials, Inc. Charged particle beam PVD device, shielding device, coating chamber for coating substrates, and method of coating
US8137517B1 (en) 2009-02-10 2012-03-20 Wd Media, Inc. Dual position DC magnetron assembly
JP5423085B2 (ja) * 2009-03-24 2014-02-19 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20120013383A (ko) * 2009-04-13 2012-02-14 어플라이드 머티어리얼스, 인코포레이티드 메탈라이즈드 탄소 나노튜브 및 나노섬유를 함유한 복합 재료
JP5611350B2 (ja) * 2009-08-11 2014-10-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf物理気相堆積用のプロセスキット
WO2011053288A1 (en) 2009-10-28 2011-05-05 Hewlett-Packard Development Company, L.P. Protective coating for print head feed slots
US8133362B2 (en) * 2010-02-26 2012-03-13 Fujifilm Corporation Physical vapor deposition with multi-point clamp
US8747631B2 (en) * 2010-03-15 2014-06-10 Southwest Research Institute Apparatus and method utilizing a double glow discharge plasma for sputter cleaning
US8591709B1 (en) 2010-05-18 2013-11-26 WD Media, LLC Sputter deposition shield assembly to reduce cathode shorting
US8841211B2 (en) * 2010-06-09 2014-09-23 Applied Materials, Inc. Methods for forming interconnect structures
US20120261770A1 (en) * 2011-04-14 2012-10-18 Kun-Hsien Lin Metal gate structure
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US8497202B1 (en) 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US9177788B2 (en) * 2012-03-12 2015-11-03 Veeco Ald Inc. Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation
US8674327B1 (en) 2012-05-10 2014-03-18 WD Media, LLC Systems and methods for uniformly implanting materials on substrates using directed magnetic fields
SG11201500038PA (en) 2012-07-05 2015-02-27 Intevac Inc Method to produce highly transparent hydrogenated carbon protective coating for transparent substrates
US20150235821A1 (en) * 2012-09-19 2015-08-20 General Plasma, Inc. Uniform force flange clamp
US10692706B2 (en) * 2013-03-12 2020-06-23 Applied Materials, Inc. Methods and apparatus for reducing sputtering of a grounded shield in a process chamber
US9644262B2 (en) * 2013-03-15 2017-05-09 Applied Materials, Inc. Self-centering process shield
US10096455B2 (en) * 2013-09-17 2018-10-09 Applied Materials, Inc. Extended dark space shield
US10370764B2 (en) 2013-11-06 2019-08-06 Applied Materials, Inc. Isolator for a substrate processing chamber
US9502653B2 (en) * 2013-12-25 2016-11-22 Ignis Innovation Inc. Electrode contacts
US10008372B2 (en) * 2014-02-19 2018-06-26 Sakai Display Products Corporation Film deposition apparatus
CN103769830A (zh) * 2014-02-19 2014-05-07 上海交通大学 复杂模具表面微观结构特征的制备方法
CN103789740A (zh) * 2014-02-19 2014-05-14 上海交通大学 平面模具表面微观结构特征的制备方法
US10157733B2 (en) 2016-01-29 2018-12-18 Applied Materials, Inc. Methods for igniting a plasma in a substrate processing chamber
CN108699680A (zh) 2016-03-09 2018-10-23 捷客斯金属株式会社 含有氩或氢的铜以及铜合金靶
CN108780971B (zh) 2016-06-18 2020-08-04 莫列斯有限公司 选择性屏蔽的连接器通道
JP6788393B2 (ja) * 2016-06-29 2020-11-25 東京エレクトロン株式会社 銅膜を形成する方法
US10886113B2 (en) 2016-11-25 2021-01-05 Applied Materials, Inc. Process kit and method for processing a substrate
WO2019049472A1 (ja) * 2017-09-07 2019-03-14 株式会社アルバック スパッタリング装置
US11286554B2 (en) * 2017-09-07 2022-03-29 Ulvac, Inc. Sputtering apparatus
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
WO2020088413A1 (zh) * 2018-11-02 2020-05-07 北京北方华创微电子装备有限公司 内衬组件、反应腔室及半导体加工设备
CN112210763B (zh) * 2019-07-11 2022-05-24 联芯集成电路制造(厦门)有限公司 在晶片上沉积金属层的方法
US11315771B2 (en) * 2020-07-14 2022-04-26 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11670492B2 (en) * 2020-10-15 2023-06-06 Applied Materials, Inc. Chamber configurations and processes for particle control
US11618943B2 (en) * 2020-10-23 2023-04-04 Applied Materials, Inc. PVD target having self-retained low friction pads
US11670493B2 (en) * 2020-11-13 2023-06-06 Applied Materials, Inc. Isolator ring clamp and physical vapor deposition chamber incorporating same
US11948784B2 (en) 2021-10-21 2024-04-02 Applied Materials, Inc. Tilted PVD source with rotating pedestal

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3810452B2 (ja) * 1995-03-23 2006-08-16 株式会社アルバック マグネトロンスパッタ成膜装置
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5658442A (en) * 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
KR200155046Y1 (ko) * 1996-04-16 1999-08-16 구본준 반도체 소자 제조공정용 스퍼터링 시스템의 자기장 조절구조
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
JP3429957B2 (ja) * 1996-08-28 2003-07-28 松下電器産業株式会社 スパッタリング方法及び装置
JP3847866B2 (ja) 1996-11-21 2006-11-22 株式会社アルバック スパッタリング装置
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6451179B1 (en) * 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US5830330A (en) * 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US5795451A (en) * 1997-06-12 1998-08-18 Read-Rite Corporation Sputtering apparatus with a rotating magnet array
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
GB2346008B (en) * 1997-10-01 2002-04-10 Complete Multilayer Solutions Visual display
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
JP3187011B2 (ja) * 1998-08-31 2001-07-11 日本電気株式会社 半導体装置の製造方法
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6187670B1 (en) * 1998-12-02 2001-02-13 Advanced Micro Devices, Inc. Multi-stage method for forming optimized semiconductor seed layers
US6261946B1 (en) * 1999-01-05 2001-07-17 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by high bias deposition
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
CN1656243B (zh) * 2001-11-14 2010-06-16 应用材料有限公司 用于溅射和再溅射的自离子化及电感耦合等离子体
CN101410952B (zh) * 2006-01-31 2010-06-23 东京毅力科创株式会社 种膜的成膜方法、等离子体成膜装置和存储介质
CN103352201B (zh) * 2006-05-03 2016-06-08 应用材料公司 适合于蚀刻高纵横比特征的真空处理室
CN103352201A (zh) * 2006-05-03 2013-10-16 应用材料公司 适合于蚀刻高纵横比特征的真空处理室
US9991109B2 (en) 2006-05-03 2018-06-05 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN104752330A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 硅通孔深孔填充工艺
WO2015172549A1 (zh) * 2014-05-13 2015-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及半导体加工设备
CN105097401B (zh) * 2014-05-13 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及半导体加工设备
US9978570B2 (en) 2014-05-13 2018-05-22 Beijing Naura Microelectronics Equipment Reaction chamber and semi-conductor processing device
CN105097401A (zh) * 2014-05-13 2015-11-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及半导体加工设备
CN105200379B (zh) * 2014-06-25 2018-03-09 北京北方华创微电子装备有限公司 沉积薄膜的磁控溅射方法
CN105200379A (zh) * 2014-06-25 2015-12-30 北京北方微电子基地设备工艺研究中心有限责任公司 沉积薄膜的磁控溅射方法
CN109473331A (zh) * 2017-09-08 2019-03-15 北京北方华创微电子装备有限公司 腔室屏蔽装置和半导体处理腔室
CN108063099A (zh) * 2017-11-30 2018-05-22 长江存储科技有限责任公司 物理气相沉积机台对孔或沟槽的填充能力的检测方法
CN115572949A (zh) * 2022-09-16 2023-01-06 广州湾区半导体产业集团有限公司 一种双镀源物理气相沉积工艺及多模式物理气相沉积设备

Also Published As

Publication number Publication date
JP2001226767A (ja) 2001-08-21
KR100843514B1 (ko) 2008-07-04
KR20010050926A (ko) 2001-06-25
US6582569B1 (en) 2003-06-24
US6413383B1 (en) 2002-07-02
JP5226913B2 (ja) 2013-07-03
TW483944B (en) 2002-04-21
US6893541B2 (en) 2005-05-17
EP1091016A3 (en) 2001-06-13
US20030124846A1 (en) 2003-07-03
US6398929B1 (en) 2002-06-04
EP1091016A2 (en) 2001-04-11

Similar Documents

Publication Publication Date Title
CN1301880A (zh) 溅射铜用自离化的等离子体
CN1656243B (zh) 用于溅射和再溅射的自离子化及电感耦合等离子体
US7504006B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US8668816B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7294574B2 (en) Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US7659204B2 (en) Oxidized barrier layer
CN1620712A (zh) 用于溅射和再溅射的自离子化和电感耦合的等离子体
US20040031680A1 (en) One or more shields for use in a sputter reactor
US20180327893A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR20010051101A (ko) 텅스텐, 알루미늄 및 구리 제공을 위한 라이너, 장벽,및/또는 씨드 층으로서의 pvd-imp 텅스텐 및 질화텅스텐
CN1155057C (zh) 形成电子结构中铜导体的方法
WO2003042424A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication