CN1327612A - 具有平铺式瓷衬的半导体加工设备 - Google Patents

具有平铺式瓷衬的半导体加工设备 Download PDF

Info

Publication number
CN1327612A
CN1327612A CN00802344A CN00802344A CN1327612A CN 1327612 A CN1327612 A CN 1327612A CN 00802344 A CN00802344 A CN 00802344A CN 00802344 A CN00802344 A CN 00802344A CN 1327612 A CN1327612 A CN 1327612A
Authority
CN
China
Prior art keywords
plasma
spare
chamber
processing system
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00802344A
Other languages
English (en)
Other versions
CN1215525C (zh
Inventor
威廉·S·肯尼迪
罗伯特·A·马拉斯钦
杰罗姆·S·休贝塞克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1327612A publication Critical patent/CN1327612A/zh
Application granted granted Critical
Publication of CN1215525C publication Critical patent/CN1215525C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors

Abstract

等离子体加工室包括一个瓷衬,该瓷衬C瓷砖形式安装在一弹性支承件上。衬里和其它部件如气体分配板和等离子体屏蔽件都可以用SiC制造,SiC有利于限制等离子体并提供室的内表面温度控制。衬里可以用加热器加热,该加热器通过热传导将热量提供给衬里。为了除去衬里中过量的热,弹性支承件可以是铝制支承框架,该支承框架将热从衬里传导到一温度控制件,如室的顶板上。支承框架可以包括连续式上面部分和分段式下面部分,该分段式下面部分能在等离子体室内加工半导体基片过程中适应热应力。

Description

具有平铺式瓷衬的半导体加工设备
本发明涉及半导体加工设备,更具体地说,涉及一种用于加工室,如等离子体蚀刻室的瓷衬。
在半导体加工领域,通常是采用真空加工室,它通过将一种蚀刻或淀积用的气体供应到真空室中并对该气体加一射频(RF)场,将气体激励成等离子体状态,来在基片上蚀刻和化学汽相淀积(CVD)材料。在共有的U.S.专利Nos.4,340,462;4,948,458;5,200,232和5,820,723中,公开了平行板反应器,变压器耦合等离子体(TCPTM)反应器(也叫做电感耦合等离子体(ICP)反应器),和电子回旋共振(ECR)反应器及它们构件的例子。由于在这些反应器中等离子体环境的腐蚀性及使颗粒物和/或重金属污染减至最小的要求,所以十分希望这种设备及其构件都显示很高的耐蚀力。
在半导体基片的加工过程中,一般是利用机械夹紧件和静电夹紧件(ESC),在真空室内的适当位置处,将基片固定在基片托架上。这类夹紧系统及其构件的例子,可以在共有的U.S.专利Nos.5,262,029和5,838,529中找到。
生产气体可以用各种方法,如通过排气嘴,气环,气体分配板等将生产气体供应到室中。控制用于感应耦合等离子体反应器及其构件的气体分配板温度的例子,可以在共有的U.S.专利No.5,863,376中找到。
铝及铝合金通常用于制造等离子体反应器的壁。为了防止反应器壁腐蚀,已推荐了各种技术,来用各种涂层涂覆铝表面。例如,U.S.专利No.5,641,375公开了将铝制室壁阳极化,来减少壁的等离子体侵蚀和磨损。上述的专利‘375说明,最后阳极化层被溅蚀掉或蚀刻掉,并且该室必须更换。U.S.专利No.5,680,013说明,在U.S.专利No.4,491,496中公开了一种用于将Al2O3火焰喷涂在蚀刻室金属表面上的技术。上述的专利‘013说明,由于热循环和涂层在腐蚀性环境中的最后破坏,所以在铝和瓷涂层和氧化铝之间的热膨胀系数上的差异导致涂层破裂。U.S.专利No.5,085,727公开了一种用于等离子体室壁的碳涂层,其中涂层用等离子体参与的CVD淀积。
为了保护室壁,U.S.专利Nos.5,366,585;5,556,501;5,788,799;5,798,016;和5,885,356都推荐了衬里配置。例如,‘585专利公开了一种独立式的瓷衬,该瓷衬具有至少0.005英寸的厚度并由固体氧化铝机加工而成。‘585专利还提到,可使用一种陶瓷层,其淀积不用消耗内层铝,可通过火焰喷涂或等离子体喷涂的氧化铝提供。‘501专利公开了一种与加工过程相容的聚合物或石英或瓷制的衬里。‘799专利公开了一种控制温度的瓷衬,该瓷衬具有一埋入其中的电阻加热器并且瓷体可以是氧化铝,二氧化硅,二氧化钛,二氧化锆,碳化硅,碳化钛,碳化锆,氮化铝,氮化硼,氮化硅和氮化钛。‘016专利公开了一种陶瓷,铝,钢和/或石英衬里,同时优选用铝,因为它容易机加工并具有氧化铝,Sc2O3或Y2O3涂层,优选用Al2O3涂覆铝,以便对铝提供保护,免受等离子体的影响。‘356专利公开了一种供在CVD室中使用的晶片基座氧化铝瓷衬和氮化铝瓷屏蔽层。U.S.专利No.5,904,778公开了一种涂覆在独立式SiC上的SiC CVD涂层,供作室壁,室顶部,或围绕晶片的卡圈(collar)用。U.S.专利No.5,292,399公开了一种包围晶片基座的SiC环。在U.S.专利No.5,182,059中公开了一种制备烧结SiC的技术。
关于等离子体反应器构件,如喷头气体分配系统,已经对有关喷头的材料提出了各种各样的建议。例如,共有的U.S.专利No.5,569,356公开了一种由硅、石墨或碳化硅制造的喷头。U.S.专利No.5,888,907公开了一种由无定形碳。SiC或A1制造的喷头电极。U.S.专利Nos.5,066,200和5,022,979公开了一种喷头电极,它或是完全用SiC制成,或是用涂有SiC的碳基底制成(该SiC涂层用CVD法淀积),以便提供十分纯的SiC表面层。
由于对半导体加工设备的构件需要有很高纯度和耐蚀力,所以在该技术中有必要对这类用于构件的材料和/或涂层方面加以改进。此外,在反应室材料方面,能够增加等离子体反应室使用寿命并因此减少设备停工时间的任何材料,在降低加工半导体片成本方面都是有利的。
本发明提供一种用于等离子体加工室的平铺式衬里,半导体基片可以在该室内进行加工。平铺式衬里包括一个瓷砖组合件,它设置在室的一个侧壁内部。等离子体室可以包括基片支承件、气源和能源。基片支承件设置在反应室的内部空间,在该基片支承件上可以加工一个或多个基片。室的侧壁在基片支承件周边的外部间隔开,并且气源将生产气体供应到内部空间。能源在基片加工过程中将内部空间中的生产气体激励成等离子体状态。
按照优选实施例,平铺式衬里用一弹性支承件如弹性接合或一弹性可弯曲的金属框架支承。例如,弹性支承件可以包括一个弹性接合,它将每块瓷砖都附接到各自的金属衬垫件上。各金属衬垫件可以支承在有弹性可弯曲的金属框架上,该金属框架由热控制件这样支承,以便热量可以经由一热流路线(thermal path)从瓷砖中排出,上述热流路线通过弹性接合,金属衬垫件和可弯曲的金属框架延伸到热控制件。可供选择地,弹性支承件可以包括在每块瓷砖和室侧壁之间的弹性接合。
砖可以是一连串互锁的瓷砖如SiC砖。弹性可弯曲的金属框架可以包括一个内框架件和一个外框架件,上述内框架件由外框架件支承,而外框架件由室支承。内框架件和外框架件可以加工成一定形状,以便在等离子体加工系统工作过程中适应砖衬和框架件上不同的热应力。在这种配置中,外框架件的上部可以用室的热控制部件支承,外框架件的下部可以附接到内框架件的下部,而平铺式衬里可以用内框架件支承。另外,可弯曲的金属框架可以包括一连续式上部和分段式的下部。例如,可弯曲的金属框架可以是圆筒形,而分段式下部可以包括若干轴向上延伸的分段,这些分段通过轴向延伸的缝槽(slot)相互分开。如果内金属框架件和外金属框架件都是圆筒形,并包括连续式上部和分段式下部,则分段式的下部包括在轴向上延伸的若干分段,它们通过轴向延伸的缝槽相互分开。
按照本发明的另一个实施例,陶瓷等离子体屏蔽件从平铺式衬里的下部向内延伸,同时该陶瓷等离子体屏散件包括若干通道,在基片加工过程中,生产气体和反应副产品穿过这些通道从反应室内部排出。例如,陶瓷等离子体屏蔽件可以包括多个分蔽的屏蔽件分段,它们支承在室侧壁和基片支承件之间的环形空间内,通道包括从室侧壁径向上向内延伸的缝槽。陶瓷等离子体屏蔽件可以通过一导电的弹性接合附接到可弯曲的金属框架上,并且该等离子体屏蔽件可以通过该弹性接合电接地到可弯曲的金属框架上。
图1示出按照本发明第一实施例所述的等离子体反应室,其中平铺式衬里支承在围绕基片支承件的弹性框架上;
图2示出没有各种构件(包括衬里)的图1等离子体反应室;
图3示出本发明的一个实施例,其中瓷衬包括一连串的安装在衬垫板上的砖;
图4示出图3等离子体反应室的透视图;
图5示出图3等离子体反应室中引入晶片的缝槽祥图;
图6示出图3中砖的各边如何以互锁配置方式装配在一起;和
图7示出图3所示的装置在200个晶片运转过程中,其加热器法兰、砖和下部法兰的温度变化曲线图。
按照本发明,一种包括瓷砖组合件的平铺式衬里可以达到下述其中一个或多个目的:通过提供穿过衬里的电接地路线,保持良好的等离子体形成;通过提供衬里的热控制,避免加工过程变动;通过提供一弹性衬里支承件,克服瓷构件和金属构件之间不同的热膨胀,及通过屏蔽A1室壁防止铝(“Al”)的污染,并加工基片中的构件。关于衬里的电接地,将瓷衬用导电材料制造。优选的瓷材料是Si或SiC,这些材料可以达到很高的纯度,并发现它们对等离子体室如等离子体蚀刻室中所看到的腐蚀性条件显示出很高的耐蚀力。
本发明提供一种等离子体室,其中各种构件(包括瓷衬)都用Si或SiC制造。这些材料在等离子件环境中是兼容的,因为Si或SiC的等离子体侵蚀(erosion)产生气态的Si或C的化合物,可以将它们从室中抽出而没有基片的颗粒污染。关于热控制,现已发现SiC显示出特别高的导热性,该导热性能在加工基片如硅片过程中将衬里加热或冷却到所希望的温度范围。关于克服不同的膨胀,将按照本发明所述的弹性支承件设计成使瓷衬能在反应室内自由地膨胀或收缩。关于防止Al污染,瓷衬将等离子体限制在衬里的内部,并因此避免了Al壁或构件被等离子体腐蚀。
按照本发明所述的真空加工室可用于各种半导体等离子体加工工艺,如:蚀刻,淀积,抗剥离(resist stripping)等。图1示出具有电感耦合等离子体源的单片真空加工室2的一个例子,其中通过合适的装置(未示出),如气体分配环,气体分配板,喷射喷嘴等,将加工气体供应到加工室2中,并通过合适的真空泵在该室的内部4中保持真空。在室中待加工的基片可以包括支承在基片支承件8上的硅半导体片6。基片支承件8可以包括一个静电卡盘和一个聚焦环10。真空泵可以连接到端壁如加工室底部中的大出口孔12上。真空加工室可以包括一个介质窗14,一个气体分配板16,并可以通过一外部RF电线如平面线圈18将RF电源加到室中,上述平面线圈18在一个端壁如室顶部上的介质窗14的外部。然而,等离子体发生源可以是任何其它类型的等离子体发生设备,如ECR反应器,平行板反应器,螺旋反应器,螺旋谐振器等。等离子体发生源可以附接到一个组合式安装装置如环形安装用法兰上,该环形安装用法兰可移动式安装在反应室的端壁上。
为了保持安装用法兰和室2之间的真空紧密密封,可将合适的环形密封圈装配在室2端壁中的槽内,并且RF屏蔽件可围绕真空密封件。如果由真空泵提供大的真空力,则不必用紧固件来将安装用法兰附接到室2上。取而代之的是,安装用法兰可以简单地搁在室2的端壁上。如果希望的话,可以将等离子体发生源组合件的安装用法兰或另外部件这样铰接到室2上,以便等离子体发生源可绕轴旋转到一个方向,如使用室2内部4的垂直方向上。
室包括由瓷砖构成的衬里20。用于将等离子体限制在包围晶片6的空间中的等离子体屏蔽件22从衬里20的下端向内延伸。在图1所示的实施例中,衬里20用一弹性可弯曲的框架支承,该弹性可弯曲框架包括一个内支承框架24和一个外支承框架26。为了在加工基片过程中使衬里保持在所希望的温度下,加热器28设置在内框架支承件24的顶部上。在操作时,加热器28加热衬里20是有效的,并且可以通过温度控制件30完成从衬里20中排去热量,该温度控制件经由内框架和外框架从衬里中排出热量。其它类型的加热装置,如一种埋置在衬里中的加热器或合适的径向加热装置也可以使用。在1999.9.23发布的共有的U.S.专利序列号No09/401,308(Attorney DocketNO.015290-369)中,公开了一种合适的辐射加热器详细情况,其公开内容特此合并参考。
如图2所示,反应室可以具有一种模块设计,它能将各种各样的等离子体发生源安装于其上。另外,基片8可以这样支承在以悬臂方式安装的支承臂一端处,以便可以通过使组合件穿过室侧壁中的开口32从室中取出整个基片支承件/支承臂组合件。室可以用任何合适的材料制造,并且按照本发明的优选实施例,室用单件铝或铝合金制成。
按照本发明的第一实施例,等离子体室衬里20包括互锁的瓷衬元件如平砖34,如图3和4所示。为了提供等离子体的电接地路线,砖34优选地是导电性材料如硅或碳化硅。这种材料提供一种增加的利益在于:它不含铝,并因此而减少了加工过基片的Al污染。按照优选实施例,是将SiC砖结合到铝衬板36上。一种优选的结合材料是导热弹性体38,它可以吸收由SiC和Al不同热膨胀系数所引起的侧向应力。每个砖和衬板的组合件都可以用一弹性可弯曲的框架40附接到室壁上,该框架40包括一个内框架42和一个外框架44。通过用导线49供电的加热器48和温度控制件50,达到衬里的温度控制。
弹性接合可以包括任何合适的弹性材料如一种聚合物材料,该聚合物材料与真空环境兼容,并且在高温下如高于200℃时抗热降解。弹性体材料可以任选地包括一种导电和/或导热颗粒的填料或其它形状的填料,如金属丝网,纺织或非纺织的导电纤维等。可在超过160℃的等离子体环境中使用的聚合材料包括聚酰亚胺,聚酮,聚醚酮,聚醚砜,聚对苯二甲酸乙酯,氟代乙烯-丙烯共聚物,纤维素,三乙酸酯,硅氧烷,和橡胶。高纯弹性材料的例子包括:从GeneralElectric购买的单组分室温固化的粘结剂,如RTV133和RTV167;从General Electric购买的一种单组分可流动的热固化(比如高于100℃)粘结剂如TSE3221,和从Dow Corning购买的两部分加成固化的弹性体如“SILASTIC”。特别优选的弹性体是从Rhodia购买的聚二甲基硅氧烷,它含有弹性体如催化剂固化(比如,Pt固化)的弹性体,如V217,该弹性体在250℃和更高温度下是稳定的。
在弹性体是一种导电弹性体的情况下,导电填料可以包括导电金属或金属合金的颗粒。一种供在等离子体反应室对杂质敏感的环境中使用的优选金属是一种铝合金,如含重量百分数为5—20%硅的铝基合金。例如,铝合金可以包括重量百分数约为15%的硅。然而,也可以用硅或碳化硅的填料颗粒。
等离子体屏蔽件52从砖34的下面边缘向里延伸。等离子体屏蔽件52优选的是一种导电陶瓷材料如硅或碳化硅,并且包括若干开口54,这些开口54都小到足够限制等离子体,但它们能用真空泵将生产气体和加工副产品除去。
加热器48可以包括一个埋置在铝铸件中的电阻加热元件。这样,通过使电流穿过加热元件,将把热量供应到铝铸件上,该铝铸件又将热量导入内框架42,铝衬板36,导热弹性体38并导入砖34。在加热器铝制主体加热和冷却过程中,加热器将比砖34所形成的瓷衬膨胀到更大程度。为了适应这种膨胀和收缩,将内支承框架和外支承框架加工成形到可弹性弯曲。例如,可以将框架这样分段,以便其一连串的径向上延伸的下面部分被轴向上延伸的缝槽分离开。此外,可以将内框架和外框架加工成形到提供所希望的导热性量。例如,外框架44可以是一种金属如铝或铝合金制造,并且其下面部分可以具有足够排出衬里中热量的厚度,而其薄的上面部分足够能在加工半导体基片过程中由于热应力而使外部框架充分弯曲。
图5示出室壁的一部分,其中通过传送缝槽55可以将基片如晶片导入室中和从室中取出。在图5所示的装置中,某些砖34在靠近缝槽55的轴向方向上较短。缝槽55可以用整件陶瓷材料或者用另外的材料如阳极化铝制出。例如,其中具有缝槽55的陶瓷材料可以包括任何合适的材料,如涂覆CVD SiC的粉浆浇铸的SiC,整体(bulk)CVD SiC,有或没有CVD SiC涂层的烧结SiC,反应结合的SiC,石墨转化SiC等。图5示出如何能围绕缝槽55装配内支承框架的详细情况。外支承框架(未示出)可以用同样方法加工成形。
为了阻挡晶片6和室壁46之间的视线,每块砖34都可以具有边缘56,它们与相邻砖的配合边缘互锁,如图6所示。正如在这个可供选择的实施例中所示出的,室58可以具有多边形内表面60,其中通过一导电和导热的弹性体直接将砖结合到反应室的平表面60上。这种配置是有利的,因为它具有比砖/背衬板配置更少的部件,并能更快地实施取出衬里用于清洗和更换。
在上述实施例中,反应室中的等离子体可以被气体分配板的Si或SiC表面、衬里、等离子体屏蔽件和基片支承件限制,该基片支承件向上贯穿等离子体屏蔽件的内周边。由于Si或SiC表面位于等离子体和反应室的铝表面之间,所以与具有Al表面直接面对基片的反应室相比,使等离子体溅射Al表面减至最少并减少了Al污染加工过的晶片。
在衬里包括Si或SiC砖,而这些砖是通过导电和/或导热弹性体结合材料结合到铝衬垫板上的实施例中,可以将砖定好尺寸和/或加工成形,以便用覆盖整个等离子体室内壁周边的方式装配在一起。室壁可以是任何所希望的形状,如圆筒形,多边形等。一个合适的观察孔让各个晶片进出该室,并可以设置一附加的开口,以便能用常规辅助设备如过程监测设备进行各种测量。砖可以具有面向室内部的矩形平表面。可供选择地,砖的暴露面可以这样弯曲,以便砖形成室的圆筒形内壁。
在砖和衬垫板组合件用螺栓固定到Al制内支承框架(它绕室的内壁延伸)上的实施例中,可以适应在等离子体室起动,工作和停止工作过程中所产生的热应力。可以选择SiC的数量,以便达到所希望的对部件和/或结合应力的限制,上述结合应力由于在等离子体室中遇到的热力而产生。
在内支承框架的下面法兰用螺栓固定到Al制外支承框架的下面边缘上,及将外支承框架的上面边缘处的法兰用螺栓固定到位于室顶部的顶板上的实施例中,外支承件分段成被缝槽分开的垂直延伸的板,这些缝槽从外支承框架的下端延伸到顶部法兰。为了提供SiC砖表面的温度控制,可以将位于内支承框架顶部法兰上方的加热器用螺栓固定到内框架上。在这种装置情况下,加热器可以发热,该热可以从内支承框架热传导到衬板和SiC砖上。加热器可以包括一单电阻加热器,它完全围绕室的内壁延伸。可供选择地,加热器可以包括任何合适的加热器装置,该装置达到所希望的衬里温度控制,比如,在等离子体蚀刻介质材料如二氧化硅的过程中,使衬里的内表面保持在所希望的温度下,如在80—160℃温度范围内。
室可以包括包围基片支承件的等离子体屏蔽件。可以用任何合适的技术将环形屏蔽件附接到载环上。例如,可以用前面讨论过的弹性体结合材料将屏蔽件粘结式结合到载环上。此外,可以这样将载环用螺栓固定到内框架上的下面法兰上,以便将屏蔽件夹紧在载环和法兰之间。屏蔽件可以是任何合适的材料制造,该材料将承受用于半导体生产的等离子体环境。碳化硅是一种用于屏蔽件的优选材料。屏蔽件可以包括一个整体环或多个间隔开的环分段。例如,屏蔽件可以包括圆周上间隔开的分段。
在通过输送缝槽将晶片转入和转出室的实施例中,内框架和外框架其中都包括切口,并且包围缝槽的砖这样安排,以使较小的砖在缝槽的下面,而较大的砖在缝槽的上面。缝槽的内部可以用一晶片通道插件形成。用于插件的优选材料是碳化硅。插件可以包括整件材料或几件材料的组合件。中等高度的砖和短砖优选地是粘结式与弹性体一起结合到同样尺寸的衬垫板上,该衬垫板又用螺栓固定到内框架上。
按照本发明的实施例,其中通过用SiC砖覆盖表面来避免铝构件的视线表面,砖的边缘优选地是这样设计,以便它们相互叠加。例如,砖可以具有配合的边缘,其中将一块砖上的伸出部分容纳在相邻砖的凹槽中。这种效果可以通过任何边缘设计得到,其中在砖的相对表面之间不设置直线路线。因此,配合的弯曲式或多边式边缘表面,如V形、U形、W形、槽形、缺口形、偏移形等等类型的边缘都可以提供所希望的配合砖边缘。
互锁的砖接合消除了到铝构件的视线,并适应衬里构件在等离子体室起动,工作和/或停止工作过程中的不同热膨胀/收缩作用。例如,来自加热器的热和/或淀积在砖上的等离子体离子热能,由内框架进行热传导,经由弹性体结合,向上传到外框架并传入室的顶板。由于顶板通过冷却通道进行水冷却,所以将通过外框架传递的热从室中除去。
在加工半导体基片的过程中,可以在室中产生等离子体之前,用加热器将砖预热。例如,可以用加热器将砖加热到所希望的温度,并且可以用一热控制系统来调节加热器功率,以便使砖保持在所希望的温度下。在室中产生等离子体之后,控制系统可以自动地减少加热器功率,以便保持所希望的时间温度变化。另外,可以调节内框架和/或外框架的热阻抗,以便达到所希望的砖工作温度范围并限制加热器的最高温度。
图7示出在等离子体蚀刻一批半导体片过程中,于内支承件加热器法兰,内支承件下面法兰,和砖衬垫板处测得的温度。2℃的温度波动由一个蚀刻周期中等离子体离子能的变动引起。用于下面法兰的温度设定点是100℃。
在加工半导体基片,如等离子体蚀刻硅片的过程中,为了使蚀刻加工过程中产生的气态副产品中的聚合物淀积减至最少,理想情况是使暴露于等离子体中的室表面保持在约80℃至约160℃,优选的是在110至150℃的温度下。此外,这些表面的这种温度控制达到在按顺序加工单个晶片过程中使加工变化减少。按照图1—7中所示装置的优选实施例,在室中起弧等离子体之前,电阻加热器通过热传导将瓷衬加热,亦即,来自加热器的热量通过弹性Al制框架传到瓷衬。在这种装置中,加热器和与其接触的Al框架部分可以加热到约300℃,以便将瓷衬加热到约150℃。弹性Al框架由内框架和外框架构成,它能使与加热器接触的这部分Al框架相对于与瓷衬接触的Al框架部分膨胀,并因此适应中间部分Al框架上的任何弯曲应力。
为了提供高纯和/或高导热性的SiC构件,这些构件可以用CVD SiC制造。CVD SiC可以淀积在一个基片如石墨上,并生长到所希望的厚度,在此之后如通过机加工来除去基片。例如,在圆筒形衬里情况下,可以在一石墨圆筒上将CVD SiC淀积到所希望的厚度,并在以后切削去掉石墨圆筒,同时留下CVD SiC圆筒衬里。CVD SiC的优点包括高导热率(比如,CVD SiC具有比烧结SiC多约一倍的热导率)和特制的电阻率(比如,Sic的电阻率可以从导电体改变到半导电体)。反应器构件采用CVD SiC的优点是:它能跨过反应器内部构件的表面得到十分均匀的温度分布。在其中使构件保持在足够高的温度下,以便使该构件暴露面上形成的聚合物减至最少进行加工的情况下,从温度控制和产生颗粒最少的观点来看,采用CVD SiC是十分有利的。
上面已经说明了本发明的原理,优选实施例和操作方式。然而,不应当把本发明看作是局限于所讨论的特定实施例。因此,应当把上述实施例看作是例证性的而不是限制性的,并且应该理解,在不脱离如下面权利要求所述的本发明范围的情况下,在那些实施例中可以由该技术的技术人员进行改变。

Claims (20)

1.一种可用于加工半导体基片的等离子体加工系统,包括:等离子体加工室,它具有一被室侧壁限定的内部空间;基片支承件,基片于内部空间之内在该支承件上加工,该室的侧壁在该基片支承件周边的外部间隔开;气源,在加工基片过程中可通过该气源将加工气体供应给内部空间;能源,它可以在加工基片过程中在内部空间将加工气体激励成等离子体;
瓷衬,它支承在室侧壁和基片支承件的周边之间,该瓷衬包括一个瓷砖组合件。
2.如权利要求1所述的等离子体加工系统,其特征在于,瓷衬用一弹性支承件支承,该弹性支承件包括一个可弯曲的金属框架。
3.如权利要求1所述的等离子体加工系统,其特征在于,瓷砖具互锁的边缘。
4.如权利要求1所述的等离子体加工系统,其特征在于,弹性接合将每块瓷砖都附接到相应的金属衬垫件上。
5.如权利要求4所述的等离子体加工系统,其特征在于,金属衬垫件支承在可弯曲的金属框架上,而可弯曲的金属框架被一热控制件这样支承,以便通过一条传热路线可以将热从瓷砖中排出,该传热路线贯穿弹性接合,金属衬垫件和可弯曲的金属框架延伸到热控制件。
6.如权利要求1所述的等离子体加工系统,其特征在于,各瓷砖通过每块瓷砖和室侧壁之间的弹性接合结合到室侧壁上。
7.如权利要求1所述的等离子体加工系统,其特征在于,瓷衬被一弹性支承件支承,该弹性支承件包括一可弯曲的金属框架,上述可弯曲的金属框架这样被热控制件支承,以便可以通过一热流路线将热量从瓷衬中除去,该热流路线贯穿可弯曲的金属框架延伸到热控制件。
8.如权利要求7所述等离子体加工系统,其特征在于,还包括一个加热器,该加热器这样被可弯曲的金属框架支承,以便加热器可以加热瓷衬。
9.如权利要求7所述的等离子体加工系统,其特征在于,可弯曲的金属框架包括一个分段式内框架件和一个分段式外框架件,弹性接合将每块瓷砖结合到相应的内框架件分段上,内框架件被外框架件支承,而外框架件被室支承。
10.如权利要求1所述的等离子体加工系统,其特征在于,瓷衬基本上由CVD SiC构成。
11.如权利要求1所述的等离子体加工系统,其特征在于,瓷砖基本上由涂覆有CVD Sic的烧结Sic构成。
12.如权利要求1所述的等离子体加工系统,其特征在于,瓷衬被一弹性支承件支承,该弹性支承件包括内金属框架件和外金属框架件,它们加工成一定形状,以便能在等离子体加工系统操作过程中,适应瓷衬和框架件上不同的热应力。
13.如权利要求13所述的等离子体加工系统,其特征在于,外框架件的上面部分被室的热控制部件支承,外框架件的下面部分附接到内框架件的下面部分上,而瓷衬被内框架件支承。
14.如权利要求1所述的等离子体加工系统,其特征在于,瓷衬其中包括一个缝槽,该缝槽作为基片进出该室的通道。
15.如权利要求14所述的等离子体加工系统,其特征在于,砖安排在缝槽的上方和下方,并且缝槽处于附接到衬里上的晶片通道插件中,砖和插件都是SiC制造。
16.如权利要求12所述的等离子体加工系统,其特征在于,内金属框架件和外金属框架件都是圆筒形,并且包括连续的上面部分和分段式的下面部分,分段式的下面部分包括若干轴向上延伸的分段,它们通过轴向上延伸的缝槽相互分开。
17.如权利要求1所述的等离子体加工系统,其特征在于,还包括一个瓷的等离子体屏蔽件,该屏蔽件从瓷衬的下面部分向里延伸,瓷等离子屏蔽件包括若干通道,在加工基片过程中,通过这些通道将生产气体和反应副产品从室的内部除去。
18.如权利要求17所述的等离子体加工系统,其特征在于,瓷等离子体屏蔽件用SiC制造,并包括多个分段,这些分段支承在室侧壁和基片支承件之间的环形空间中,通道包括从室侧壁径向上向里延伸的缝槽。
19.如权利要求17所述的等离子体加工系统,其特征在于,瓷等离子体屏蔽件通过一导电的弹性接合附接到一弹性支承件上,该弹性支承件包括一可弯曲的金属框架,并且等离子体屏蔽件通过弹性接合电接地到可弯曲的金属框架上。
20.一种用权利要求1所述的设备加工基片的方法,其特征在于,用室中产生的等离子体蚀刻支承在基片支承件上的各个基片。
CNB008023441A 1999-09-23 2000-09-11 具有平铺式瓷衬的半导体加工设备 Expired - Fee Related CN1215525C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/401,193 US6408786B1 (en) 1999-09-23 1999-09-23 Semiconductor processing equipment having tiled ceramic liner
US09/401,193 1999-09-23

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100847409A Division CN100392804C (zh) 1999-09-23 2000-09-11 具有平铺式瓷衬的半导体加工设备

Publications (2)

Publication Number Publication Date
CN1327612A true CN1327612A (zh) 2001-12-19
CN1215525C CN1215525C (zh) 2005-08-17

Family

ID=23586735

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2005100847409A Expired - Fee Related CN100392804C (zh) 1999-09-23 2000-09-11 具有平铺式瓷衬的半导体加工设备
CNB008023441A Expired - Fee Related CN1215525C (zh) 1999-09-23 2000-09-11 具有平铺式瓷衬的半导体加工设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2005100847409A Expired - Fee Related CN100392804C (zh) 1999-09-23 2000-09-11 具有平铺式瓷衬的半导体加工设备

Country Status (9)

Country Link
US (1) US6408786B1 (zh)
EP (1) EP1138055B1 (zh)
JP (1) JP4741129B2 (zh)
KR (1) KR100731557B1 (zh)
CN (2) CN100392804C (zh)
AU (1) AU7477900A (zh)
DE (1) DE60006933T2 (zh)
TW (1) TW512452B (zh)
WO (1) WO2001022471A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100380564C (zh) * 2002-09-30 2008-04-09 东京毅力科创株式会社 用于等离子体处理系统中的改进的折流板的方法和设备
CN100382660C (zh) * 2005-02-10 2008-04-16 应用材料公司 Rf等离子体产生和温度控制系统及其方法、等离子体化学气相沉积系统
WO2008049290A1 (fr) * 2006-10-20 2008-05-02 Beijing Nmc Co., Ltd. Équipement de traitement de semi-conducteurs
CN102222598A (zh) * 2010-04-19 2011-10-19 Ips株式会社 衬底处理装置
CN101515540B (zh) * 2008-02-20 2011-11-23 东京毅力科创株式会社 等离子体处理装置和等离子体处理装置的挡板
CN1682339B (zh) * 2002-09-30 2012-06-06 东京毅力科创株式会社 用于等离子体工艺系统中的改进的挡板的方法和装置
CN102549194A (zh) * 2009-10-05 2012-07-04 株式会社岛津制作所 表面波等离子体cvd设备以及成膜方法
CN101595245B (zh) * 2006-12-28 2012-11-07 埃克阿泰克有限责任公司 用来稳定涂层的方法和设备
CN109616396A (zh) * 2013-08-30 2019-04-12 应用材料公司 具有冷却真空密闭体的热壁反应器

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6889627B1 (en) * 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
KR100813083B1 (ko) * 2002-04-17 2008-03-14 셀레스 유도 가열 장치용 단열 가스 밀봉 및 진공 밀봉 챔버
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
JP3650772B2 (ja) * 2002-12-17 2005-05-25 松下電器産業株式会社 プラズマ処理装置
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8540843B2 (en) * 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
JP4460418B2 (ja) * 2004-10-13 2010-05-12 東京エレクトロン株式会社 シールド体および真空処理装置
US20060086458A1 (en) * 2004-10-25 2006-04-27 Kim Hong J Ceramic materials in plasma tool environments
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7767028B2 (en) 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP5567494B2 (ja) 2007-12-19 2014-08-06 ラム リサーチ コーポレーション 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
JP2009267070A (ja) * 2008-04-25 2009-11-12 Mitsubishi Materials Corp プラズマエッチング装置
JP2010238944A (ja) * 2009-03-31 2010-10-21 Panasonic Corp プラズマ処理装置
TWI503907B (zh) * 2010-04-14 2015-10-11 Wonik Ips Co Ltd 基板處理設備
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
JP5362920B2 (ja) * 2010-12-03 2013-12-11 シャープ株式会社 蒸着装置および回収装置
WO2012148370A1 (en) * 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
JP2015142016A (ja) * 2014-01-29 2015-08-03 東京エレクトロン株式会社 基板処理装置
CN105981140B (zh) * 2014-03-26 2018-08-31 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
US10309012B2 (en) * 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US11101068B2 (en) * 2016-04-29 2021-08-24 Trench Limited—Trench Group Canada Integrated barrier for protecting the coil of air core reactor from projectile attack
CN110121760B (zh) * 2016-12-27 2022-08-05 瑞士艾发科技 真空等离子体工件处理设备
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
DE102018002473A1 (de) * 2018-03-24 2019-09-26 Siempelkamp Maschinen- Und Anlagenbau Gmbh Mischvorrichtung für Fasern oder Späne und Bindemittel
KR102642790B1 (ko) * 2018-08-06 2024-03-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US20220139661A1 (en) * 2019-04-01 2022-05-05 One Semicon. Co., Ltd. Manufacturing method of plasma focus ring for semiconductor etching apparatus
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
JP7422531B2 (ja) * 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7365912B2 (ja) * 2020-01-10 2023-10-20 東京エレクトロン株式会社 エッジリング及び基板処理装置
CN113737155B (zh) * 2020-05-29 2023-04-18 江苏鲁汶仪器股份有限公司 一种提高pecvd清洗效率的腔体内衬装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS59151084A (ja) * 1983-02-18 1984-08-29 株式会社日立製作所 核融合装置
JPS61104291A (ja) * 1984-10-29 1986-05-22 株式会社日立製作所 核融合装置用真空容器及びその製造方法
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5182059A (en) 1989-01-17 1993-01-26 Ngk Insulators, Ltd. Process for producing high density SiC sintered bodies
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5292399A (en) 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5085727A (en) 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP2944861B2 (ja) * 1993-07-22 1999-09-06 帝国インキ製造株式会社 スクラッチ発色印刷方法及びスクラッチ発色印刷体
JP3061346B2 (ja) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
KR100331053B1 (ko) 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JPH10242130A (ja) * 1996-04-26 1998-09-11 Hitachi Ltd プラズマ処理方法及び装置
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100380564C (zh) * 2002-09-30 2008-04-09 东京毅力科创株式会社 用于等离子体处理系统中的改进的折流板的方法和设备
CN1682339B (zh) * 2002-09-30 2012-06-06 东京毅力科创株式会社 用于等离子体工艺系统中的改进的挡板的方法和装置
CN100382660C (zh) * 2005-02-10 2008-04-16 应用材料公司 Rf等离子体产生和温度控制系统及其方法、等离子体化学气相沉积系统
WO2008049290A1 (fr) * 2006-10-20 2008-05-02 Beijing Nmc Co., Ltd. Équipement de traitement de semi-conducteurs
CN101595245B (zh) * 2006-12-28 2012-11-07 埃克阿泰克有限责任公司 用来稳定涂层的方法和设备
CN101515540B (zh) * 2008-02-20 2011-11-23 东京毅力科创株式会社 等离子体处理装置和等离子体处理装置的挡板
CN102549194A (zh) * 2009-10-05 2012-07-04 株式会社岛津制作所 表面波等离子体cvd设备以及成膜方法
CN102222598A (zh) * 2010-04-19 2011-10-19 Ips株式会社 衬底处理装置
CN102222598B (zh) * 2010-04-19 2015-04-08 圆益Ips股份有限公司 衬底处理装置
CN109616396A (zh) * 2013-08-30 2019-04-12 应用材料公司 具有冷却真空密闭体的热壁反应器

Also Published As

Publication number Publication date
AU7477900A (en) 2001-04-24
CN1215525C (zh) 2005-08-17
WO2001022471A1 (en) 2001-03-29
EP1138055B1 (en) 2003-12-03
DE60006933D1 (de) 2004-01-15
KR20010080529A (ko) 2001-08-22
TW512452B (en) 2002-12-01
KR100731557B1 (ko) 2007-06-22
US6408786B1 (en) 2002-06-25
JP2003510810A (ja) 2003-03-18
CN1734710A (zh) 2006-02-15
DE60006933T2 (de) 2004-11-11
CN100392804C (zh) 2008-06-04
JP4741129B2 (ja) 2011-08-03
EP1138055A1 (en) 2001-10-04

Similar Documents

Publication Publication Date Title
CN1215525C (zh) 具有平铺式瓷衬的半导体加工设备
KR100797424B1 (ko) 반도체 공정 설비
EP1214732B1 (en) Semiconductor processing equipment having radiant heated ceramic liner
JP4477292B2 (ja) プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法
KR200482327Y1 (ko) 물리 기상 증착 챔버를 위한 실드, 스퍼터링 타겟의 스퍼터링 표면을 에워싸기 위한 실드, 및 프로세스 키트
US20030198749A1 (en) Coated silicon carbide cermet used in a plasma reactor
WO1999056309A1 (fr) Element protecteur pour la surface interne d'une chambre et appareil de traitement au plasma
WO2000019481A2 (en) Low contamination high density plasma processing chamber and methods for processing a semiconductor substrate
WO2004095532A2 (en) A barrier layer for a processing element and a method of forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050817

Termination date: 20140911

EXPY Termination of patent right or utility model