CN1375859A - 半导体器件的制造方法 - Google Patents

半导体器件的制造方法 Download PDF

Info

Publication number
CN1375859A
CN1375859A CN02107474A CN02107474A CN1375859A CN 1375859 A CN1375859 A CN 1375859A CN 02107474 A CN02107474 A CN 02107474A CN 02107474 A CN02107474 A CN 02107474A CN 1375859 A CN1375859 A CN 1375859A
Authority
CN
China
Prior art keywords
circuit
secondary coil
substrate
film
drive circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN02107474A
Other languages
English (en)
Other versions
CN100372051C (zh
Inventor
广木正明
山崎舜平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1375859A publication Critical patent/CN1375859A/zh
Application granted granted Critical
Publication of CN100372051C publication Critical patent/CN100372051C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2601Apparatus or methods therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2607Circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/3025Wireless interface with the DUT
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/006Electronic inspection or testing of displays and display drivers, e.g. of LED or LCD displays

Abstract

本发明提供一种制造半导体器件的方法,该方法包括能确认形成在阵列衬底上的电路或电路元件是否正常运行的非接触检测工艺,并通过消除浪费以保持缺陷产品形成,能降低制造成本。利用形成在检验衬底上的初级线圈和形成在阵列衬底上的次级线圈整流和整形由电磁感应产生的电动势,由此将电源电压和驱动信号输送给TFT衬底上的电路或电路元件,以便驱动它。

Description

半导体器件的制造方法
发明背景
1、发明的领域
本发明涉及半导体器件的制造方法,包括利用半导体特性的半导体元件(如晶体管,特别是场效应晶体管;通常为金属氧化物半导体(MOS)晶体管和薄膜晶体管(TFT))的检测工艺。更具体地说,本发明涉及非接触型检测装置和使用该检测装置的检测方法。本发明还涉及包括这种半导体元件的检测工艺的半导体器件的制造方法。
2、相关技术的说明
在有源矩阵型液晶显示器和电致发光(EL)显示器中,TFT一般提供在每个象素中。在液晶显示器的情况下,在形成在每个象素中的多个TFTs中,有些TFTs用做开关元件,而另一些控制电流。
在完成产品之前在制造其中形成大量TFTs的显示器期间在早期阶段包含识别缺陷产品的检测工艺对于降低成本是非常有效的。原因如下:不需要对缺陷产品进行后续步骤;由于早期发现,因此很容易修复缺陷产品,等等。
例如,在EL显示器中,EL元件的一个电极(象素电极)和电容器可以利用形成其间的晶体管互相连接。即使在用于控制发光元件的光发射的电路或电路元件中有某些麻烦,也很难证实存在缺陷,直到完成EL显示器和即使进行显示为止。关于实际上没有成为产品的EL面板,为了区别于满意的产品,形成发光元件,进行封装,并固定连接器以完成EL显示器,由此对EL显示器进行检测。
在这种情况下,形成发光元件、封装和固定连接器的工艺变得没有用了,因此不能降低减少时间和成本。此外,即使在采用多削角(multiple-chamfered)衬底形成EL面板的情况下,封装和固定连接器的工艺就没有用了,因此也不能减少时间和成本。
为了检测其中由于半导体膜、绝缘膜或布线(以下只称为“图形”)的图形宽度变化而引起的操作故障的部分和其中由于灰尘或缺陷膜形成引起的布线断开或短路的部分,并且为了证实要检测的电路或电路元件是否正常工作,进行检测。这种缺陷检测主要分为光学检测法和探针检测法。
根据光学检测法,由CCD等读取形成在衬底上的图形,并且被读取图形与参考图形比较以识别缺陷。根据探针检测法,细针(探针)的端部放在衬底一侧上,并在探针之间的电流或电压的大小基础上识别缺陷。通常,前种方法称为非接触型检测法,后种方法称为触针型检测法。
通过其中布线直接连接(接触)到TFT衬底上的上述检测法识别能用于产品的好的TFT衬底和不能用于产品的缺陷TFT衬底。但是,根据该方法,在连接布线固定和去除期间,灰尘可能附着于衬底上。此外,根据通过直接使细针(探针)与布线接触的检测缺陷部分的方法,布线可能被损坏。这种检测方法在检测工艺期间可能不必要地增加缺陷产品。而且,根据光学检测法,需要很长时间以检测很多次。
发明概要
因此,鉴于上述原因,本发明的目的是提供包括非接触型检测工艺的半导体器件的制造方法,该检测工艺在EL显示器完成之前能够确认形成在TFT衬底上的电路或电路元件是否正常工作,以便批量生产有源矩阵型EL显示器。
本发明的发明人发现了一种方法,即在不直接将检测装置连接到阵列衬底上的情况下,通过电磁感应向布线产生电动势而允许电流流过TFT衬底的布线。
更具体地说,为了检测TFT衬底,分开制备检验衬底。检验衬底具有初级线圈,要检测的阵列衬底(TFT衬底)具有次级线圈。
次级线圈是通过构图形成在衬底上的导电膜形成的。根据本发明,可以使初级线圈和次级线圈是这样的:其中在中心提供磁性物质以形成磁通路。而且,可以采用在中心不提供磁性物质的线圈。
检验衬底的初级线圈与阵列衬底的次级线圈重叠,因此其间保持预定间隔,并且在初级线圈的两端施加交流电压,由此在次级线圈的两端产生电动势。希望检验衬底和阵列衬底之间的间隔尽可能的小,并且初级线圈和次级线圈优选互相靠近到能控制其间的间隔的程度。
作为在次级线圈中产生的电动势的交流电压在TFT衬底中被校正之后适当地变平缓,因此得到电压可用做用于驱动TFT衬底的电路或电路元件的直流电压(以下称为“电源电压”)。此外,作为在次级线圈中产生的电动势的交流电压被波形整形电路等适当整形,因此得到的电压可以用做用于驱动TFT衬底的电路或电路元件的信号(以下称为“驱动信号”)。
驱动信号或电源电压施加于TFT衬底,由此驱动电路或电路元件。当电路或电路元件被驱动时,在电路或电路元件中产生弱电磁波或电场。通过确认弱电磁波或电场的状态,从大量电路或电路元件中可发现包括没有正常工作的电路或电路元件的TFT衬底。
作为确认在电路或电路元件中产生弱电磁波或电场的方法,可采用公知方法。
根据本发明,由于上述构形,在不直接将探针连接于TFT衬底的情况下,可确认TFT衬底对于产品的适合性。因此,减少了在检测工艺期间由固着于TFT衬底上的灰尘产生的缺陷,这防止了成品率的下降。与光学检测法不一样,在一个检测工艺中可确定TFT衬底对于产品的适合性。因此检测工艺更简单化了,并且在批量生产的情况下可以在短时间内进行检测工艺。此外,没有形成不必要的发光元件。
附图的简要说明
图1表示检验衬底和阵列衬底之间的关系;
图2A-2D示意性地表示只发光器件的工艺;
图3表示整流电路和波形整形电路的电路图;
图4A和4B示意性地表示检验衬底和阵列衬底;
图5表示阵列衬底和TFT衬底之间的关系;
图6表示检验衬底和阵列衬底之间的关系;
图7A-7D表示本发明的典型实施例;
图8A-8C表示本发明的典型实施例;
图9A-9C表示本发明的典型实施例;
图10A和10B表示本发明的典型实施例;
图11表示本发明的典型实施例;
图12表示本发明的典型实施例;
图13表示本发明的典型实施例;
图14表示本发明的典型实施例;
图15A-15C表示本发明的典型实施例;
图16A-16C表示本发明的典型实施例;
图17A和17B表示本发明的典型实施例;
图18A和18B表示本发明的典型实施例;
图19A-19H表示在显示部分中采用根据本发明制造的发光器件的电设备;
图20A和20B表示从交流向脉动电流被整流的信号随着时间的变化;
图21A-21C表示通过增加脉动电流产生的直流随着消逝时间的改变;
图22是表示在检测过程中阵列衬底和检验衬底的透视图;
图23A-23C表示放大的线圈;和
图24A-24F表示本发明的典型实施例。
优选实施例的详细说明
实施方式1
在本实施方式中,将参照图1-5和图20A-B到23A-23C介绍在阵列衬底100上制造多个TFT衬底101的工艺、确认制造的TFT衬底的质量的检测工艺、和在被确定满意的TFT衬底上形成发光元件的工艺。
在本实施方式中,将介绍在TFT衬底上形成发光元件的情况。但是,本发明不仅适用于具有发光元件的发光器件(EL显示器),而且适用于采用利用半导体特性的半导体元件的所有电设备,如液晶显示器件,例如,晶体管、特别是场效应晶体管,通常为MOS(金属氧化物半导体)晶体管和TFT(薄膜晶体管)。
首先,在阵列衬底100上形成包括TFTs、象素部分103、变压器的次级线圈104a、整流电路104b和波形整形电路104c的驱动电路102、和外部信号输入端子105。图2A-2D中所示的TFT控制流过象素部分103中的发光元件的电流,并且在本说明书中,这种TFT将称为电流控制TFT。通过采用提供在检验衬底上的变压器初级线圈,变压器的次级线圈以非接触方式给TFT衬底输送驱动电源和驱动信号。整流电路将从初级线圈施加的交流电压整流成直流电压。波形整形电路将从初级线圈施加的交流电压校正为驱动信号的波形(或接近于信号波形的形状)。
图3表示整流电路104b和波形整形电路104c的示意电路图。图4A和4B表示阵列衬底100和检验衬底106的示意顶视图。
然后,在形成在衬底200上的TFTs201上形成层间绝缘膜202,然后修平。作为层间绝缘膜202,可采用选自聚酰亚胺、丙烯酸树脂、聚酰胺、聚酰亚胺-酰胺、环氧树脂和苯并环丁烯(BCB)的有机树脂材料或选自氧化硅和氮氧化硅的无机绝缘材料。平均膜厚设定为约1.0-2.0μm(图2A)。
此外,在层间绝缘膜202上形成绝缘膜203,随后形成带有所希望图形的抗蚀剂掩模,并形成到达TFTs201的漏区的接触孔以提供布线204。可以通过利用溅射或真空蒸汽淀积,形成由Al、Ti或其合金制成的导电金属膜,然后构图成所希望的形状,由此获得布线。
之后,形成要作为发光元件的阳极的透明导电膜205。透明导电膜205通常是由氧化铟锡(ITO)或与2-20%氧化锌(ZnO)混合的氧化铟形成的。
刻蚀透明导电膜205以形成象素电极206。形成要成为堤的有机绝缘膜207(在本说明书中,在象素电极上具有开口并设置成以便覆盖象素电极的端部的绝缘膜将被称为“堤”),可以在有机绝缘膜的表面上形成抗静电膜,以便防止带电。形成抗静电膜的第一个原因是为了防止在后面进行的检测工艺期间灰尘附着于阵列衬底。
第二个原因如下。作为用于发光元件的电极材料,采用碱金属材料如Al和Mg,它们可能对TFT特性产生关键性的损伤。当碱金属混合在TFT的有源层中时,TFT的电特性改变,这就不可能保证随着时间消逝的可靠性。为了防止TFT特性被损坏,TFT制造工艺处理室(洁净空间)与发光元件制造工艺处理室(洁净空间)分开,由此防止TFT的有源层被碱金属污染。这样,在移动处理室时,防止灰尘附着于阵列衬底。
由于上述原因,在有机绝缘膜上提供抗静电膜。在本实施方式中,抗静电膜可以由能通过水清洗去除的公知抗静电材料形成。可采用任何防止带电的措施代替形成抗静电膜。图5表示这种状态中的阵列衬底的示意图。
然后,进行用于确认形成在阵列衬底上的电路或电路元件的工作的检测。下面参照图1(图22)介绍检测工艺。
在与制造TFTs的相同工艺期间,在阵列衬底100上,形成次级线圈104a、整流电路104b和波形整形电路104c。检验衬底106设置在阵列衬底100上方。
检验衬底106以非接触方式(带有预定间隔)水平覆盖在阵列衬底100附近。检验衬底106输送电源电压和驱动信号,并在电场和电磁场的改变的基础上检测阵列衬底(TFT衬底)上的电路或电路元件的操作。
如图3所示,整流电路104b由二极管601、电容器602和电阻器603构成。二极管601将输入交流电压整流成直流电压。在本实施方式中,由于在与在阵列衬底上形成TFTS相同的工艺中形成整流电路104b的二极管,因此通过图3所示的公知方法用二极管代替TFTs。
图20A表示交流电压在二极管601中被整流之前随着时间的变化。图20B表示被整流之后电压随着时间的变化。正如从图20A的曲线和20B的曲线之间的对比理解到的,被整流之后的电压具有0或在半周期基础上具有一个极性的值(所谓的脉动电压)。
图20B所示的脉动电压不能用做电源电压。因此,通常情况下,电荷被积累在电容器中,由此脉动电压变平缓为直流电压。实际上,通过薄膜半导体可以使脉动电压变得足够平缓。然而,由于需要形成大容量的电容器,因此电容器本身的面积太大,这是不实际的。因此,根据本发明,带有不同相位的脉动电压在被整流之后互相组合(相加),由此使电压变平缓。根据所述结构,即使电容器的容量很小,使脉动电压也足以变平缓,而且,即使没有确实提供电容器,也能使脉动电压足以变平缓。
在图3中,从四个二极管601输出的带有不同相位的四个脉动信号互相加起来以产生电源电压。但是,本发明不限于此。相位分割的数量不限于四个。可以采用任何数量的相位分割,只要来自整流电路的输出能变平缓以便用做电源电压即可。
图21A-21C表示通过使多个整流信号互相相加得到的电源电压随着时间的变化。图21A表示其中带不同相位的四个脉动电压互相相加以产生一个电源电压的例子。
通过使多个脉动电流相加产生电源电压,因此存在作为除了支流以外的分量的波动。波动指的是电源电压的最高电压和最低电压之间的擦痕。由于波动很小,因此电源电压接近于直流。
图21B表示通过使带不同相位的八个脉动电压相加得到的电源电压随着时间的变化。与图21A所示的电源电压随着时间的变化相比,应该理解波动是较小的。
图21C表示通过使带不同相位的16个脉动电压相加得到的电源电压随着时间的变化。与图21B所示的电源电压随着时间的变化相比,应该理解波动是较小的。
如上所述,应该理解,通过使带有不同相位的大量脉动电压互相相加,电源电压的波动较小,并且可以得到更满意的直流。这样,随着相位分割数量越大,从整流电路104b输出的电源电压更加平缓。此外,随着电容器602的容量变大,从整流电路输出的电源电压更平缓。
在整流电路104b中产生的电源电压通过端子604a和604b输出。更具体地说,从端子604a输出接近于地的电压,从端子604b输出具有正极性的电源电压。通过在相反方向连接二极管的阳极和阴极,输出的电源电压的极性可以是相反的。在阳极和阴极关于二极管在相反方向连接到端子上的情况下,输出的方向相反。
在TFT衬底上形成各种电路或电路元件(驱动电路、外围逻辑电路等),并且要输送给电路或电路元件的电源电压的大小根据每个电路或电路元件的种类或使用而改变。在图3所示的整流电路104b中,通过调整输入交流信号的幅度,可以调整要输入到每个端子的电压的大小。此外,通过根据电路或电路元件改变要连接的端子,可以改变输送到电路或电路元件的电源电压。
本发明中使用的整流电路104b不限于图3中所示的构形。本发明的中采用的整流电路104b可以是能从输入交流信号输送直流电源电压的电路。
波形整形电路104c是用于形成随着时间的改变量即电压、电流等的波形和整形波形的电子电路。在图3中,具有电阻器606和608和电容器607和609的每个电路元件组合以构成波形整形电路104c。不用说,波形整形电路104c不限于图3所示的构形。本发明的采用的波形整形电路104c从输入交流的电动势产生时钟信号(CLK)、起始脉冲信号(SP)和视频信号并输出它们。从波形整形电路104c输出的信号不限于上述那些。可以从波形整形电路104c输出具有任何波形的信号,只要它们能产生通过在TFT衬底的电路或电路元件中监视确定缺陷部分的电磁波或电场即可。而且,放大器由参考标记605表示。
在检验衬底106上,提供变压器的初级线圈107、其光学特性由于电场改变而改变的材料(泡克耳斯材料(Pockels cell)或液晶)108、和形成得以便夹持材料108的透明导电膜(通常由ITO形成)109a和109b,并且透明导电膜109b接地。
形成在检验衬底106上的初级线圈107a和形成在阵列衬底上的次级线圈104a不是提供在中心以形成磁路径的磁性物质,当检验衬底106和阵列衬底100保持以便它们互相靠近时,通过在初级线圈107a的两端之间施加交流电压,次级线圈104a在其两个端子之间产生电动势。
作为在次级线圈中产生的电动势的交流电压被形成在阵列衬底100上的整流电路104b整流并变平缓,由此如此变平缓的电压可用做用于驱动阵列衬底100上的电路或电路元件的直流电压(以下称为“电源电压”)。此外,作为在次级线圈104a中产生的电动势的交流电压被形成在阵列衬底100上的波形整形电路104c适当整形成信号波形,由此如此被整形的电压可用做用于驱动阵列衬底100上的电路或电路元件的信号(以下称为“驱动信号”)。
接着,将详细介绍初级线圈107a和次级线圈104a的构形。图23A-23C示出了线圈的放大图。
图23A中所示的线圈具有圆螺旋形,其中在线圈两端形成线圈端子。图23B中所示的线圈具有矩形螺旋形状,其中在线圈两端形成线圈端子。
关于本发明中使用的线圈,只需要线圈的整个布线形成在同一平面内,线圈的布线卷绕成螺旋形。因此,当在垂直于形成线圈的平面的方向观看时,线圈的布线可呈现圆形或有角形状。
此外,如果需要,线圈的匝数、线宽、和占据衬底的面积可适当由设计者设定。
如图1所示,检验衬底106的初级线圈形成部分107和阵列衬底(TFT衬底)100的次级线圈形成部分104以其间预定的间隔互相重叠。
图23C表示初级线圈形成部分107与次级线圈形成部分104叠加的部分呢的放大图。在图23C中,初级线圈107a的布线的卷绕方向与次级线圈104a的相同。然而,本发明不限于这种构形。初级线圈的卷绕方向可以与初级线圈的相反。
在本实施方式中,在预定压力下通过检验衬底106,在检验衬底106和阵列衬底100之间注入气体,由此在其间保持预定间隔。这个间隔可以由本领域技术人员适当确定。但是,在本实施方式中,该间隔优选在10-200μm范围内。此外,为了在检验衬底106和阵列衬底100之间注入气体,检验衬底106提供有用于注入气体的多个孔。
可使用绝缘液体代替气体,以便保持阵列衬底100和检验衬底106之间的间隔。
检测装置提供有驱动电源和驱动信号输入装置111、光源(可采用无干扰光源如卤素灯和放电灯)112a、光学系统112b、视频摄象机113、和图象处理装置114。在给TFT施加电压之前,照射来自光源112a的光,来自Pockels cell的表面的光态被视频摄象机113作为图象捕获,然后采用图象处理装置114进行图象处理。
作为检测形成在提供在检验衬底106上的阵列衬底(TFT衬底)100上的电路或电路元件上的信息的方法,可采用其光学特性由于电场的改变而改变的材料(Pockels晶体)如液晶或Pockels cell。在检验衬底106中,Pockels cell 108夹在第一电极109a和第二电极109b之间(图22)。
Pockels cell是具有电光效应(Pockels效应)的光学元件,它利用了电光特性根据施加电压而改变的性能。通过给晶体施加交流电压或脉冲电压,这个性能可用于光调制、光栅、和圆偏振光的形式和检测。更具体地说,Pockels cell是NH4H2PO4、BaTiO3、KH2PO(KHP)、KD2PO4(D KDP)、LiNbO3、ZnTe或ZnO。
阵列衬底似的电路或电路元件被驱动以改变电场,并且电场的改变引起在Pockel cell中的双折射,由此透射率呈现不同。更具体地说,和与正常电路或电路元件叠加的部分中的Pockels cell相比,与缺陷电路或电路元件叠加的部分中的Pockels cell表现为更亮或更暗。
例如,透光率在形成在象素中的正常TFT和缺陷TFT之间改变。原因如下:当设置器件衬底以便垂直于Pockels cell的铁电晶体的光轴时,由于在电路或电路元件中产生的电场而在铁电晶体中产生双折射。
关于具有在电场方向的分量的偏振光的双折射的折射率由电场强度确定。因此,在具有相同结构和正常工作的多个电路或电路元件中,产生具有相同强度的电场,因此与每个电路或电路元件重叠的部分中的铁电晶体的折射率基本上相同。
然而,在缺陷电路或电路元件中产生的电场比在其它正常电路或电路元件中产生的电场强或弱。因此,与缺陷电路或电路元件重叠的部分中的铁电晶体的折射率不同于与其它正常电路或电路元件重叠的部分中的铁电晶体的折射率。当通过Pockels cell观察器件衬底时,和与正常电路或电路元件重叠的部分相比,与缺陷电路或电路元件重叠的部分表现为更亮或更暗。
例如,如图1所示,下列情况是可能的:利用光学系统如偏振束分离器将在垂直于阵列衬底的方向的光分离,并且监视光的强度,由此计算Pockels cell的透射率,以便检测缺陷部分。通过多次监视光得到的结果可进行某种运算处理,以便检测缺陷部分。
还可以将来自要检测的所有电路的输出输入到用于检测的电路,并利用电光元件测量在用于检测的电路中产生的电场强度,由此确定缺陷的存在或确定缺陷部分本身。采用用于检测电路不需要用Pockelscell监视要检测的所有电路或电路元件中的光,由此简化和加速检测工艺。
缺陷的检测不限于象素部分,本检测方法可适用于任何电路或电路元件。例如,Pockels cell可以与驱动电路或信号线驱动电路叠加,并监测折射率,由此可同样检测缺陷部分。此外,可同样检测在器件衬底上的路由路线中产生的如段开和短路等缺陷。
由于上述检测工艺,确认阵列衬底上的每个TFT衬底是否适合于产品。此后,检测工艺之前已经形成抗静电膜的情况下,去掉抗静电膜,并刻蚀有机绝缘膜以形成堤207,然后在230-350℃下进行热处理。
然后分离阵列衬底100以形成TFT衬底101。关于在阵列衬底100上怎样形成TFT衬底和次级线圈形成部分104(次级线圈104a、整流电路104b、波形整形电路104c),可以由本领域技术人员适当确定。但是,优选形成TFT衬底和检测电路,以便TFT衬底101上的驱动电路可与用在检测工艺中的次级线圈104a、整流电路104b、波形整形电路104c分离,以便其间不留下电气和物理连接,如图5所示。
然后,在上述检测工艺中被确定适合于产品的TFT衬底101的象素电极206上,形成绝缘膜208、有机化合物层209、和阴极210。被确定适合于产品的TFT衬底从用于分析缺陷的制造工艺中除去。当可以修复缺陷TFT衬底以便适合于产品时,缺陷TFT被修复并再回到检测工艺。
作为绝缘膜208,通过旋涂形成厚度为1-5nm的由聚酰亚胺、酰胺、丙烯酸树脂等构成的有机树脂绝缘膜。
有机化合物层209是通过堆叠包括空穴注入层、空穴输送层、空穴阻挡层、电子输送层和电子注入层以及发光层的多个层的组合形成的。有机化合物层209的厚度优选约为10-400nm(图2D)。
阴极210是在形成有机化合物层209之后通过汽相淀积形成的。作为阴极210的材料,不仅可采用MgAg或Al-Li合金(铝和锂的合金),而且可以采用通过属于周期表1或2族的元素和铝的共汽相淀积形成的膜。阴极210的厚度优选为约80-200nm。
如上所述,可通过采用形成在阵列衬底100上的多个TFT衬底101制造发光器件。
在本实施方式中,玻璃衬底用做阵列衬底。然而,也可采用石英衬底或塑料衬底。在采用塑料衬底的情况下,衬底的耐热温度低,因此本领域技术人员可以适当确定在塑料衬底能承受的温度下进行制造工艺。
形成在检验衬底和阵列衬底上的线圈的匝数、线宽、形状和占据衬底的面积可由本领域技术人员适当确定。但是,考虑到次级线圈的匝数与初级线圈的匝数的比和引入到次级线圈的电压与施加于初级线圈的电压的比成反比,因此确定这些参数是很重要的。
实施方式2
在本实施方式中,将参照图4A和4B及图6介绍用于TFT衬底的另一检测方法。
当形成在阵列衬底上的TFT衬底上的电路或电路元件工作时,产生电磁波。根据本实施方式中所公开的检测方法,通过测量电磁波的强度和频率,和在某一时间周期基础上的电磁波的强度和频率,确认TFT衬底是否适合于产品。
被确定满意(适合于产品)的TFT衬底上的电路的电磁波的强度及在某一时间周期基础上的其强度和频率可预先被测量并用于与确定TFT衬底对产品的适合性的对比。
然后,利用电磁感应,给形成在阵列衬底上的TFT衬底上的电路或电路元件输送电源电压和驱动信号。此时,利用具有能测量电磁波的天线的检验衬底,测量电磁波的强度和频率及在某一时间周期(某定时)基础上的其强度和频率。
检验衬底301用与实施例方式1一样的方式提供有初级线圈302。当在初级线圈的两个端子之间施加来自驱动电源和驱动信号输入装置305的交流电压时,在次级线圈104a的两个端子之间产生电动势。
然后,作为在次级线圈104a中产生的电动势的交流电压被形成在阵列衬底100上的整流电路104b整流和变平缓,由此变平缓的电压可用做用于驱动阵列衬底的电路或电路元件的直流电压(以下称为“电源电压”)。此外,作为在次级线圈104a中产生的电动势的交流电压被形成在阵列衬底100上的波形整形电路104c适当整形成信号波形,由此被整形的电压可用做用于驱动阵列衬底100上的电路或电路元件的信号(以下称为“驱动信号”)。
当由整流电路和波形整形电路输送驱动电源和驱动信号时,如实施方式1中所述,形成在TFT衬底上的电路或电路元件工作以产生电磁波。利用提供在检验衬底301上的天线303测量产生的电磁波的强度和光谱及在定时基础上的其强度和光谱。作为提供在检验衬底301上的天线303(电磁传感器),可采用具有1MHz-1GHz的测量频率带的公知传感器(天线)。此外,为使检验衬底301不与阵列衬底100接触,和为了提高由阵列衬底100上的电路或电路元件产生的电磁波的测量可重复性,需要总是保持检验衬底301和阵列衬底100之间的预定间隔。在本实施方式中,在预定压力下将气体注入检验衬底301中,由此在检验衬底301和阵列衬底100之间保持预定间隔。这个间隔可由本领域技术人员适当确定。但是,在本实施方式中,间隔设定在10-200μm的范围内。为了注入气体,检验衬底提供有多个孔304。图4A和4B示意性地示出了初级线圈302和检验衬底301上的外部信号输入端子之间以及次级线圈104a和阵列衬底100上的外部信号输入端子105之间的关系。
在天线303中,为了得到用于获得位置信息如由形成在阵列衬底100上的电路或电路元件产生的电磁波的强度和频率所需要的分辨率,优选用于在检验衬底301上形成天线303的间隔做得尽可能的小,以便可形成更小的天线。用于形成天线303的间隔可由本领域技术人员适当确定,以便根据象素尺寸得到最佳分辨率。
此外,通过尽可能保持检验衬底和阵列衬底之间的间隔为预定值(在本实施方式中为100μm或更小),可提高分辨率。
电磁波的强度和频率的测量结果是通过天线303得到的并利用图象处理装置306分析。由此可以例如通过颜色编码显示电磁波的强度分布。
根据在本实施方式中公开的检测方法,通过同时测量由电路或电路元件产生的电磁波的强度和频率及在某一时间周期基础上的电磁波的强度和频率,确认形成在阵列衬底上的电路或电路元件的工作。因此可在短时间周期内确认TFT衬底是否适合于产品。
当完成本实施方式中的检测工艺时,阵列衬底100被分成单独的TFT衬底101。此后,可在被确定适合于产品的TFT衬底上形成发光元件以制造EL显示器。TFT衬底可粘接到对置衬底上,其间密封液晶以制造液晶显示器。
由于在制造工艺中包括上述检测工艺,可在包括大量缺陷象素或缺陷驱动电路的TFT衬底上形成发光元件。因此,不会浪费用于形成发光元件的材料,这就可以降低制造成本。
此外,可利用非接触方式将驱动电源或驱动信号发送给阵列衬底。因此,可防止在检测工艺(或为检测工艺做准备)期间灰尘附着于阵列衬底上以污染阵列衬底。
实施例
实施例1
在本实施例中,将介绍根据本发明制造的发光元件。这里,将参照图7A-7D到10A和10B介绍制造具有在同一衬底上的本发明的发光元件、提供在象素部分外围的驱动电路的TFTs(n沟道TFT和p沟道TFT)、变压器的次级线圈、整流电路和用于检测TFT衬底的驱动的波形整形电路的象素部分的方法的例子。
首先,在本例中,采用由玻璃如以Corning公司生产的#7059玻璃和#1737玻璃为代表的硼硅酸钡玻璃或硼硅酸铝玻璃制成的衬底900。作为衬底900,可采用具有透明度的任何衬底,并且可采用石英衬底。此外,可采用具有能承受本实施例的处理温度的耐热性的塑料衬底。
然后,如图7A所示,在衬底900上形成由绝缘膜如氧化硅膜、氮化硅膜或氮氧化硅膜制成的基底绝缘膜901。在本例中,基底绝缘膜901具有双层结构。但是,基底绝缘膜901可以具有单层结构或两层或多层绝缘膜的多层结构。作为基底绝缘膜901的下层,采用SiH4、NH3和N2O作为反应气体,利用等离子体CVD形成厚度为10-200nm(优选50-100nm)的氮氧化硅膜901a。在本实施例中,形成厚度为50nm的氮氧化硅膜901a(成分比:Si=32%,O=27%,N=24%和H=17%)。然后,作为基底绝缘膜901的上层,采用SiH4和N2O作为反应气体,利用等离子体CVD在其上形成厚度为50-200nm(优选100-150nm)的氮氧化硅膜901b。在本例中,形成厚度为100nm的氮氧化硅膜901b(成分比:Si=32%,O=59%,N=7%和H=2%)。
然后,在基底绝缘膜901上形成半导体层902-906。半导体层906是通过使整流电路中的TFT变形而用于形成二极管的。在本说明书中,包括沟道形成区和其中添加高浓度的n型杂质的要成为后来的源区和漏区的区域的半导体层被称为有源层。利用公知方法(溅射、LPCVD、等离子体CVD等)通过形成具有非晶结构的半导体膜,并对半导体膜进行公知的结晶(激光结晶、热结晶、采用催化剂如镍的热结晶),以便得到结晶半导体膜并将该结晶半导体膜构图成所希望的形状,由此得到半导体层902-906。半导体层902-906形成为25-80nm(优选30-60nm)的厚度。对于结晶半导体膜的材料没有特别的限制。优选,结晶半导体膜是由硅或硅锗(SixGe1-x(X=0.0001-0.02))合金构成。在本例中,通过等离子体CVD形成厚度为55nm的非晶硅膜,然后将含有镍的溶液保持在非晶硅膜上。该非晶硅膜在500℃脱水一小时,在550℃热结晶4小时,并进行激光退火以提高结晶,由此形成结晶硅膜。通过光刻构图该结晶硅膜以形成半导体层902-906。
形成半导体层902-906之后,为了控制TFT的阈值,用微量的杂质元素掺杂(硼或磷)半导体层902-906。
在利用激光结晶制造结晶半导体膜的情况下,可采用脉冲振荡型或连续发光型准分子激光器、YAG激光器或YVO4激光器。在采用这些激光器的情况下,采用这样的方法,以便从激光振荡器发射的激光被光学系统聚集成线形并照射半导体膜。结晶的条件可由本领域技术人员适当选择。但是,在采用准分子激光器的情况下,脉冲振荡频率设定为300Hz,激光能量密度设定为100-400mJ/cm2(通常为200-300mJ/cm2)。在使用YAG激光器的情况下,采用二次谐波,脉冲振荡频率设定为30-300kHz,激光能量密度设定为300-600mJ/cm2(通常为350-500mJ/cm2)。被聚集成宽度为100-1000μm(例如400μm)的线形的激光照射在衬底的整个表面上,并且此时线形激光的重叠率可以为50-90%。
然后,形成覆盖半导体膜902-906的栅绝缘膜907。栅绝缘膜907是通过等离子体CVD或溅射由厚度为40-150nm的含有硅的绝缘膜制成的。在本实施例中,利用等离子体CVD形成厚度为110nm的氮氧化硅膜(成分比:Si=32%,O=59%,N=7%,H=2%)作为栅绝缘膜907。不用说,栅绝缘膜907不限于氮氧化硅膜,可具有含有硅的其它绝缘膜的单层结构或多层结构。
在使用氧化硅膜的情况下,通过等离子体CVD,混合原硅酸四乙酯(TEOS)和O2并在40Pa的反应压力、300℃-400℃的衬底温度和0.5-0.8W/cm2的高频(13.56MHz)功率密度下进行放电,形成栅绝缘膜907。如此形成的氧化硅膜通过在400-500℃的热退火的后面步骤可呈现作为栅绝缘膜的满意特性。
在栅绝缘膜907上形成厚度为200-400nm(优选为250-350nm)的用于形成栅极的耐热导电层908。耐热导电层908可以具有单层结构,或者,如果需要,可具有多个层(例如两层或三层)的多层结构。耐热导电层908含有选自由Ta、Ti和W、构成的组的一种元素、含有该元素的合金、或所述元素的组合的合金膜。这些耐热导电层是通过溅射或CVD形成的。为了降低电阻,优选降低含在耐热导电层中的杂质的浓度。特别是,氧的浓度优选设定为30ppm或以下。在本例中,形成厚度为300nm的W膜。可用W做靶、通过溅射形成W膜,或者利用六氟化钨(WF6)通过热CVD形成W膜。在任何情况下,为了用该膜做栅极,需要降低电阻。希望W膜的电阻设定为20μΩcm或以下。可通过放大晶粒来降低W膜的电阻。然而,在大量杂质元素如氧含在W膜中的情况下,阻止了结晶,并增加了电阻。因此,在溅射的情况下,使用纯度为99.9999%的W靶,并且应该小心,以便在膜形成期间杂质不混入汽相中以形成W膜,由此可实现9-20μΩcm的电阻。
另一方面,在使用Ta膜用于耐热导电层908的情况下,可通过溅射同样形成耐热导电层908。Ta膜是用Ar做溅射气体形成的。如果给溅射气体添加适量的Xe或Kr,可减轻要形成的膜的内部应力,以便防止膜剥落。处于α相的Ta膜的电阻约为20μΩcm,因此这个Ta膜可用于栅极。另一方面,处于β相的Ta膜的电阻约为180μΩcm,因此这个Ta膜不适合用于栅极。由于TaN膜具有接近于α相的晶体结构,如果在Ta膜下面形成TaN膜,则很容易获得α相的Ta膜。虽然图中未示出,但在耐热导电层908下面形成厚度约为2-20nm的用磷(P)掺杂的硅膜是有效的。这能增强粘附性和防止在硅膜上要形成的耐热导电层908被氧化,同时防止在耐热导电层908和909中存在的微量碱金属元素扩散到第一成形栅绝缘膜907中。在任何情况下,耐热导电层908优选具有在10-50μΩcm范围内的电阻。
此外,作为形成栅极的另一例子,以用杂质元素如磷掺杂的多晶硅膜为代表的半导体膜可用做第一导电膜。而且,可使用具有三层结构的栅极。三层结构的栅极可通过如下组合形成:钨(W)膜作为第一导电膜、Cu膜作为第二导电膜和钛(Ti)膜做为第三导电膜的组合,钽(TaN)膜作为第一导电膜、铝(Al)膜作为第二导电膜和钛(Ti)膜作为第三导电膜的组合,氮化钽(TaN)膜作为第一导电膜、铝(Al)膜作为第二导电膜和钛(Ti)膜作为第三导电膜的组合,和氮化钽(TaN)膜作为第一导电膜、Cu膜作为第二导电膜和钛(Ti)膜作为第三导电膜的组合。
在本实施例中,形成TaN膜作为第一导电层(第一导电膜)908,形成W膜作为第二导电层(第二导电膜)909(图7A)。
然后,通过光刻形成用于形成栅极的抗蚀剂掩模910a和用于形成次级线圈的抗蚀剂掩模910b。进行第一刻蚀工艺。第一刻蚀工艺是在第一和第二刻蚀条件下进行的。
在本实施例中,用Cl2、CF4和O2作为刻蚀气体,且各个气体的流速为25/25/10(sccm),通过在1Pa压力和输送的3.2W/cm2的RF(13.56MHz))功率下,产生等离子体,由此在ICP刻蚀装置中进行第一刻蚀工艺。还给衬底侧(样品阶段)输送224mW/cm2的RF(13.56MHz)功率,由此基本上给其施加负自偏压。在第一刻蚀条件下刻蚀W膜。然后在不除去抗蚀剂掩模的情况下,用CF4和Cl2作为刻蚀气体,且各个气体的流速为30/30(sccm),通过在1Pa压力和输送的RF(13.56MHz))功率下,产生等离子体,由此在第二刻蚀条件下进行刻蚀。还给衬底侧(样品阶段)输送20W的RF(13.56MHz)功率,由此基本上给其施加负自偏压。
由于第一刻蚀工艺,形成具有第一锥形的导电层911-915。导电层911-915形成得以便锥角为15°-30°。为了在不留残余物的情况下进行刻蚀,进行刻蚀时间增加约10-20%的过刻蚀。由于氮氧化硅膜(栅绝缘膜907)相对于W膜的选择率为2-4(通常为3),因此氮氧化硅膜的露出表面通过过刻蚀被刻蚀约20-50nm。
通过第一掺杂工艺给半导体层902-906添加具有一种导电性的杂质元素。这里,在不除去抗蚀剂掩模910a的情况下添加n型杂质元素。用具有第一锥形的导电膜911-915做掩模,以自对准方式给半导体层902-906的一部分添加杂质,由此形成第一n型杂质区916-920。作为n型杂质元素,采用属于15族(通常为磷(P0或砷(As))的元素。这里,通过离子掺杂,用磷(P)以1×1020-1×1021原子/cm3的浓度范围给第一n型杂质区916-920添加n型杂质元素(图7B)。
然后,在不除去抗蚀剂掩模的情况下进行第二刻蚀工艺。第二刻蚀工艺是在第三和第四刻蚀条件下进行的。用CF4和Cl2作为刻蚀气体,且各个气体的流速为30/30(sccm),通过在1Pa压力和输送的RF(13.56MHz))功率下产生等离子体,由此利用与第一刻蚀工艺相同的方法在ICP刻蚀装置中进行第二刻蚀工艺。还给衬底侧(样品阶段)输送20W的RF(13.56MHz)功率,由此基本上给其施加负自偏压。在第三刻蚀条件下,形成导电膜921-925,其中W膜和TaN膜被刻蚀到相同程度(图7C)。
然后,在不除去抗蚀剂掩模的情况下,用CF4、Cl2和O2作刻蚀气体,通过在1Pa压力和输送的RF(13.56MHz))功率下产生等离子体,由此在第四刻蚀条件下进行刻蚀。还给衬底侧(样品阶段)输送20W的RF(13.56MHz)功率,由此基本上给其施加负自偏压。W膜是在第四刻蚀条件下被刻蚀的,由此形成第二成形导电膜926-930(图7D)。
在本实施例中,在完成用于形成栅极的所有刻蚀工艺之后,通过用螺旋形掩模刻蚀,可形成二次线圈。因此,在刻蚀期间要形成栅极的区域用掩模覆盖。此外,螺旋形掩模形成得使二次线圈具有1mm的外径和0.5mm的内径。然而,二次线圈的形状不限于圆螺旋形状,可以由本领域技术人员适当确定。此外,形成在每个TFT衬底上的二次线圈的数量可以和TFT衬底的驱动电压一起由本领域技术人员适当确定。另外,形成二次线圈的方法不限于本实施例,可以由本领域技术人员确定。
然后,进行第二掺杂工艺(通过第二成形第一导电膜926a-930a给半导体层添加n型杂质元素),由此在接触第一n型杂质区916-920的沟道形成区的一侧形成第二n型杂质区933-937。第二n型杂质区中的杂质的浓度设定为1×1016-1×1019原子/cm3。在第二掺杂工艺中,采用如下条件:其中甚至通过作为第一层的第二成形导电膜926a-930a的锥部给半导体层添加n型杂质元素。在本说明书中,与作为第一层的第二成形导电膜926a-930a重叠的第二n型杂质区被称为Lov(ov的意思是“重叠”)区,并且不与作为第一层的第二成形导电膜926a-930a重叠的第二n型杂质区将称为Loff(off的意思是“偏移”)区(图8A)。
然后,如图8B所示,在要成为在后面完成的p沟道TFTs的有源层(包括沟道形成区和要成为其中添加高浓度杂质的源/漏区的区域的半导体层)的半导体层902、905和906中形成具有与上述导电性相反的导电性的杂质区939(939a、939b)、940(940a、940b)和941(941a、941b)。用第二成形导电层926、929和930做掩模,添加p型杂质元素,由此用自对准方式形成杂质区。此时,用抗蚀剂掩模938a和938b覆盖要成为在后面完成的n沟道TFTs的有源层的半导体层903和904的整个表面。通过离子掺杂,采用乙硼烷(B2H6),形成p型杂质区939、940和941,p型杂质区939、940和941的p型杂质元素的浓度设定为2×1020-2×1021原子/cm3
具体而言,精确地给p型杂质区930、940和941添加n型杂质元素。然而,p型杂质区939、940和941中的p型杂质元素的浓度是n型杂质元素浓度的1.5-3倍。因此,对于p型杂质区939、940和941用做p沟道TFTs的源和漏区没有问题。
随后,如图8C所示,在第二成形导电层926-930和栅绝缘膜上形成第一层间绝缘膜942。第一层间绝缘膜942可以由氮化硅膜、氧化硅膜、氮氧化硅膜或其组合的层叠膜构成。在任何情况下,第一层间绝缘膜942由无机绝缘材料构成。第一层间绝缘膜942的厚度设定为100-200nm。在用氧化硅膜作为第一层间绝缘膜942的情况下,混合TEOS和O2并在反应压力为40Pa、衬底温度为300-400℃和高频(13.56MHz)功率密度为0.5-0.8W/cm2下进行放电,由此通过等离子体CVD形成第一层间绝缘膜942。在用氮氧化硅膜作为第一层间绝缘膜942的情况下,由SiH4、N2O和NH3制成的氮氧化硅膜或由SiH4和N2O制成的氮氧化硅膜可通过等离子体CVD形成。这种情况下的膜形成条件如下:反应压力为20-200Pa,衬底温度为300-400℃,高频(60MHz)功率密度为0.1-1.0W/cm2。作为第一层间绝缘膜942,可采用由SiH4、N2O和H2制成的氧化、氮化和氢化的硅膜。氮化硅膜还可以通过等离子体CVD由SiH4和NH3形成。
以各个浓度添加的n型或p型杂质元素被激活。这个工艺是通过采用退火炉的热退火进行的。此外,可采用激光退火或快速热退火(RTA)。在氧浓度为1ppm或更少(通常为0.1ppm或更低)的氮气氛中在400-700℃(通常为500-600℃)下进行热退火。在本实施例中,在550℃下进行热处理4小时。此外,在采用具有低耐热温度的塑料衬底作为衬底900的情况下,优选采用激光退火。
在上述热处理期间,在使半导体层结晶的工艺中使用的催化元素(镍)移动(吸气)到以高浓度添加属于周期表的15族并具有吸气功能的元素(本实施方式中为磷)的第一n型杂质区中,由此可以降低沟道形成区中的催化元素的浓度。
激活工艺之后,改变气氛气体,并在含有3-100%氢的气氛中在300-450℃下进行热处理12小时,由此使半导体层氢化。在这个工艺期间,半导体层中的悬挂键的1016-1018/cm3被用热激发氢端接。作为氢化的另一中方式,可进行等离子体氢化(采用由等离子体激发的氢)。在任何情况下,希望半导体层中的缺陷密度设定为1016/cm3或更低。为此,可以添加约为0.01-0.1atomic%量的氢。
形成由有机绝缘材料制成的平均厚度为1.0-2.0μm第二层间绝缘膜943。作为有机树脂材料,可采用聚酰亚胺、丙烯酸树脂、酰胺、聚酰亚胺-酰胺、BCB(苯并环丁烯)等。例如,在采用热聚合的聚酰亚胺的情况下,在施加于衬底之后,通过在洁净炉中在300℃下烧结形成第二层间绝缘膜943。在采用丙烯酸树脂的情况下,采用2-液体型。在这种情况下,主材料与固化剂混合,之后利用旋涂器将混合物涂敷衬底的整个表面。然后,在热板上在80℃暂时加热所得到的衬底60秒,随后在洁净炉中在250℃烧结60分钟。
如上所述,通过形成有机绝缘材料的第二层间绝缘膜943,使表面满意地平面化。此外,有机树脂材料一般具有低介电常数,因此可降低寄生电容。然而,有机树脂材料由于其吸潮特性而不适合用做保护膜。因此,有机绝缘材料可与作为第一层间绝缘膜942形成的氧化硅膜、氮氧化硅膜或氮化硅膜组合,与本实施例一样。此外,在本实施例中,第二层间绝缘膜943由有机绝缘材料制成。然而,还可以由无机绝缘材料形成膜,其表面通过CMP等整平,由此获得的膜用做第二层间绝缘膜943。
注意,由有机绝缘材料制成的第二层间绝缘膜943在某些情况下可产生潮气和气体。公知发光元件可能由于潮气和气体(氧)而退化。认为这是由于由发光元件产生的热而实际采用通过采用有机树脂绝缘膜用于层间绝缘膜得到的装置,从有机树脂绝缘膜产生潮气和气体,发光元件可能由于潮气和气体而退化。为了避免这种现象,在由有机绝缘材料形成的第二层间绝缘膜943上形成绝缘膜944。绝缘膜944由氧化硅膜、氮氧化硅膜、氮化硅膜等制成。绝缘膜944可通过溅射或等离子体CVD形成。绝缘膜944还可在形成接触孔之后形成。
此后,形成有预定图形的抗蚀剂掩模,并形成接触孔以便到达要成为形成在每个半导体层中的源区或漏区的杂质区。接触孔是通过干刻蚀形成的。
然后,通过溅射或真空汽相淀积形成导电金属膜,并用掩模构图,然后刻蚀,由此形成布线945-952。虽然图中未示出,在本实施例中,每个布线945-952由Ti膜(厚度为50nm)和合金(Al和Ti)膜(厚度为500nm)的层叠膜构成。
在布线上形成厚度为80-120nm的透明导电膜,并刻蚀以形成象素电极(阳极)953(图9A)。在本实施例中,作为透明导电膜,采用其中氧化锌(ZnO)与2-20%氧化铟混合的氧化铟锡(ITO)膜或透明导电膜。
此外,阳极953与漏布线950叠加以便与之接触,由此电连接到电流控制TFT的漏区。这里,阳极953可以在180-350℃下热处理。
接下来,如图9B所示,在阳极953上形成有机绝缘膜954。
此时,为了防止其上带有TFTs的阵列衬底被损伤或被空气中的灰尘污染,在有机绝缘膜954上形成具有抗静电功能的超薄膜(以下称为“抗静电膜”)955。抗静电膜955由可用水清洗除去的公知材料制成(图9C)。在本例中,施加staticide(由ACL生产)以形成抗静电膜955。
然后,进行检测以便确定由此生产的阵列衬底上的TFTs的质量(TFT衬底对于产品的适合性)。发光元件的材料是昂贵的。因此,在不能作为产品输送的TFT衬底上形成发光元件的制造成本方面是不希望的。为了识别不能正常被驱动或传输信号的TFT衬底,结合了检测工艺。可采用在上述实施方式1或2中所述的检测方法。
在TFT衬底传送到用于形成发光元件的处理室(洁净空间)之后,用水清洗除去抗静电膜955。然后,刻蚀有机绝缘膜954以形成在对应象素(发光元件)的位置有开口的堤956。在本例中,堤956是由抗蚀剂形成的。在本例中,堤956的厚度设定为约1μm,并且覆盖布线与阳极接触的部分的堤956的区域是锥形的(图10A)。
在本例中,虽然堤956由抗蚀剂膜构成,但是在某些情况下,也可采用聚酰亚胺、酰胺、丙烯酸树脂、BCB(苯并环丁烯)或氧化硅膜。堤956可由有机物质或无机物质形成,只要是绝缘物质即可。在用光敏丙烯酸树脂形成堤956的情况下,优选刻蚀光敏丙烯酸树脂,并在180-350℃下热处理。在用非光敏丙烯酸膜形成堤956的情况下,优选在180-350℃下热处理,并刻蚀形成堤。
接着,对阳极表面进行擦洗处理。在本例中,用Bellclean 961(由Odzu Sangyo生产)清扫阳极953的表面,由此整平阳极953的表面并除去附着于其上的灰尘。作为用于擦洗的清洗剂,采用纯水。Bellclean所围绕的轴的旋转数量设定为100-300rpm,并且推进值设定为0.1-1.0mm(图10A)。
然后,形成覆盖堤956和阳极953的绝缘膜957。作为绝缘膜957,通过旋涂、汽相淀积或溅射形成厚度为1-5nm的由聚酰亚胺、酰胺、或聚酰亚胺-酰胺制成的有机树脂膜。如此形成的绝缘膜957可覆盖阳极953表面上的裂痕等,由此防止发光元件退化。
此后,通过公知方法将阵列衬底分为多个TFT衬底。此时,优选形成在要成为产品的TFT衬底区域外部并用在检测工艺中的变压器的次级线圈、整流电路和波形整形电路电气和物理分离。在本例中,变压器的次级线圈、整流电路和波形整形电路形成在要成为产品的TFT衬底的区域的外部。然而,形成这些元件的位置可以由本领域技术人员适当确定,不限于本实施例。
之后,通过汽相淀积在能用做产品的TFT衬底的绝缘膜957上形成有机化合物层958和阴极959。在本例中,作为发光元件的阴极,采用MgAg电极;然而,也可采用其它公知材料。通过堆叠不仅包括发光层而且包括空穴注入层、空穴输送层、电子输送层和电子注入层的多个层的组合,形成有机化合物层958。将在下面详细介绍在本例中使用的有机化合物层958的构形。
在本例中,通过汽相淀积,由铜酞菁形成空穴注入层,由α-NPD形成空穴输送层。
然后,形成发光层。在本例中,采用不同材料用于发光层形成呈现不同光发射的有机化合物层。在本例中,形成呈现发射红、绿和蓝光的有机化合物层。由于在任何情况下采用汽相淀积用于膜形成,因此通过采用根据象素变化的材料形成发光层,并且在形成膜时采用金属掩模。
采用用DCM掺杂的Alq3,形成发射红光的发光层。此外,可采用用Eu络合物(1,10-菲咯啉)三(1,3-二苯基丙烷-1,3-二酸)铕(III)(Eu(DBM)3(Phen)掺杂的(N,N’-disalicylidene-1,6己烷二氨化)锌(II)(Zn(salhn))等。也可采用其它公知材料。
发绿光的发光层可通过CBP和Ir(ppy)3的共汽相淀积形成。在这种情况下,优选采用BCP堆叠空穴阻挡层。此外,可采用铝喹啉醇化(alumiquinolato)络合物(Alq3)或苯并喹啉(benzoquinolinate)铍络合物(BeBq)。而且,可采用用如香豆素6或喹吖啶酮(Quinacridone)的材料作为掺杂剂的喹啉醇化(quinolinolato)铝络合物(Alq3),也可采用其它公知材料。
此外,作为发蓝光的发光层,可采用作为联苯乙烯衍生物的DPVBi、作为具有偶氮甲碱化合物作为配位体的(N,N’-disalicylidene-1,6己烷二氨化(hexanediaminate))锌(II)(Zn(salhn))和用苝(perylene)掺杂的4,4’-双(2,2-二苯-乙烯基)-联苯(DPVBi)。然而,也可以采用其它公知材料。
接着,形成电子输送层。作为电子输送层,可采用如1,3,4-噁二唑衍生物、1,2,4-三唑衍生物(TAZ)等。在本例中,电子输送层是通过汽相淀积由1,2,4-三唑衍生物(TAZ)形成的且厚度为30-60nm。
如上所述,形成具有层叠结构的有机化合物层。在本例中,有机化合物层958的厚度设定为10-400nm(通常为60-150nm),阴极959的厚度设定为80-200nm(通常为100-150nm)。
在形成有机化合物层之后,通过汽相淀积形成发光元件。在本例中,作为要成为发光元件的阴极的导电膜,采用MgAg。然而,也可以采用Al-Li合金膜(铝和锂的合金膜)或通过属于周期表1或2族的元素和铝的共汽相淀积形成的膜作为导电膜。
这样,完成了具有如图10B所示的构形的发光器件。其中阳极953、有机化合物层958和阴极959互相堆叠在顶部的部分960被称为发光元件。
P沟道TFT1000和n沟道TFT 1001是驱动电路102的TFTs,它们构成CMOS。开关TFT 1002和电流控制TFT 1003是象素部分103的TFTs,驱动电路102的TFTs和象素部分103的TFTs可形成在同一衬底上。
在采用发光元件的发光器件的情况下,驱动电路的电源电压约为5V-6V(最大值约10V)足够了,因此TFTs不可能因热电子而退化。
在本例中,已经介绍了形成在发光器件的TFT衬底(TFT元件衬底)上的检测工艺使用的变压器的次级线圈的例子。本实施例不限于发光器件,可以形成用于将本发明应用于由半导体元件构成的半导体器件如液晶显示器件的元件衬底上的变压器的次级线圈。
实施例2
在实施例2中,进行与实施例1相同的工艺,以便形成第二层间绝缘膜943,并代替在实施例1中形成绝缘膜944,对第二层间绝缘膜943进行等离子体处理以修整第二层间绝缘膜943的表面。在下面参照图11-13将介绍这种方法。
例如,在选自由氢、氮、碳氢化合物、卤化碳、氟化氢和稀有气体(Ar、He、Ne等)构成的组的一种或多种气体中对第二层间绝缘膜943进行等离子体处理,由此在第二层间绝缘膜943的表面上形成新的涂敷膜,并且改变在该表面上的功能基的种类。这样,可以修整第二层间绝缘膜943的表面。在第二层间绝缘膜943的表面上,形成致密膜943B,如图11所示。在本说明书中,膜943B称为固化膜943B。因此,可防止有机树脂膜释放气体和潮气。
此外,在本例中,在修整第二层间绝缘膜943的表面之后形成阳极(ITO),防止热处理在具有不同热膨胀系数的材料互相直接接触的条件下进行。因此,可防止ITO的裂痕等,这防止了发光元件退化。第二层间绝缘膜943可以在形成接触孔之前或之后进行等离子体处理。
通过在选自由氢、氮、碳氢化合物、卤化碳、氟化氢和稀有气体(Ar、He、Ne等)构成的组的一种或多种气体中对由有机绝缘材料制成的读层间绝缘膜943的表面进行等离子体处理,形成固化膜943B。因此,认为固化膜943B含有氢、氮、碳氢化合物、卤化碳、氟化氢或稀有气体(Ar、He、Ne等)的气体元素。
此外,作为另一个例子,如图12所示,用与实施例1相同的方式进行工艺,形成第二层间绝缘膜943,然后在第二层间绝缘膜943上形成金刚石类碳(DCL)膜943C作为绝缘膜944。
DLC膜具有在约1550cm-1的不对称峰值,和在约1300cm-1的具有肩部的Raman光谱分布。此外,当用显微硬度计测量时DLC膜呈现15-25Gpa的硬度并且在耐化学性上优异。而且,DLC膜可以通过CVD或溅射在室温到100℃的温度范围内形成。作为膜形成方法,可采用溅射、ECR等离子体CVD、高频等离子体CVD、或离子束汽相淀积,厚度可以为约5-50nm。
作为又一例子,如图13所示,接下来是:用与实施例1相同的方式进行工艺,以便形成第二层间绝缘膜943;通过等离子体处理以形成固化膜943B,修整第二层间绝缘膜943的表面;之后,在固化膜943B上形成DLC膜943C。DLC膜943C可通过溅射3、ECR等离子体CVD、高频等离子体CVD或离子束汽相淀积形成为具有约5-50nm的厚度。
实施例3
在实施例3中,用与实施例1相同的方式进行工艺,形成堤956,并对堤956的表面进行等离子体处理,由此修整堤956的表面。这将参照图14说明。
堤956是由有机树脂绝缘膜形成的,有产生潮气和气体的问题。堤956由于在实际使用发光器件时产生的热而可能产生潮气和气体。
为了克服这个问题,在热处理之后,进行等离子体处理以便修整堤956的表面,如图14所示。在选自由氢、氮、卤化碳、氟化氢和稀有气体构成的组的其中或多种气体中进行等离子体处理。
由于上述原因,堤956的表面制成致密,并且形成含有选自氢、氮、卤化碳、氟化氢和稀有气体的一种或多种气体元素的固化膜956b,防止从内部产生潮气和气体(氧),由此防止发光元件退化。
本实施例可以与实施例1-4的任一个组合。
实施例4
本发明适用于TFTs的任何形状。在本实施例中,其中形成底栅型TFT的发光器件的制造方法将参照图15A-15C和16A-16C介绍。
在阵列衬底50上由选自氧化硅膜、氮化硅膜和氮氧化硅膜的材料形成基底绝缘膜51。形成由选自Ta、Ti、W、Mo、Cr、和Al的元素制成或主要含有任一所述元素的导电膜,并构图成所希望的形状,以获得栅极52。然后,形成栅绝缘膜53,该膜具有氧化硅膜、氮化硅膜或氮氧化硅膜的单层结构或任何这些膜的多层结构。然后,通过公知方法形成厚度为10-150nm的非晶硅膜,作为非晶半导体膜。栅绝缘膜53和非晶硅膜可利用相同膜形成方法形成,因此它们可连续形成。通过连续形成这些膜,可以在不暴露于气氛气体的情况下形成,由此防止其表面被污染,并且减少要生产的TFTs特性的改变和阈值电压的波动。
然后,使非晶半导体膜结晶,得到结晶半导体膜54。结晶工艺可以通过激光、热处理或其组合进行。结晶工艺之后,形成厚度为100-400nm并在添加杂质的后面工艺中保护结晶硅膜(沟道形成区)的绝缘膜(未示出)。形成该绝缘膜是为了防止在杂质元素添加工艺期间结晶硅膜直接暴露于等离子体和使杂质元素的浓度得到精确控制。
然后,采用抗蚀剂掩模,给要成为后来TFT的有源层的结晶硅膜添加n型杂质元素并形成TFT的源/漏区55。
随后,激活添加到结晶硅膜中的杂质元素。在进行结晶工艺的情况下,采用催化元素,可在与激活工艺相同的工艺中吸气施加于结晶硅膜的催化元素。用于热处理的气氛可以通过用旋转式泵或机械增压泵抽空而处于减压下。
然后,除去结晶硅膜上的绝缘膜,并将结晶硅膜构图成所希望的形状。此后,形成绝缘膜56。绝缘膜56由无机绝缘膜如氧化硅膜、氮化硅膜、氮氧化硅膜等、或选自聚酰亚胺、丙烯酸树脂、酰胺、聚酰亚胺-酰胺、环氧树脂和BCB(苯并环丁烯)的有机树脂材料制成。
随后,形成到达各个TFTs的源/漏区的接触孔,并且由铝或主要含有铝的导电膜形成用于电连接每个TFT的布线57。然后,形成覆盖布线57的层间绝缘膜58。层间绝缘膜58可由无机绝缘膜如氧化硅膜、氮化硅膜和氮氧化硅膜、或选自聚酰亚胺、丙烯酸树脂、酰胺、聚酰亚胺-酰胺、环氧树脂和BCB(苯并环丁烯)的有机树脂材料形成。
然后,由导电膜形成要成为发光元件的阳极的象素电极59。作为导电膜,可采用选自铬、钼、钨、钽、和铌的金属(图15A)。
此后,形成用于形成堤(在本说明书中,在象素电极上具有开口并形成得覆盖象素电极的端部的绝缘膜被称为堤)的有机绝缘膜60(图15B),并在有机绝缘膜60上形成用于抗静电功能的抗静电膜61。形成抗静电膜61是为了防止在后面的检测工艺期间灰尘附着于TFT衬底上。
然后,进行检测工艺,以便检测形成在阵列衬底上的TFTs的操作以确定TFTs是否适合于产品。可采用实施方式1或2中所述的检测方法。
完成检测工艺之后,通过水等清洗除去抗静电膜61,并刻蚀有机绝缘膜60以形成堤62(图15C)。
在上述检测工艺中被确定适合于产品的TFT衬底上形成有机化合物层63和阴极64。
有机化合物层63是通过堆叠包括空穴注入层、空穴输送层、电子输送层和电子注入层以及发光层的多个层的组合形成的。有机化合物层63的厚度优选约为10-400nm(图16A)。
形成有机化合物层63之后形成阴极64。阴极64具有双层结构,其中用MgAg或Al-Li合金(铝和锂的合金)形成超薄(20nm或以下)阴极64a作为第一层,在阴极64a上形成厚度为80-200nm的透明导电膜64b(图16B)。
然后,形成保护膜65,以便覆盖堤62和阴极64。保护膜65可以由DLC膜、氧化硅膜或氮化硅膜的任一种形成,该膜形成得含有Ar(图16C)。
如上所述,通过采用形成在阵列衬底上的多个TFT衬底可制造发光器件。
实施例5
在实施例5中,将介绍通过采用催化元素并降低得到的结晶半导体膜中的催化元素的浓度,使要成为TFT的有源层的半导体膜结晶的方法。
在图24A中,衬底1100优选由硼硅酸钡玻璃、硼硅酸铝玻璃或石英制成。在衬底100的表面上形成厚度为10-200nm的无机绝缘膜,作为基底绝缘膜1101。基底绝缘膜1101的优选例子是通过等离子体CVD形成的氮氧化硅膜。通过形成由SiH4、NH3和N2O制成的第一氮氧化硅膜1101a(厚度为50nm),和形成由SiH4和N2O制成的第二氮氧化硅膜1101b(厚度为100nm),由此获得基底绝缘膜1101。提供基底绝缘膜1101(1101a、1101b)是为了防止包含在阵列衬底中的碱金属扩散到要形成在上层的半导体膜中。在采用石英衬底的情况下,可省去基底绝缘膜1101。
随后,在基底绝缘膜1101上形成氮化硅膜1102。形成氮化硅膜1102是为了防止在后面的半导体膜结晶工艺中使用的催化元素(通常为镍)被吸收到基底绝缘膜1101上,还防止含在基底绝缘膜1101中的氧具有副面影响。氮化硅膜1102可通过等离子体CVD形成为具有1-5nm的厚度。
然后,在氮化硅膜1102上形成非晶半导体膜1103。主要含有硅的半导体材料用于非晶半导体膜1102。通常,非晶硅膜、非晶硅锗膜等适用于非晶半导体膜1103并通过等离子体CVD、减压CVD或溅射形成为具有10-100nm的厚度。为了得到高质量的晶体,含在非晶半导体膜1103中的杂质如氧和氮的浓度可被减少到5×1018/cm3或以下。
这些杂质妨碍了非晶半导体的结晶,而且,在结晶之后增加捕获中心和复合中心的密度。因此,希望不仅采用高纯度材料气体而且采用为超高真空设计的并提供有在反应室中的镜面表面处理(场抛光处理)系统和无油真空排气系统的CVD装置。在不暴露于气氛的情况下,可连续形成包括基底绝缘膜1101到非晶半导体膜(非晶硅膜1103)的膜。
此后,给非晶硅膜1103的表面添加具有促进结晶的催化功能的金属元素(图24B)。具有促进半导体膜的结晶的催化功能的金属元素包括铁(Fe)、镍(Ni)、钴(Co)、钌(Ru)、铑(Rh)、钯(Pd)、锇(Os)、铱(Ir)、铂(Pt)、铜(Cu)、金(Au)等。可采用选自这些例子的一种或多种金属元素。通常采用镍。用旋涂器将含有1-100ppm重量镍的乙酸镍溶液施加于非晶硅膜1103的表面,形成含催化剂层1104。在这种情况下,为了更容易将溶液施加于非晶硅膜1103的表面,对非晶硅膜1103进行表面处理。更具体地说,由含臭氧水溶液形成超薄氧化物膜,用含有氟酸和过氧化氢溶液的混合溶液刻蚀该氧化物膜,形成洁净表面。此后,再用含臭氧水溶液处理得到的表面,形成超薄氧化物膜。由硅等制成的半导体膜的表面原来是疏水的;因此,通过形成这种氧化物膜,可均匀地施加乙酸镍溶液。
不用说,形成含催化剂层1104的方法不限于所述方法。可通过溅射、汽相淀积、等离子体处理等形成含催化剂层1104。
在非晶硅膜1103与含催化剂层1104接触的条件下进行用于结晶的热处理。作为热处理的方法,采用利用电热炉的炉退火、或利用卤素灯、金属卤化物灯、氙电弧灯、碳电弧灯、高压钠灯、高压汞灯等的RTA(快速热退火)。
在进行RTA的情况下,用于加热的灯光源点燃1-60秒(优选30-60秒),并重复这个周期1-10次(优选2-6次)。灯光源的发光强度可利用半导体膜可被快速加热到约600-1000℃、优选650-750℃的方式任意确定。即使在这样的高温,半导体膜只是被快速加热,并且衬底1100不会由于应变而变形。这样,非晶半导体膜被晶化,得到结晶硅膜1105,如图24C所示。非晶半导体膜不能通过这种处理结晶,除非形成含催化剂层1104。
在采用炉退火作为另一种方法的情况下,在用于结晶的热处理之前,预先在500℃进行热处理约1小时,以便释放含在非晶硅膜1103中的氢。然后,采用电热炉在氮气氛中在550-600℃、优选580℃下进行用于结晶的热处理,由此使非晶硅膜1103结晶。这样,形成如图24C所示的结晶硅膜1105。
此外,为了提高结晶比(在整个膜体积中晶体成分的比),校正留在晶粒中的缺陷,用激光照射结晶硅膜1105也是有效的。
在如此得到的结晶硅膜1105中,催化元素(这里为镍)保持超过1×1019/cm3的平均浓度。留下来的催化元素对TF的特性具有有害影响。因此,要求降低半导体膜中的催化元素的浓度。以下将介绍在结晶工艺之后减少半导体膜中的催化元素的浓度的方法。
首先,如图24D所示,在结晶硅膜1105表面上形成薄层1106。在本说明书中,提供形成在结晶硅膜1105上的薄层1106是为了防止在后来除去吸气部分时结晶硅膜1105被刻蚀,并被称为阻挡层1106。
阻挡层1106形成为具有约1-10nm的厚度。利用简单方式,用臭氧水处理结晶硅膜1105,形成化学氧化物,作为阻挡层。或者,甚至在用其中过氧化氢溶液与硫酸、盐酸、硝酸等混合的水溶液处理结晶硅膜时,同样形成化学氧化物。作为另一种方法,可通过在氧气氛中的等离子体处理或在含有氧的气氛中用紫外光照射产生臭氧,由此氧化结晶硅膜1105。或者,通过在洁净炉中在约200-350℃下加热结晶硅膜1105,形成薄氧化物膜,作为阻挡层1106。或者,可通过等离子体CVD、溅射或汽相淀积,淀积厚度为约1-5nm的氧化物膜,形成阻挡层。在这种情况下,可采用在吸气期间允许催化元素移动到吸气部分,并在除去吸气部分时防止刻蚀剂渗入结晶硅膜1105(即保护结晶硅膜1105不接触刻蚀剂)的膜。例如,可采用通过用臭氧水处理形成的化学氧化物膜、氧化硅膜(SiOx)或多孔膜。
然后,作为吸气部分1107,通过溅射在阻挡层1106上厚度为25-250nm的含有浓度为1×1020/cm3或以上的稀有气体元素的第二半导体膜(通常为非晶硅膜)。为了提高相对于结晶硅膜1105的刻蚀选择比,优选形成具有低密度的后来要除去的吸气部分1107。
稀有气体元素本身在半导体膜中是不活泼的,因此不会对结晶硅膜1105产生有害影响。作为稀有气体元素,采用选自氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、氙(Xe)的一种或多种元素。本发明的特征在于这些稀有气体元素用做形成吸气部分的离子源,并且形成含有这些元素的半导体膜以得到吸气部分。
为了准确实现吸气,需要在后来进行热处理。热处理是通过炉退火或RTA进行的。在进行炉退火的情况下,在氮气氛中在450-600℃下进行热处理0.5-12小时。在采用RTA的情况下,用于加热的灯光源点燃1-60秒(优选30-60秒),并重复这个周期1-10次(优选2-6次)。可利用半导体膜能被快速加热到约600-1000℃、优选约700-750℃的方式任意确定灯光源的发光强度。
由于吸气,通过热能使要被吸气的区域(捕获部分)中的催化元素释放并通过扩散移动到吸气部分。因此,吸气取决于处理温度,并在温度较高时,可在较短时间内进行。根据本发明,在吸气期间催化元素移动的距离约是半导体膜的厚度,由此可以在相对短的时间内完成吸气(图24E)。
甚至由于上述热处理,含有浓度为1×1019/cm3-1×1021/cm3、优选1×1020/cm3-1×1021/cm3、更优选5×1020/cm3的稀有气体的半导体膜1107不结晶。认为原因如下:在即使在上述处理温度范围内也不会再释放的情况下,稀有气体元素保留在半导体膜1107中,由此阻止了半导体膜1107的结晶。
吸气工艺之后,选择刻蚀吸气部分1107以除去该部分。作为刻蚀方法,可进行在不用等离子体情况下用ClF3的干刻蚀、或利用碱溶液如含有肼和氢氧化四乙铵((CH3)4NOH)的水溶液的湿刻蚀。此时,阻挡层1106用做刻蚀停止层。此外,后来可用氟酸除去阻挡层1106。
这样,如图24F所示,可得到催化元素的浓度减少到1×1017/cm3或以下的结晶硅膜1108。如此得到的结晶硅膜1108由于催化元素的功能而形成为细棒状或薄平条状的晶体,并且当从宏观上看时,每个晶体在特定方向生长。
本实施例可与实施方式1和2、以及实施例1-5组合。
实施例6
在本例中,下面将参照图17A和17B具体介绍通过如图10B所示组合实施例1-5的制造步骤制造的发光面板作为发光器件被完成的工艺。
图17A是其中TFT衬底被气密密封的发光面板的顶视图,图17B是沿着图17A的线A-A’截取的截面图。参考标记801表示源侧驱动电路,并用虚线表示;参考标记802表示象素部分;参考标记803表示栅侧驱动电路;参考标记804表示密封衬底;和参考标记805表示密封剂。被密封剂805包围的内部是空间807。
用于传输输入到源侧驱动电路801和栅侧驱动电路803的信号、视频信号或时钟信号的穿通布线(未示出)从作为外部输入端子的柔性印刷电路(FPC)809接收。这里示出了FPC连接到发光面板的状态。在本说明书中,通过FPC直接安装集成电路(ICs)的任何组件被称为发光器件。
参照图17B,下面将介绍图17A所示的发光面板的部分结构。象素部分802和驱动电路部分形成在衬底810上。象素部分802由象素构成,每个象素包括电流控制TFT 811和与电流控制TFT 811的漏电连接的阳极812。驱动电路部分由其中n沟道TFT 813和p沟道TFT 814互相组合的CMOS电路构成。
在每个阳极812的的两侧形成堤815。此后,在阳极812上形成绝缘膜821、有机化合物层816和阴极817,形成发光元件818。
阴极817用做公用于所有象素的布线,并通过连接布线808与FPC809电连接。
由玻璃构成的密封衬底804用密封剂805粘接到衬底810上。作为密封剂805,优选使用紫外固化树脂或热固树脂。如果需要,为了保持密封衬底804和发光元件818之间的间隔,可设置由树脂膜构成的隔板。惰性气体如氮或稀有气体填充到由密封剂805包围的空间807中。希望密封剂805由其水或氧的渗透性尽可能小的材料制成。
通过将发光元件气密地放入上述结构的空间807中,发光元件可以完成与外部隔离。结果是,可以防止发光元件由于从外部进入的水或氧而退化。因而,可制造具有高可靠性的发光器件。
本例的结构可通过任意组合实施方式1、2及实施例1-5的结构而实现。
实施例7
图18A更具体地示出了采用本发明制造的发光器件的象素部分的顶面结构,图18B示出了其电路图。参考图18A和18B,开关TFT 704由图10B中所示的开关(n沟道)TFT 1002构成。因而,关于其结构,应该参考关于开关(n沟道)TFT 1002的说明。布线703是用于使开关TFT 704的栅极704a和704b互相电连接的栅布线。
在本例中,采用其中形成两个沟道形成区的双栅结构。然而,也可以采用形成一个沟道形成区的单栅结构或形成三个沟道形成区的三栅结构。
开关TFT704的源与源布线715连接,其漏与漏布线705连接。漏布线705电连接到电流控制TFT 706的栅极707。电流控制TFT 706由图10B中的电流控制(p沟道)TFT1003构成。因此,关于其结构,应该参考关于开关(p沟道)TFT 1003的说明。本例中,采用单栅结构。但是,也可以采用双栅结构或三栅结构。
电流控制TFT 706的源电连接到电流输送线716。其漏电连接到漏布线717。漏布线717电连接到又虚线示出的阳极(象素电极)718。
在这种情况下,在由参考标记719所示的区域中形成保存存储电容器(电容器)。电容器719是通过电连接到电流输送线716的半导体膜720、与栅绝缘膜相同的层形成的绝缘膜(未示出)和栅极707形成的。由栅极707、形成为与第一层间绝缘膜相同层的层(未示出)和电流输送线716构成的电容器可用做保存存储电容器。
本例的结构可通过与实施方式1和2及实施例1-6任意组合而实现。
实施例8
其显示单元采用利用本发明制造的发光器件的电子装置的例子如下:视频摄象机;数字摄象机;护目镜式显示器(头部安装显示器);导航系统;声音再现装置(汽车音响、声音部件等);膝上计算机;游戏机构;便携式信息终端(便携式计算机、便携式电话、便携式游戏机构、电子笔记本等);图象再现装置(具体为:能处理记录介质如数字通用盘(DVD)中的数据并具有能显示数据图象的显示器件的装置)。具有发光元件的发光器件特别希望用于便携式信息终端,因为其荧光屏通常是被倾斜观察的并需要具有宽的视角。电子装置的具体例子示于图19A-19H中。
图19A表示显示器件,它由外壳2001、支撑底座2002、显示单元2003、扬声器单元2004、视频输入端子2005等构成。本发明的发光器件可用于显示单元2003。具有发光元件的发光器件是自发光的,不需要背景光,因此可以做成比液晶显示器件做的更薄的显示单元。这种显示器件包括用于显示信息的每个显示器件如用于个人计算机的、用于接收TV广播的和用于广告的。
图19B表示数字静物摄象机,它由主体2101、显示单元2102、图象接收单元2103、操作键盘2104、外部连接口2105、快门2106等构成。通过采用本发明形成的发光器件可用于显示单元2102。
图19C表示膝上计算机,它由主体2201、外壳2202、显示单元2203、键盘2204、外部连接口2205、点击鼠标2206等构成。通过利用本发明形成的发光器件可用于显示单元2203。
图19D表示便携式计算机,它由主体2301、显示单元2302、开关2303、操作键盘2304、红外线入口2305等构成。通过利用本发明形成的发光器件可用于显示单元2302。
图19E表示备有记录介质(特定的DVD播放机)的便携式图象再现装置。该装置由主体2401、外壳2402、显示单元A2403、显示单元B2404、记录介质(DVD)读取单元2405、操作键盘2406、扬声器单元2407等构成。显示单元A2403主要显示图象信息,而显示单元B 2404主要显示文本信息。该便携式图象再现装置是通过采用本发明的发光器件作为显示单元A2403和B2404形成的。该备有记录介质的图象再现装置包括家用游戏机构。
图19F表示护目镜式显示器(头部式安装显示器),它由主体2501、显示单元2502、臂单元2503构成。通过利用本发明形成的发光器件可用于显示单元2502。
图19G表示视频摄象机,它由主体2601、显示单元2602、壳体2603、外部连接口2604、遥控接收单元2605、图象接收单元2606、电池2607、声音输入单元2608、操作键盘2609等构成。通过利用本发明形成的发光器件可用于显示单元2602。
他H表示便携式电话,它由主体2701、壳体2702、显示单元2703、声音输入单元2704、声音输出单元2705、操作键盘2706、外部连接口2707、天线2708等构成。该便携式电话是通过采用本发明的发光器件作为显示单元2703形成的。如果显示单元2703在黑背景上显示白色字符,则可降低该便携式电话的功耗。
如果将来提高了从有机材料发射的光的亮度,则具有有机元件的发光器件也可用在其中承载输出图象信息的光被透镜等放大以投射在荧光屏上的正面或背面式投影仪中。
上面所给的电子装置通常显示通过电子通信线如互连网和CATV(电缆电视)分布的信息,特别是具有增加频率的活动信息。由于有机材料具有快速响应速度,因此具有发光元件的发光器件适合于显示活动信息。
在发光器件中,发光的部分消耗功率。因此,希望显示信息以便尽可能小的部分发光。因而,如果发光器件用于主要显示文本信息的显示单元如便携式信息终端、特别是便携式电话和声音再现装置,希望分配发光部分显示文本信息而不发光的部分用做背景。
如上所述,适用于本发明的发光器件的应用范围是很宽的,每个领域的电子装置都可以采用该器件。本例中的电子装置可通过采用执行实施方式1、2及实施例1-6所示的方法制造的发光器件而完成。
本发明包括采用检测装置和检测方法的检测工艺,其中该检测工艺不仅适合于具有发光元件的发光器件(EL显示器),而且适合于使用利用半导体特性的半导体元件如液晶显示器件(例如晶体管,特别是场效应晶体管;通常为MOS晶体管和TFT)的所有电设备。
根据本发明的半导体器件的制造方法中包含的检测工艺,可以用非接触方式将驱动电源和驱动信号输送给TFT衬底。因此,克服了常规接触型检测方法中涉及的如灰尘附着于TFT衬底并且由检测装置损伤TFT衬底等问题。
此外,可以根据TFT的制造工艺形成在包含在本发明中的检测工艺中使用的在阵列衬底上的次级线圈、整流电路、和波形整形电路。因此,不需要增加制造TFT衬底的工艺数量。
特别是,在制造EL显示器的情况下,只需要在确定TFT衬底的质量之后制造发光元件。因此,不需要用昂贵的材料在不适合于产品的TFT衬底中形成发光元件,这可以消除浪费和降低制造成本。

Claims (66)

1、一种制造半导体器件的方法,包括:
在衬底上提供包括多个半导体元件的电路;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与所述电路电连接;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流流过所述电路的至少一部分;
为了测试电路的工作,测量在电路的至少一部分产生的电场。
2、根据权利要求1的方法,其中该电路包括薄膜晶体管。
3、根据权利要求1的方法,其中电场是利用泡克耳斯材料(Pockelscell)测量的。
4、根据权利要求1的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
5、根据权利要求1的方法,还包括使电路与至少一个次级线圈电分离。
6、一种制造半导体器件的方法,包括:
在衬底上提供包括多个半导体元件的电路;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与所述电路电连接;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流流过所述电路的至少一部分;
为了测试电路的工作,测量在电路的至少一部分产生的电磁波。
7、根据权利要求6的方法,其中该电路包括薄膜晶体管。
8、根据权利要求6的方法,其中电场是利用天线测量的。
9、根据权利要求6的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
10、根据权利要求6的方法,还包括使电路与至少一个次级线圈电分离。
11、一种制造半导体器件的方法,包括:
在衬底上提供包括多个半导体元件的电路;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与所述电路电连接;
在电路和至少一个次级线圈之间提供整流电路;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流从至少一个次级线圈经过整流电路流到电路;
为了测试电路的工作,测量在电路的至少一部分产生的电场。
12、根据权利要求11的方法,其中该电路包括薄膜晶体管。
13、根据权利要求11的方法,其中电场是利用Pockels cell测量的。
14、根据权利要求11的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
15、根据权利要求11的方法,其中整流器形成电源电压。
16、根据权利要求11的方法,其中整流电路包括二极管、电容器和电阻器。
17、根据权利要求11的方法,还包括使电路与至少一个次级线圈电分离。
18、一种制造半导体器件的方法,包括:
在衬底上提供包括多个半导体元件的电路;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与所述电路电连接;
在电路和至少一个次级线圈之间提供整流电路;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流从至少一个次级线圈经过整流电路流到电路;
为了测试电路的工作,测量在电路的至少一部分产生的电磁波。
19、根据权利要求18的方法,其中该电路包括薄膜晶体管。
20、根据权利要求18的方法,其中电场是利用天线测量的。
21、根据权利要求18的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
22、根据权利要求18的方法,其中整流器形成电源电压。
23、根据权利要求18的方法,其中整流电路包括二极管、电容器和电阻器。
24、根据权利要求18的方法,还包括使电路与至少一个次级线圈电分离。
25、一种制造半导体器件的方法,包括:
在衬底上提供包括多个半导体元件的电路;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与所述电路电连接;
在电路和至少一个次级线圈之间提供波形整形电路;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流从至少一个次级线圈经过波形整形电路流到所述电路;
为了测试电路的工作,测量在电路的至少一部分产生的电场。
26、根据权利要求25的方法,其中该电路包括薄膜晶体管。
27、根据权利要求25的方法,其中电场是利用Pockels cell测量的。
28、根据权利要求25的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
29、根据权利要求25的方法,其中波形整形电路形成选自由时钟信号、起始脉冲信号和视频信号构成的组的驱动信号。
30、根据权利要求25的方法,其中波形整形电路包括电容器和电阻器。
31、根据权利要求25的方法,还包括使电路与至少一个次级线圈电分离。
32、一种制造半导体器件的方法,包括:
在衬底上提供包括多个半导体元件的电路;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与所述电路电连接;
在电路和至少一个次级线圈之间提供波形整形电路;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流从至少一个次级线圈经过波形整形电路流到所述电路;
为了测试电路的工作,测量在电路的至少一部分产生的电磁波。
33、根据权利要求32的方法,其中该电路分别包括薄膜晶体管。
34、根据权利要求32的方法,其中电场是利用天线测量的。
35、根据权利要求32的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
36、根据权利要求32的方法,其中波形整形电路形成选自由时钟信号、起始脉冲信号和视频信号构成的组的驱动信号。
37、根据权利要求32的方法,其中波形整形电路包括电容器和电阻器。
38、根据权利要求32的方法,还包括使电路与至少一个次级线圈电分离。
39、一种制造显示器件的方法,包括:
在衬底上提供驱动电路和象素电路,其中驱动电路和象素电路每个包括多个半导体元件;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与驱动电路和象素电路电连接;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流流过驱动电路和象素电路的至少一个;
为了测试驱动电路和象素电路的至少一个的工作,测量在驱动电路或象素电路的至少一部分产生的电场。
40、根据权利要求39的方法,其中驱动电路和象素电路每个分别包括至少一个薄膜晶体管。
41、根据权利要求39的方法,其中电场是利用Pockels cell测量的。
42、根据权利要求39的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
43、根据权利要求39的方法,还包括使至少一个次级线圈与驱动电路和象素电路电分离。
44、一种制造显示器件的方法,包括:
在衬底上提供驱动电路和象素电路,其中驱动电路和象素电路每个包括多个半导体元件;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与驱动电路和象素电路电连接;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流流过驱动电路和象素电路的至少一个;
为了测试驱动电路和象素电路的至少一个的工作,测量在驱动电路或象素电路的至少一部分产生的电磁波。
45、根据权利要求44的方法,其中驱动电路和象素电路每个分别包括至少一个薄膜晶体管。
46、根据权利要求44的方法,其中电场是利用天线测量的。
47、根据权利要求44的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
48、根据权利要求44的方法,还包括使至少一个次级线圈与驱动电路和象素电路电分离。
49、一种制造显示器件的方法,包括:
在衬底上提供驱动电路和象素电路,其中驱动电路和象素电路每个包括多个半导体元件;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与驱动电路和象素电路电连接;
在驱动电路和次级线圈之间或在象素电路和次级线圈之间提供整流电路和波形整形电路的至少一个;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流从至少一个次级线圈经过整流电路和波形整形电路的至少一个流到驱动电路和象素电路的至少一个;
为了测试驱动电路和象素电路的至少一个的工作,测量在驱动电路或象素电路的至少一部分产生的电场。
50、根据权利要求49的方法,其中驱动电路和象素电路每个分别包括至少一个薄膜晶体管。
51、根据权利要求49的方法,其中电场是利用Pockels cell测量的。
52、根据权利要求49的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
53、根据权利要求49的方法,其中整流电路包括二极管、电容器和电阻器。
54、根据权利要求49的方法,其中波形整形电路包括电容器和电阻器。
55、根据权利要求49的方法,其中整流电路形成电源电压。
56、根据权利要求49的方法,其中波形整形电路形成选自由时钟信号、起始脉冲信号和视频信号构成的组的驱动信号。
57、根据权利要求49的方法,还包括使至少一个次级线圈与驱动电路和象素电路电分离。
58、一种制造显示器件的方法,包括:
在衬底上提供驱动电路和象素电路,其中驱动电路和象素电路每个包括多个半导体元件;
在衬底上提供至少一个次级线圈,其中至少一个次级线圈与驱动电路和象素电路电连接;
在驱动电路和次级线圈之间或在象素电路和次级线圈之间提供整流电路和波形整形电路的至少一个;
通过给其施加磁场,在至少一个次级线圈中感应电压,由此电流从至少一个次级线圈经过整流电路和波形整形电路的至少一个流到驱动电路和象素电路的至少一个;
为了测试驱动电路和象素电路的至少一个的工作,测量在驱动电路或象素电路的至少一部分产生的电磁波。
59、根据权利要求58的方法,其中驱动电路和象素电路每个分别包括至少一个薄膜晶体管。
60、根据权利要求58的方法,其中电场是利用天线测量的。
61、根据权利要求58的方法,还包括将半导体器件安装到电子装置中,该电子装置选自由视频摄象机、数字摄象机、护目镜式显示器、导航系统、声音再现装置、膝上计算机、游戏机构、便携式信息终端、图象再现装置构成的组。
62、根据权利要求58的方法,其中整流电路包括二极管、电容器和电阻器。
63、根据权利要求58的方法,其中波形整形电路包括电容器和电阻器。
64、根据权利要求58的方法,其中整流电路形成电源电压。
65、根据权利要求58的方法,其中波形整形电路形成选自由时钟信号、起始脉冲信号和视频信号构成的组的驱动信号。
66、根据权利要求58的方法,还包括使至少一个次级线圈与驱动电路和象素电路电分离。
CNB021074747A 2001-03-19 2002-03-19 半导体器件的制造方法 Expired - Fee Related CN100372051C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001079609 2001-03-19
JP79609/01 2001-03-19

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN2005101188447A Division CN1790671B (zh) 2001-03-19 2002-03-19 半导体器件的制造方法
CN2007103081725A Division CN101241916B (zh) 2001-03-19 2002-03-19 半导体器件的制造方法

Publications (2)

Publication Number Publication Date
CN1375859A true CN1375859A (zh) 2002-10-23
CN100372051C CN100372051C (zh) 2008-02-27

Family

ID=18936021

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2005101188447A Expired - Fee Related CN1790671B (zh) 2001-03-19 2002-03-19 半导体器件的制造方法
CN2007103081725A Expired - Fee Related CN101241916B (zh) 2001-03-19 2002-03-19 半导体器件的制造方法
CNB021074747A Expired - Fee Related CN100372051C (zh) 2001-03-19 2002-03-19 半导体器件的制造方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN2005101188447A Expired - Fee Related CN1790671B (zh) 2001-03-19 2002-03-19 半导体器件的制造方法
CN2007103081725A Expired - Fee Related CN101241916B (zh) 2001-03-19 2002-03-19 半导体器件的制造方法

Country Status (7)

Country Link
US (5) US7105365B2 (zh)
JP (1) JP4271404B2 (zh)
KR (2) KR100825911B1 (zh)
CN (3) CN1790671B (zh)
MY (1) MY134535A (zh)
SG (2) SG117406A1 (zh)
TW (1) TWI286367B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100399526C (zh) * 2002-11-22 2008-07-02 松下电器产业株式会社 半导体器件的布局检验方法
CN102341685A (zh) * 2009-03-03 2012-02-01 S3C公司 高温下应用的介质兼容型电隔离压力传感器
CN102590729A (zh) * 2005-03-07 2012-07-18 株式会社半导体能源研究所 元件基板、检查方法及半导体装置制造方法
CN102721506A (zh) * 2011-03-30 2012-10-10 浙江三花股份有限公司 一种压力传感器
CN101673760B (zh) * 2005-08-12 2013-06-12 株式会社半导体能源研究所 显示设备和制造显示设备的方法
US8643127B2 (en) 2008-08-21 2014-02-04 S3C, Inc. Sensor device packaging
US8729544B2 (en) 2008-07-31 2014-05-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
CN104067376A (zh) * 2013-01-23 2014-09-24 株式会社村田制作所 薄膜电容和齐纳二极管的复合电子部件及其制造方法
CN106654523A (zh) * 2016-12-20 2017-05-10 西安科锐盛创新科技有限公司 用于可重构多层全息天线的Si基SPiN二极管制备方法
CN106785336A (zh) * 2016-12-20 2017-05-31 西安电子科技大学 具备SiO2保护层的频率可重构全息天线的制备方法
CN107482137A (zh) * 2013-03-11 2017-12-15 应用材料公司 用于oled应用的pecvd hmdso膜的等离子体固化
CN109119356A (zh) * 2018-08-22 2019-01-01 京东方科技集团股份有限公司 阵列基板的检测设备及检测方法

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7301279B2 (en) * 2001-03-19 2007-11-27 Semiconductor Energy Laboratory Co., Ltd. Light-emitting apparatus and method of manufacturing the same
US6850080B2 (en) * 2001-03-19 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Inspection method and inspection apparatus
JP2002340989A (ja) * 2001-05-15 2002-11-27 Semiconductor Energy Lab Co Ltd 測定方法、検査方法及び検査装置
TWI237729B (en) * 2001-12-24 2005-08-11 Chi Mei Optoelectronics Corp Energy recycling device for liquid crystal display device
US7453705B2 (en) * 2002-05-07 2008-11-18 Alien Technology Corporation Barrier, such as a hermetic barrier layer for O/PLED and other electronic devices on plastic
US7592980B2 (en) 2002-06-05 2009-09-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
SG130013A1 (en) * 2002-07-25 2007-03-20 Semiconductor Energy Lab Method of fabricating light emitting device
TWI304706B (zh) * 2002-08-30 2008-12-21 Au Optronics Corp
KR100867726B1 (ko) * 2002-11-21 2008-11-10 삼성전자주식회사 액정표시장치의 제조 방법
US7205986B2 (en) * 2002-12-18 2007-04-17 Semiconductor Energy Laboratory Co., Ltd. Image display device and testing method of the same
EP1437683B1 (en) * 2002-12-27 2017-03-08 Semiconductor Energy Laboratory Co., Ltd. IC card and booking account system using the IC card
US7652359B2 (en) * 2002-12-27 2010-01-26 Semiconductor Energy Laboratory Co., Ltd. Article having display device
JP4242663B2 (ja) * 2003-02-19 2009-03-25 ソフトバンクBb株式会社 無線タグ
US7973313B2 (en) * 2003-02-24 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Thin film integrated circuit device, IC label, container comprising the thin film integrated circuit, manufacturing method of the thin film integrated circuit device, manufacturing method of the container, and management method of product having the container
WO2004086070A1 (ja) * 2003-03-25 2004-10-07 Semiconductor Energy Laboratory Co. Ltd. 半導体装置の検査回路、および検査方法
JP4110172B2 (ja) * 2003-05-12 2008-07-02 インターナショナル・ビジネス・マシーンズ・コーポレーション アクティブマトリックスパネルの検査装置、検査方法、およびアクティブマトリックスoledパネルの製造方法
JP4062171B2 (ja) * 2003-05-28 2008-03-19 ソニー株式会社 積層構造の製造方法
KR100936908B1 (ko) * 2003-07-18 2010-01-18 삼성전자주식회사 전계발광 디바이스의 박막 트랜지스터, 이를 이용한전계발광 디바이스 및 이의 제조 방법
US7566001B2 (en) * 2003-08-29 2009-07-28 Semiconductor Energy Laboratory Co., Ltd. IC card
US7199637B2 (en) * 2003-09-02 2007-04-03 Semiconductor Energy Laboratory Co., Ltd. Rectifier circuit without alternating-current feedback
JP4823478B2 (ja) * 2003-09-19 2011-11-24 株式会社半導体エネルギー研究所 発光装置の作製方法
US7520790B2 (en) * 2003-09-19 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
KR101270180B1 (ko) * 2004-01-30 2013-05-31 가부시키가이샤 한도오따이 에네루기 켄큐쇼 검사장치 및 검사방법과, 반도체장치 제작방법
US7632721B2 (en) * 2004-02-06 2009-12-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film integrated circuit, and element substrate
WO2006022169A1 (en) * 2004-08-23 2006-03-02 Semiconductor Energy Laboratory Co., Ltd. Wireless chip and manufacturing method thereof
CN101044624A (zh) * 2004-10-22 2007-09-26 株式会社半导体能源研究所 半导体器件
US20060109120A1 (en) * 2004-11-19 2006-05-25 Jeremy Burr RFID tag in a substrate
US7518602B2 (en) * 2004-12-06 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Test circuit and display device having the same
WO2006068286A1 (en) * 2004-12-24 2006-06-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8835907B2 (en) * 2005-01-21 2014-09-16 Semiconductor Energy Laboratory Co., Ltd. Memory device and semiconductor device
US20100060981A1 (en) * 2005-02-10 2010-03-11 Kanagawa Academy Of Science And Technology Circular Dichroic Thermal Lens Microscope
JPWO2006085606A1 (ja) * 2005-02-10 2008-06-26 財団法人神奈川科学技術アカデミー 円二色性熱レンズ顕微鏡装置
US7659892B2 (en) * 2005-03-17 2010-02-09 Semiconductor Energy Laboratory Co., Ltd. Display device and portable terminal
JP2006303422A (ja) * 2005-03-22 2006-11-02 Sony Corp プラズマ処理方法および半導体装置の製造方法
US7808253B2 (en) * 2005-12-02 2010-10-05 Semiconductor Energy Laboratory Co., Ltd. Test method of microstructure body and micromachine
JP5250960B2 (ja) 2006-01-24 2013-07-31 セイコーエプソン株式会社 発光装置および電子機器
DE102006054088A1 (de) * 2006-11-16 2008-05-21 Siemens Ag Messvorrichtung und Messverfahren zum Inspizieren einer Oberfläche eines Substrates
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
JP5331389B2 (ja) * 2007-06-15 2013-10-30 株式会社半導体エネルギー研究所 表示装置の作製方法
US8334537B2 (en) * 2007-07-06 2012-12-18 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device
TWI456663B (zh) 2007-07-20 2014-10-11 Semiconductor Energy Lab 顯示裝置之製造方法
JP5561899B2 (ja) * 2007-10-19 2014-07-30 キヤノン株式会社 表示装置の製造方法
US7793237B2 (en) * 2007-12-17 2010-09-07 International Business Machines Corporation System, structure and method of providing dynamic optimization of integrated circuits using a non-contact method of selection, and a design structure
DE102008030545A1 (de) * 2008-06-27 2010-01-07 Siemens Aktiengesellschaft Vorrichtung und Verfahren zur berührungslosen Ankontaktierung von leitfähigen Strukturen, insbesondere von Dünnschicht-Transistor-Flüssigkristallanzeigen (Thin Film Transistor Liquid Crystal Displays)
JP5663214B2 (ja) * 2009-07-03 2015-02-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5751748B2 (ja) * 2009-09-16 2015-07-22 信越化学工業株式会社 多結晶シリコン塊群および多結晶シリコン塊群の製造方法
KR101671690B1 (ko) * 2011-10-19 2016-11-03 어플라이드 머티어리얼스, 인코포레이티드 롤 대 롤 테스터 및 가요성 기판들을 롤 대 롤로 테스팅하는 방법
JP6088234B2 (ja) 2011-12-23 2017-03-01 株式会社半導体エネルギー研究所 受電装置、無線給電システム
US8879275B2 (en) * 2012-02-21 2014-11-04 International Business Machines Corporation Anti-corrosion conformal coating comprising modified porous silica fillers for metal conductors electrically connecting an electronic component
US9431473B2 (en) 2012-11-21 2016-08-30 Qualcomm Incorporated Hybrid transformer structure on semiconductor devices
US10002700B2 (en) 2013-02-27 2018-06-19 Qualcomm Incorporated Vertical-coupling transformer with an air-gap structure
US9634645B2 (en) * 2013-03-14 2017-04-25 Qualcomm Incorporated Integration of a replica circuit and a transformer above a dielectric substrate
US9025119B2 (en) * 2013-05-14 2015-05-05 Shenzhen China Star Optoelectronics Technology Co., Ltd. LCD module and liquid crystal panel
CN104122695B (zh) * 2013-07-19 2017-07-07 深超光电(深圳)有限公司 用于液晶显示面板的阵列基板及阵列基板的制造方法
US9449753B2 (en) 2013-08-30 2016-09-20 Qualcomm Incorporated Varying thickness inductor
US9906318B2 (en) 2014-04-18 2018-02-27 Qualcomm Incorporated Frequency multiplexer
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
DE102015101671A1 (de) * 2015-02-05 2016-08-11 Osram Opto Semiconductors Gmbh Verfahren und Vorrichtung zur Überprüfung einer optoelektronischen Komponente
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
CN113253462B (zh) * 2016-02-15 2024-01-12 精工爱普生株式会社 虚像显示装置
US9627371B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and AA-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
JP6797042B2 (ja) * 2017-02-02 2020-12-09 株式会社ジャパンディスプレイ 表示装置
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
IT201700040531A1 (it) * 2017-04-12 2018-10-12 Ricerca Sul Sist Energetico Rse S P A Metodo per la misura vettoriale di campi elettrici e relativa apparecchiatura.
JP7258781B2 (ja) * 2017-06-20 2023-04-17 アップル インコーポレイテッド 発光ダイオード(led)テスト装置および製造方法
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
KR102506803B1 (ko) 2018-11-23 2023-03-07 삼성전자주식회사 배선 기판 테스트 방법 및 이를 수행하기 위한 장치
CN109782147A (zh) * 2018-12-26 2019-05-21 中国电子科技集团公司第五十五研究所 一种碳化硅mosfet高温栅偏测试方法
CN111247630B (zh) * 2019-09-30 2022-03-01 重庆康佳光电技术研究院有限公司 一种发光二极管检测系统
KR20210149957A (ko) * 2020-06-02 2021-12-10 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
CN112964944B (zh) * 2021-01-29 2022-06-14 上海交通大学 集成信号处理电路的传感器及其制备方法

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3311818A (en) 1963-03-11 1967-03-28 Api Instr Company Non-contact apparatus for magnetically measuring strain
US4190799A (en) * 1978-08-21 1980-02-26 Bell Telephone Laboratories, Incorporated Noncontacting measurement of hall effect in a wafer
JPS61226887A (ja) 1985-03-30 1986-10-08 Anritsu Corp Icカ−ド
JPH01243087A (ja) * 1988-03-25 1989-09-27 Hitachi Ltd 磁気光学効果を用いたディスプレイ装置
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
JPH01297626A (ja) 1988-05-26 1989-11-30 Matsushita Electric Ind Co Ltd アクティブマトリックスアレイとその検査方法
US5097201A (en) 1990-02-15 1992-03-17 Photon Dynamics, Inc. Voltage imaging system using electro-optics
US4983911A (en) 1990-02-15 1991-01-08 Photon Dynamics, Inc. Voltage imaging system using electro-optics
US5124635A (en) 1990-02-15 1992-06-23 Photon Dynamics, Inc. Voltage imaging system using electro-optics
US5266869A (en) * 1990-09-27 1993-11-30 Tokyo Electric Co., Ltd. Discharge lamp lighting apparatus having output impedance which limits current flow therethrough after start of discharging
JPH04138584A (ja) 1990-09-28 1992-05-13 Toppan Printing Co Ltd 情報カードの検査装置
US5424633A (en) * 1991-01-22 1995-06-13 Advanced Test Technologies Inc. Contactless test method and system for testing printed circuit boards
JPH04264745A (ja) 1991-02-19 1992-09-21 Fujitsu Ltd マイクロ波プローバ
JPH0547889A (ja) * 1991-03-01 1993-02-26 Matsushita Electron Corp 金属配線の信頼性評価方法
US5245274A (en) * 1991-05-31 1993-09-14 Youngquist John S Storm monitor
JPH05119356A (ja) 1991-06-17 1993-05-18 Seiko Epson Corp 電極基板の製造方法
US5543729A (en) 1991-09-10 1996-08-06 Photon Dynamics, Inc. Testing apparatus and connector for liquid crystal display substrates
US5444385A (en) * 1991-09-10 1995-08-22 Photon Dynamics, Inc. Testing apparatus for liquid crystal display substrates
US5295072A (en) * 1992-04-29 1994-03-15 Bfgoodrich Flightsystems, Inc. Sampled data lightning strike detection and mapping system capable of recovering a pre threshold sample history for detection and mapping processing
JPH05314786A (ja) 1992-05-14 1993-11-26 Sharp Corp 半導体記憶装置
JP2803943B2 (ja) 1992-10-21 1998-09-24 アルプス電気株式会社 非接触電力供給装置
US6058497A (en) * 1992-11-20 2000-05-02 Micron Technology, Inc. Testing and burn-in of IC chips using radio frequency transmission
JP3244141B2 (ja) 1993-03-12 2002-01-07 コスモ工機株式会社 管体の切断装置
US5389875A (en) * 1993-03-19 1995-02-14 Grumman Aerospace Corporation Apparatus for non-destructive testing of dielectric/magnetic materials
US5643804A (en) * 1993-05-21 1997-07-01 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a hybrid integrated circuit component having a laminated body
JPH06349913A (ja) * 1993-06-14 1994-12-22 Fujitsu Ltd バーンインの非接触モニター方法
JP3269225B2 (ja) * 1993-11-17 2002-03-25 横河電機株式会社 プリントコイル・テスタ
JPH08101404A (ja) 1994-09-30 1996-04-16 Hitachi Electron Eng Co Ltd Tft基板の欠陥検出方法および欠陥検査装置
US5631818A (en) * 1995-02-14 1997-05-20 Zero Emissions Technology Inc. Power supply for electrostatic preciptator electrodes
US5517110A (en) 1995-04-06 1996-05-14 Yentec Inc. Contactless test method and system for testing printed circuit boards
JP2909807B2 (ja) * 1995-11-22 1999-06-23 セイコーインスツルメンツ株式会社 超伝導量子干渉素子磁束計および非破壊検査装置
US6940267B1 (en) 1995-12-27 2005-09-06 William H. Swain Error correction by selective modulation
SG54559A1 (en) 1996-09-13 1998-11-16 Hitachi Ltd Power transmission system ic card and information communication system using ic card
TW308741B (en) * 1996-11-22 1997-06-21 United Microelectronics Corp Micro-coil structure of integrated circuit and process thereof
US5837971A (en) * 1997-01-21 1998-11-17 Lee; Myoung Jun Electric blanket having reduced electromagnetic field
JP3067671B2 (ja) 1997-02-07 2000-07-17 セイコーエプソン株式会社 アクティブマトリクスパネル、ビューファインダー並びに投写型表示装置
JP3328553B2 (ja) * 1997-07-25 2002-09-24 松下電器産業株式会社 回路基板検査装置
JP3288303B2 (ja) * 1997-09-04 2002-06-04 シャープ株式会社 液晶表示装置及びその駆動方法
US6909419B2 (en) * 1997-10-31 2005-06-21 Kopin Corporation Portable microdisplay system
WO1999032893A1 (en) 1997-12-22 1999-07-01 Conexant Systems, Inc. Wireless test apparatus for integrated circuit die
US6331782B1 (en) 1998-03-23 2001-12-18 Conexant Systems, Inc. Method and apparatus for wireless testing of integrated circuits
US6184696B1 (en) * 1998-03-23 2001-02-06 Conexant Systems, Inc. Use of converging beams for transmitting electromagnetic energy to power devices for die testing
JP4075138B2 (ja) * 1998-06-05 2008-04-16 凸版印刷株式会社 非接触icカード用検査装置および検査方法
US6249673B1 (en) * 1998-11-09 2001-06-19 Philip Y. W. Tsui Universal transmitter
JP4159713B2 (ja) 1998-11-25 2008-10-01 株式会社半導体エネルギー研究所 半導体装置
US6365917B1 (en) 1998-11-25 2002-04-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP2000200053A (ja) 1999-01-07 2000-07-18 Futaba Corp 表示素子用基板の製造方法及び表示素子用基板
JP3755012B2 (ja) * 1999-01-18 2006-03-15 株式会社アドバンテスト デバイス試験システム及び方法並びに測定用カード
KR100314661B1 (ko) * 1999-02-05 2001-12-28 김형태 휴대용 원격제어 무선 영상 및 음성 통신시스템
JP2000258482A (ja) 1999-03-08 2000-09-22 Toshiba Corp 周波数検査装置
EP2256808A2 (en) 1999-04-30 2010-12-01 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device and manufacturing method therof
JP2000321591A (ja) 1999-05-14 2000-11-24 Nec Corp 液晶表示装置
JP2001013917A (ja) * 1999-06-30 2001-01-19 Hitachi Ltd ディスプレイ装置
KR100333271B1 (ko) * 1999-07-05 2002-04-24 구본준, 론 위라하디락사 배선의 단락 및 단선 테스트를 위한 박막트랜지스터-액정표시장치의 어레이기판과 그 제조방법.
US6509217B1 (en) * 1999-10-22 2003-01-21 Damoder Reddy Inexpensive, reliable, planar RFID tag structure and method for making same
DE10015484C2 (de) * 2000-03-29 2002-10-24 Fraunhofer Ges Forschung Verfahren zum kontaktlosen Test von Chips sowie Vorrichtung zur Durchführung dieses Verfahrens
US6407546B1 (en) 2000-04-07 2002-06-18 Cuong Duy Le Non-contact technique for using an eddy current probe for measuring the thickness of metal layers disposed on semi-conductor wafer products
CA2308820A1 (en) 2000-05-15 2001-11-15 The Governors Of The University Of Alberta Wireless radio frequency technique design and method for testing of integrated circuits and wafers
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
JP4552069B2 (ja) * 2001-01-04 2010-09-29 株式会社日立製作所 画像表示装置およびその駆動方法
US6582980B2 (en) * 2001-01-30 2003-06-24 Eastman Kodak Company System for integrating digital control with common substrate display devices
JPWO2002063675A1 (ja) * 2001-02-02 2004-06-10 株式会社ルネサステクノロジ 半導体集積回路および検査方法並びに製造方法
US6850080B2 (en) 2001-03-19 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Inspection method and inspection apparatus
US6512482B1 (en) 2001-03-20 2003-01-28 Xilinx, Inc. Method and apparatus using a semiconductor die integrated antenna structure
US6759850B2 (en) 2001-03-28 2004-07-06 Orbotech Ltd. System and method for non-contact electrical testing employing a CAM derived reference
JP3761470B2 (ja) 2001-04-04 2006-03-29 北斗電子工業株式会社 非接触電圧計測方法及び装置並びに検出プローブ
JP2002340989A (ja) 2001-05-15 2002-11-27 Semiconductor Energy Lab Co Ltd 測定方法、検査方法及び検査装置
KR100867726B1 (ko) 2002-11-21 2008-11-10 삼성전자주식회사 액정표시장치의 제조 방법
US7250781B2 (en) 2002-12-19 2007-07-31 Fuji Xerox Co., Ltd. Circuit board inspection device
KR100528695B1 (ko) 2003-05-06 2005-11-16 엘지.필립스 엘시디 주식회사 평판표시장치의 검사방법 및 장치
JP4138584B2 (ja) 2003-06-11 2008-08-27 ヤンマー農機株式会社 播種装置
US7256055B2 (en) 2003-08-25 2007-08-14 Tau-Metrix, Inc. System and apparatus for using test structures inside of a chip during the fabrication of the chip
KR101270180B1 (ko) 2004-01-30 2013-05-31 가부시키가이샤 한도오따이 에네루기 켄큐쇼 검사장치 및 검사방법과, 반도체장치 제작방법
US7378837B2 (en) 2004-06-07 2008-05-27 General Electric Company Method and system for calibrating a micro-electromechanical system (MEMS) based sensor using tunneling current sensing
JP4264745B2 (ja) 2004-12-14 2009-05-20 独立行政法人産業技術総合研究所 酵素とビオチン修飾異方性高分子微粒子、これを用いた微小管と微粒子のコンプレックス及びatp自己供給するナノバイオマシン

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100399526C (zh) * 2002-11-22 2008-07-02 松下电器产业株式会社 半导体器件的布局检验方法
CN102590729B (zh) * 2005-03-07 2014-10-29 株式会社半导体能源研究所 元件基板、检查方法及半导体装置制造方法
US9188631B2 (en) 2005-03-07 2015-11-17 Semiconductor Energy Laboratory Co., Ltd. Element substrate, inspecting method, and manufacturing method of semiconductor device
CN102590729A (zh) * 2005-03-07 2012-07-18 株式会社半导体能源研究所 元件基板、检查方法及半导体装置制造方法
CN101673760B (zh) * 2005-08-12 2013-06-12 株式会社半导体能源研究所 显示设备和制造显示设备的方法
US8674366B2 (en) 2005-08-12 2014-03-18 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
US9111804B2 (en) 2008-07-31 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9087745B2 (en) 2008-07-31 2015-07-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10937897B2 (en) 2008-07-31 2021-03-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8729544B2 (en) 2008-07-31 2014-05-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8643127B2 (en) 2008-08-21 2014-02-04 S3C, Inc. Sensor device packaging
US8627559B2 (en) 2009-03-03 2014-01-14 S3C, Inc. Media-compatible electrically isolated pressure sensor for high temperature applications
CN102341685B (zh) * 2009-03-03 2013-09-25 S3C公司 高温下应用的介质兼容型电隔离压力传感器
CN102341685A (zh) * 2009-03-03 2012-02-01 S3C公司 高温下应用的介质兼容型电隔离压力传感器
CN102721506A (zh) * 2011-03-30 2012-10-10 浙江三花股份有限公司 一种压力传感器
CN104067376A (zh) * 2013-01-23 2014-09-24 株式会社村田制作所 薄膜电容和齐纳二极管的复合电子部件及其制造方法
CN107482137A (zh) * 2013-03-11 2017-12-15 应用材料公司 用于oled应用的pecvd hmdso膜的等离子体固化
CN106785336A (zh) * 2016-12-20 2017-05-31 西安电子科技大学 具备SiO2保护层的频率可重构全息天线的制备方法
CN106654523A (zh) * 2016-12-20 2017-05-10 西安科锐盛创新科技有限公司 用于可重构多层全息天线的Si基SPiN二极管制备方法
CN109119356A (zh) * 2018-08-22 2019-01-01 京东方科技集团股份有限公司 阵列基板的检测设备及检测方法
US10885821B2 (en) 2018-08-22 2021-01-05 Hefei Xinsheng Optoelectronics Technology Co., Ltd. Inspection device and inspection method for array substrate

Also Published As

Publication number Publication date
JP4271404B2 (ja) 2009-06-03
US20140252971A1 (en) 2014-09-11
SG142160A1 (en) 2008-05-28
US9047796B2 (en) 2015-06-02
US7674635B2 (en) 2010-03-09
CN1790671B (zh) 2012-03-21
US8729548B2 (en) 2014-05-20
KR100862044B1 (ko) 2008-10-09
US20100157165A1 (en) 2010-06-24
TW200625536A (en) 2006-07-16
US20020132383A1 (en) 2002-09-19
SG117406A1 (en) 2005-12-29
JP2003031814A (ja) 2003-01-31
KR100825911B1 (ko) 2008-04-28
TWI286367B (en) 2007-09-01
KR20070032980A (ko) 2007-03-23
US20150348855A1 (en) 2015-12-03
MY134535A (en) 2007-12-31
CN101241916B (zh) 2011-01-26
US7105365B2 (en) 2006-09-12
KR20020074415A (ko) 2002-09-30
US20060263952A1 (en) 2006-11-23
CN101241916A (zh) 2008-08-13
CN1790671A (zh) 2006-06-21
CN100372051C (zh) 2008-02-27

Similar Documents

Publication Publication Date Title
CN1375859A (zh) 半导体器件的制造方法
CN1372325A (zh) 发光器件及其制造方法
CN1227739C (zh) 电致发光显示装置和具有电致发光显示装置的电子装置
CN1294656C (zh) 半导体器件及其制造方法
CN1263165C (zh) 电致发光显示器件
CN1263159C (zh) 半导体器件及其制造方法
CN1311562C (zh) 发光器件
CN1286156C (zh) 制造半导体器件的方法
CN1169015C (zh) 具有涂敷膜的薄膜器件、液晶屏以及薄膜器件的制造方法
CN1280870C (zh) 半导体器件的制造方法
CN1129955C (zh) 半导体器件的制造方法
CN1476048A (zh) 发光器件的制造方法
CN1409373A (zh) 剥离方法及半导体器件的制造方法
CN1417859A (zh) 半导体器件
CN1409374A (zh) 剥离方法以及制造半导体器件的方法
CN1729719A (zh) 显示装置和显示装置的制作方法
CN101044627A (zh) 半导体器件
CN1761049A (zh) 薄膜晶体管阵列面板及其制造方法
CN1350417A (zh) 制造和/或者修复发光设备的方法
CN1619772A (zh) 制造半导体器件的方法
CN1248295C (zh) 一种制造半导体器件的方法
CN1362730A (zh) 激光退火方法以及半导体器件制造方法
CN1866403A (zh) 半导体电路,显示器及其电子应用设备
CN1652186A (zh) 发光装置
CN1890698A (zh) 显示器件及其制造方法和电视装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080227

Termination date: 20180319

CF01 Termination of patent right due to non-payment of annual fee