CN1518091A - 金属镶嵌的制造方法及其结构 - Google Patents

金属镶嵌的制造方法及其结构 Download PDF

Info

Publication number
CN1518091A
CN1518091A CNA2003101036996A CN200310103699A CN1518091A CN 1518091 A CN1518091 A CN 1518091A CN A2003101036996 A CNA2003101036996 A CN A2003101036996A CN 200310103699 A CN200310103699 A CN 200310103699A CN 1518091 A CN1518091 A CN 1518091A
Authority
CN
China
Prior art keywords
layer
perforate
manufacture method
damascene
silicon carbide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2003101036996A
Other languages
English (en)
Other versions
CN1290173C (zh
Inventor
吴振诚
卢永诚
陈盈淙
章勋明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1518091A publication Critical patent/CN1518091A/zh
Application granted granted Critical
Publication of CN1290173C publication Critical patent/CN1290173C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

一种金属镶嵌的制造方法及其结构,此制造方法先于一基础层上方依序沉积一蚀刻终止层与一介电层,然后于介电层与蚀刻终止层中形成一开孔,再于介电层表面与开孔侧边及底部沉积一碳化硅层,最后于开孔中形成一金属层。本发明的碳化硅层可避免金属层扩散至介电层,从而降低漏电流,并能提高与半导体组件可靠度相关的时依性介电崩溃时间与改善偏压温度冲击性能。

Description

金属镶嵌的制造方法及其结构
技术领域
本发明涉及一种金属镶嵌(metal damascene)的制造方法及其结构,特别是涉及一种应用于半导体组件内联机(interconnect)中的金属镶嵌的制造方法及其结构。
背景技术
半导体产品通过金属导线连接至半导体组件,通过施加电压而控制每一半导体组件的作动状态。传统上,以金属镶嵌做为金属导线,请参照图1A至图1D,其为现有技术制作金属镶嵌的剖面结构流程示意图。
首先,如图1A所示,于一半导体基础层10上方依序形成有一蚀刻终止层11、一介电层12与一介电材料抗反射层13。
图1B中,利用微影制程与蚀刻技术,于介电材料抗反射层13、介电层12与蚀刻终止层11中形成一开孔14。
图1C中,于介电材料抗反射层13表面与开孔14侧边及底部沉积一阻障层15,阻障层15较常使用的材料为氮化钽。然后,于阻障层15表面与开孔14中沉积一金属层16,金属层16较常使用的材料为铜。
如图1D所示,再进行化学机械研磨,而停止于介电层12,则完成金属镶嵌的制作。
然而,如图2所示,其为图1D中区域17的放大图,为了提高半导体产品效能,当介电层12使用多孔性的低介电常数材料(例如:多孔性SiLK、Aerogel或Xerogel)时,多孔性低介电常数材料的介电层12的结构特性使得经过蚀刻后形成的开孔14的侧边并非为一平坦的表面,致使后续沉积的阻障层15厚度无法均匀一致,阻障层15厚度较薄的区域18无法完全避免金属层16扩散至介电层12,不仅产生漏电流,且缩短与组件可靠度相关的时依性介电崩溃(time-dependent dielectric breakdown,TDDB)时间与劣化偏压温度冲击(bias temperature stress,BTS)性能。
发明内容
本发明的目的在于提供一种金属镶嵌的制作方法及其结构,避免半导体组件造成漏电流。
本发明的另一目的在于提供一种金属镶嵌的制作方法及其结构,用来维持时依性介电崩溃时间。
本发明的又一目的在于提供一种金属镶嵌的制作方法及其结构,用来改善偏压温度冲击性能。
本发明的再一目的在于提供一种金属镶嵌的制作方法及其结构,用来提高半导体产品的产能。
根据上述目的,本发明提供一种金属镶嵌的制造方法,此制造方法先于一基础层上方依序沉积一蚀刻终止层与一介电层,然后于介电层与蚀刻终止层中形成一开孔,再于介电层表面与开孔侧边及底部沉积一碳化硅层,最后于开孔中形成一金属层。
本发明还提供一种金属镶嵌的结构,此结构包括有一基础层,一位于基础层上方的蚀刻终止层,一位于蚀刻终止层上方的介电层,一位于介电层与蚀刻终止层中的开孔,一位于介电层表面与开孔侧边及底部的碳化硅层,以及一位于开孔中的金属层。
上述金属层的材料可以为铜,介电层为多孔性的低介电常数介电层(例如多孔性SiLK、Aerogel或Xerogel),且较佳碳化硅层的厚度为介于100~200。
本发明的有益效果在于:该碳化硅层可避免金属层扩散至介电层,从而降低漏电流,并能提高与组件可靠度相关的时依性介电崩溃时间与改善偏压温度冲击性能。
附图说明
图1A至图1D是现有技术制作金属镶嵌的剖面结构流程示意图;
图2是图1D中区域17的放大图;以及
图3A至图3E是本发明制作金属镶嵌的剖面结构流程示意图。
具体实施方式
请参照图3A至图3E,其为本发明制作金属镶嵌的剖面结构流程示意图。首先,如图3A所示,于一半导体基础层30上方依序沉积有一蚀刻终止层31、一介电层32与一有机抗反射层33。其中,蚀刻终止层31的材料可以为氮化硅或碳化硅,较佳者,蚀刻终止层31的厚度为400。本发明的介电层32的材料可以为多孔性的低介电常数介电层,例如多孔性SiLK、Aerogel或Xerogel,尤其对于使用多孔性的低介电常数介电层而言,本发明技术能克服前述现有的缺陷。其中,有机抗反射层33用来避免曝光时光线反射致使分辨率下降,当然,本发明也不是绝对必要沉积有机抗反射层。
图3B中,于有机抗反射层33、介电层32与蚀刻终止层31中形成一开孔34。其中,形成开孔34可以先沉积一光阻层(图未示)于有机抗反射层33上方,再以微影制程定义光阻层图案,然后以图案化光阻层为罩幕进行蚀刻,而停止于蚀刻终止层31。最后,再以图案化光阻层为罩幕进行蚀刻,而停止于基础层30。
图3C中,加热移除有机抗反射层33,例如可于一化学气相沉积机台中加热移除有机抗反射层33。
图3D中,于介电层32表面与开孔34侧边及底部沉积一碳化硅层35,再于碳化硅层35表面与开孔34中沉积一金属层36。其中,以化学气相沉积方式沉积碳化硅层35(可以与前述加热移除有机抗反射层33的同一化学气相沉积机台中进行沉积碳化硅层35),较佳者,碳化硅层的厚度为介于100~200。其中,沉积金属层36可以先于碳化硅层35表面与开孔34侧边及底部沉积一钽层(图未示),用来增加附着力,再通过溅镀方式于钽层表面与开孔34侧边及底部形成有金属层36的沉积晶种,然后进行电化学电镀,于钽层表面与开孔34侧边及底部沉积金属层36。其中,金属层36的材料可以为铜或其它导电性良好且沉积与蚀刻容易的材料。
最后,如图3E所示,再化学机械研磨金属层36而停止于碳化硅层35,则完成金属镶嵌的制作。
本发明以碳化硅层取代现有技术中的阻障层,碳化硅层的沉积能力优于现有技术中的阻障层,从而降低漏电流,并能提高与半导体组件可靠度相关的时依性介电崩溃时间与改善偏压温度冲击性能。
另外,本发明(图3E)并无研磨移除碳化硅层35,保留碳化硅层35也得以降低漏电流,而现有技术(图1D)必须研磨移除部分阻障层15,且又得研磨移除介电材料抗反射层13。本发明的有机抗反射层通过加热即可移除,并非绝对必要通过研磨移除,因此本发明可以将加热移除有机抗反射层33(图3C)与沉积碳化硅层35(图3D)于同一化学气相沉积机台中进行,因此,本发明于制作金属镶嵌上更能减少制程时间,提高产能。

Claims (32)

1.一种金属镶嵌的制造方法,该制造方法至少包括下列步骤:
于一基础层上方依序沉积一蚀刻终止层与一介电层;
于该介电层与该蚀刻终止层中形成一开孔;其特征在于:该方法还包括以下步骤:
于该介电层表面与该开孔侧边及底部沉积一碳化硅层;以及
于该开孔中形成一金属层。
2.根据权利要求1所述的金属镶嵌的制造方法,其特征在于:该金属层的材料为铜。
3.根据权利要求1所述的金属镶嵌的制造方法,其特征在于:该蚀刻终止层的材料选自氮化硅与碳化硅之一。
4.根据权利要求1所述的金属镶嵌的制造方法,其特征在于:该蚀刻终止层的厚度为400。
5.根据权利要求1所述的金属镶嵌的制造方法,其特征在于:该介电层的材料为低介电常数介电层。
6.根据权利要求5所述的金属镶嵌的制造方法,其特征在于:该低介电常数介电层为多孔性的低介电常数介电层。
7.根据权利要求6所述的金属镶嵌的制造方法,其特征在于:该多孔性的低介电常数介电层选自多孔性SiLK、Aerogel、Xerogel群组之一。
8.根据权利要求1所述的金属镶嵌的制造方法,其特征在于:于沉积该介电层于该基础层上方之后更包括沉积一有机抗反射层于该介电层上方的步骤。
9.根据权利要求8所述的金属镶嵌的制造方法,其特征在于:其中形成该开孔至少包括下列步骤:
沉积一光阻层于该有机抗反射层上方;
以微影制程定义该光阻层图案;
以该图案化光阻层为罩幕,进行蚀刻,而停止于该蚀刻终止层;以及
以该图案化光阻层为罩幕,进行蚀刻,而停止于该基础层。
10.根据权利要求9所述的金属镶嵌的制造方法,其特征在于:形成该开孔之后更包括一加热移除该有机抗反射层的步骤。
11.根据权利要求1所述的金属镶嵌的制造方法,其特征在于:该碳化硅层的厚度为介于100~200。
12.根据权利要求1所述的金属镶嵌的制造方法,其特征在于:于该开孔中形成该金属层至少包括下列步骤:
于该碳化硅层表面与该开孔中沉积该金属层;以及
化学机械研磨该金属层而停止于该碳化硅层。
13.根据权利要求12所述的金属镶嵌的制造方法,其特征在于:于该碳化硅层表面与该开孔中沉积该金属层至少包括下列步骤:
于该碳化硅层表面与该开孔侧边及底部沉积一钽层;
以溅镀方式于该钽层表面与该开孔侧边及底部形成有该金属的沉积晶种;以及
进行电化学电镀,于该钽层表面与该开孔侧边及底部沉积该金属层。
14.一种金属镶嵌的制造方法,其应用于上方依序形成有一介电层与一有机抗反射层的一基础层上,该介电层与该蚀刻终止层中具有一开孔,其特征在于:该制造方法至少包括下列步骤:
加热移除该有机抗反射层;
于该介电层表面与该开孔侧边及底部沉积一碳化硅层;以及
于该开孔中形成一金属层。
15.根据权利要求14所述的金属镶嵌的制造方法,其特征在于:该金属层的材料为铜。
16.根据权利要求14所述的金属镶嵌的制造方法,其特征在于:该介电层的材料为低介电常数介电层。
17.根据权利要求16所述的金属镶嵌的制造方法,其特征在于:该低介电常数介电层为多孔性的低介电常数介电层。
18.根据权利要求17所述的金属镶嵌的制造方法,其特征在于:该多孔性的低介电常数介电层选自多孔性SiLK、Aerogel、Xerogel群组之一。
19.根据权利要求14所述的金属镶嵌的制造方法,其特征在于:该碳化硅层的厚度为介于100~200。
20.根据权利要求14所述的金属镶嵌的制造方法,其特征在于:于该开孔中形成该金属层至少包括下列步骤:
于该碳化硅层表面与该开孔中沉积该金属层;以及
化学机械研磨该金属层而停止于该碳化硅层。
21.根据权利要求20所述的金属镶嵌的制造方法,其特征在于:于该碳化硅层表面与该开孔中沉积该金属层至少包括下列步骤:
于该碳化硅层表面与该开孔侧边及底部沉积一钽层;
以溅镀方式于该钽层表面与该开孔侧边及底部形成有该金属的沉积晶种;以及
进行电化学电镀,于该钽层表面与该开孔侧边及底部沉积该金属层。
22.一种金属镶嵌的结构,至少包括一基础层、一位于该基础层上方的蚀刻终止层、一位于该蚀刻终止层上方的介电层,一位于该介电层与该蚀刻终止层中的开孔,其特征在于:该结构还包括一位于该介电层表面与该开孔侧边及底部的碳化硅层以及一位于该开孔中的金属层。
23.根据权利要求22所述的金属镶嵌的结构,其特征在于:该金属层的材料为铜。
24.根据权利要求22所述的金属镶嵌的结构,其特征在于:该蚀刻终止层的材料选自氮化硅与碳化硅之一。
25.根据权利要求22所述的金属镶嵌的结构,其特征在于:该蚀刻终止层的厚度为400。
26.根据权利要求22所述的金属镶嵌的结构,其特征在于:该介电层的材料为低介电常数介电层。
27.根据权利要求26所述的金属镶嵌的结构,其特征在于:该低介电常数介电层为多孔性的低介电常数介电层。
28.根据权利要求27所述的金属镶嵌的结构,其特征在于:该多孔性的低介电常数介电层选自多孔性SiLK、Aerogel、Xerogel群组之一。
29.根据权利要求22所述的金属镶嵌的结构,其特征在于:该碳化硅层的厚度为介于100~200。
30.根据权利要求22所述的金属镶嵌的结构,其特征在于:于该碳化硅层表面与该开孔侧边及底部更包括有一钽层。
31.根据权利要求22所述的金属镶嵌的结构,其特征在于:该金属层的顶部与该碳化硅层的顶部切齐,而使该金属镶嵌的结构具有一平坦化的表面。
32.根据权利要求22所述的金属镶嵌的结构,其特征在于:该碳化硅层避免该金属层扩散至该介电层。
CNB2003101036996A 2003-01-07 2003-10-28 金属镶嵌的制造方法 Expired - Fee Related CN1290173C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/337,684 2003-01-07
US10/337,684 US7056826B2 (en) 2003-01-07 2003-01-07 Method of forming copper interconnects

Publications (2)

Publication Number Publication Date
CN1518091A true CN1518091A (zh) 2004-08-04
CN1290173C CN1290173C (zh) 2006-12-13

Family

ID=32681305

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003101036996A Expired - Fee Related CN1290173C (zh) 2003-01-07 2003-10-28 金属镶嵌的制造方法

Country Status (4)

Country Link
US (1) US7056826B2 (zh)
CN (1) CN1290173C (zh)
SG (1) SG125931A1 (zh)
TW (1) TWI246739B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107908893A (zh) * 2017-11-29 2018-04-13 上海华力微电子有限公司 一种金属层光阻顶部缺失工艺热点的版图处理方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7215361B2 (en) * 2003-09-17 2007-05-08 Micron Technology, Inc. Method for automated testing of the modulation transfer function in image sensors
US6987059B1 (en) 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
US7078336B2 (en) * 2003-11-19 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
KR20050070794A (ko) * 2003-12-31 2005-07-07 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
US20060024954A1 (en) * 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US7777338B2 (en) * 2004-09-13 2010-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structure for integrated circuit chips
JP4191692B2 (ja) * 2005-03-09 2008-12-03 富士通マイクロエレクトロニクス株式会社 SiC系膜の成膜方法及び半導体装置の製造方法
US20060264042A1 (en) * 2005-05-20 2006-11-23 Texas Instruments, Incorporated Interconnect structure including a silicon oxycarbonitride layer
US7691736B2 (en) * 2006-02-10 2010-04-06 Infineon Technologies Ag Minimizing low-k dielectric damage during plasma processing
US7524755B2 (en) * 2006-02-22 2009-04-28 Chartered Semiconductor Manufacturing, Ltd. Entire encapsulation of Cu interconnects using self-aligned CuSiN film
JP2008091643A (ja) * 2006-10-02 2008-04-17 Matsushita Electric Ind Co Ltd 固体撮像装置
US7704884B2 (en) 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
JPWO2009153857A1 (ja) * 2008-06-17 2011-11-24 富士通株式会社 半導体装置及びその製造方法
KR20120030782A (ko) * 2010-09-20 2012-03-29 삼성전자주식회사 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법
US11011463B2 (en) * 2016-07-01 2021-05-18 Intel Corporation Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
WO2018063318A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Photobucket floor colors with selective grafting
US10453740B2 (en) * 2017-06-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure without barrier layer on bottom surface of via
US10290544B2 (en) * 2017-10-10 2019-05-14 Globalfoundries Inc. Methods of forming conductive contact structures to semiconductor devices and the resulting structures

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5003062A (en) 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
US6136682A (en) * 1997-10-20 2000-10-24 Motorola Inc. Method for forming a conductive structure having a composite or amorphous barrier layer
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6165894A (en) 1998-07-09 2000-12-26 Advanced Micro Devices, Inc. Method of reliably capping copper interconnects
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
KR100310252B1 (ko) 1999-06-22 2001-11-14 박종섭 유기 반사방지 중합체 및 그의 제조방법
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
KR100359862B1 (ko) 1999-12-23 2002-11-09 주식회사 하이닉스반도체 난반사 방지막용 중합체와 그 제조방법
KR100427440B1 (ko) * 1999-12-23 2004-04-17 주식회사 하이닉스반도체 유기 반사방지 화합물 및 그의 제조방법
US6383925B1 (en) * 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6436825B1 (en) * 2000-04-03 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of copper barrier layer formation
US6271136B1 (en) * 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6352917B1 (en) * 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
KR100721182B1 (ko) 2000-06-30 2007-05-23 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6576345B1 (en) * 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6294457B1 (en) * 2001-02-01 2001-09-25 Taiwan Semiconductor Manufacturing Company Optimized IMD scheme for using organic low-k material as IMD layer
US6309955B1 (en) * 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6777171B2 (en) * 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6410426B1 (en) 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US6806111B1 (en) * 2002-12-19 2004-10-19 Advanced Micro Devices, Inc. Semiconductor component and method of manufacture

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107908893A (zh) * 2017-11-29 2018-04-13 上海华力微电子有限公司 一种金属层光阻顶部缺失工艺热点的版图处理方法
CN107908893B (zh) * 2017-11-29 2021-03-12 上海华力微电子有限公司 一种金属层光阻顶部缺失工艺热点的版图处理方法

Also Published As

Publication number Publication date
TW200412652A (en) 2004-07-16
US7056826B2 (en) 2006-06-06
US20040130035A1 (en) 2004-07-08
CN1290173C (zh) 2006-12-13
TWI246739B (en) 2006-01-01
SG125931A1 (en) 2006-10-30

Similar Documents

Publication Publication Date Title
CN1290173C (zh) 金属镶嵌的制造方法
CN100477166C (zh) 集成电路的制造方法、金属-绝缘层-金属电容形成方法
CN1856872A (zh) 用于低电容布线的可调节自对准空气间隙介质
CN1106033C (zh) 层间介电层平坦化制造方法
CN1770423A (zh) 半导体器件的制造方法
CN101064251A (zh) 半导体结构的形成方法及半导体结构
CN1216397A (zh) 一种用于生产具有双重波纹结构的半导体器件的方法
CN1231969C (zh) 具有埋入型导电层的半导体器件及其制造方法
CN1446377A (zh) 电子元件及其制造方法
CN1501453A (zh) 低介电常数层的制造方法
KR100376937B1 (ko) 언더컷이 없는 전도선 식각방법
CN1125481C (zh) 半导体元件避免钨插塞损失阻挡层的制造方法
US9985237B2 (en) Method of manufacturing an organic light emitting diode by lift-off
CN1103492C (zh) 形成平坦内金属介电层的方法
CN1508868A (zh) 半导体器件及其制造方法
CN1841673A (zh) 在半导体元件中蚀刻介电材料的方法
CN1127759C (zh) 半导体器件的制造方法
CN2731712Y (zh) 金属镶嵌的结构
CN1285123C (zh) 高品质因子的电感和制造方法
CN1481020A (zh) 具抗反射涂层的内连线制造方法及其结构
CN112038286A (zh) 改善铜互连工艺中丘状凸起缺陷的方法
CN1248796A (zh) 薄膜电阻器的制作方法
CN1448995A (zh) 在具有金属图案的半导体基底形成堆叠式介电层的方法
CN1243379C (zh) 在铜镶嵌制程中制作mim电容器的方法
CN1270360C (zh) 可减少金属蚀刻残留物的形成导电结构层的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061213

CF01 Termination of patent right due to non-payment of annual fee