CN1549961A - 动态电压控制方法与设备 - Google Patents

动态电压控制方法与设备 Download PDF

Info

Publication number
CN1549961A
CN1549961A CNA02816976XA CN02816976A CN1549961A CN 1549961 A CN1549961 A CN 1549961A CN A02816976X A CNA02816976X A CN A02816976XA CN 02816976 A CN02816976 A CN 02816976A CN 1549961 A CN1549961 A CN 1549961A
Authority
CN
China
Prior art keywords
clock
processor
voltage
frequency
demand
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA02816976XA
Other languages
English (en)
Other versions
CN1549961B (zh
Inventor
���ա�����
帕勒·比尔克
�����ɪ��ɭ
约恩·瑟伦森
迈克尔·艾伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MediaTek Inc
Original Assignee
Analog Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23225453&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1549961(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Analog Devices Inc filed Critical Analog Devices Inc
Publication of CN1549961A publication Critical patent/CN1549961A/zh
Application granted granted Critical
Publication of CN1549961B publication Critical patent/CN1549961B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/362Software debugging
    • G06F11/3636Software debugging by tracing the execution of the program
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0842Multiuser, multiprocessor or multiprocessing cache systems for multiprocessing or multitasking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/28Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access DMA, cycle steal
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/382Information transfer, e.g. on bus using universal interface adapter
    • G06F13/385Information transfer, e.g. on bus using universal interface adapter for adaptation of a particular data processing system to different peripheral devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • G06F9/3869Implementation aspects, e.g. pipeline latches; pipeline synchronisation and clocking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/0802Details of the phase-locked loop the loop being adapted for reducing power consumption
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/16Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop
    • H03L7/18Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop
    • H03L7/183Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop a time difference being used for locking the loop, the counter counting between fixed numbers or the frequency divider dividing by a fixed number
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0844Multiple simultaneous or quasi-simultaneous cache accessing
    • G06F12/0855Overlapped cache accessing, e.g. pipeline
    • G06F12/0859Overlapped cache accessing, e.g. pipeline with reload from main memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2213/00Indexing scheme relating to interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F2213/38Universal adapter
    • G06F2213/3814Wireless link with a computer system port
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/085Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal
    • H03L7/095Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a lock detector
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W88/00Devices specially adapted for wireless communication networks, e.g. terminals, base stations or access point devices
    • H04W88/02Terminal devices
    • H04W88/06Terminal devices adapted for operation in multiple networks or having at least two operational modes, e.g. multi-mode terminals
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/70Reducing energy consumption in communication networks in wireless communication networks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S331/00Oscillators
    • Y10S331/02Phase locked loop having lock indicating or detecting means

Abstract

提供了一种动态功率控制器,其确定处理器的一个时钟频率需求,并决定支持该时钟频率需求的电压需求。该动态功率控制器将处理器转换成由时钟频率需求和电压需求确定的功率状态。特别地,将电压需求指示的电压电平提供给该处理器,并且将频率需求指示的频率分布提供给处理器的时钟信号。

Description

动态电压控制方法与设备
本申请声明了2001年8月29日提交的Allen等人的、标题为“DIGITAL BASEBAND PROCESSOR”的在35 U.S.C.§119(e)下的美国临时申请60/315,655的优选权。以上临时申请被完全包括在本申请中作为参考。
技术领域
本发明涉及减小处理器中的功率消耗,更具体地,涉及用于通过确定处理器的时钟频率需求和相应的电压需求而基于处理器的处理状态和/或计算需要,动态控制供给处理器的电压电平的方法和设备。
背景技术
功率消耗和管理是处理器、数字设备、计算机系统等的开发者在设计中所关心的。在电池供电设备中,比如手机、便携式电脑、个人数字助理(PDA)以及其它便携和手持设备,功率消耗可能是决定设备必须重新充电和/或连到交流电源前所能使用的时间长短的一个重要因素。因此,功率消耗可以在很大程度上影响这些设备的使用。
在某些计算机系统和/或数字设备中,功率控制能给出一种二进制的功率状态,其中,第一功率状态对应于空闲处理器,第二功率状态对应于活动处理器。然而,比如在数字设备的处理器中,可能存在多种具有计算需要的活动处理状态,这些计算需要要求不同级别的功率来支持处理状态。
但是,传统的功率控制方法可能没有考虑处理器不同的功率需要。因此,根据处理器的计算需求,设备消耗的功率可能超过处理器计算任务和/或功能所需要的功率。
发明内容
根据本发明的一个实施例包括在具有至少一个处理器的系统中动态功率控制的一种方法,该至少一个处理器包括至少一个能以多种频率操作的时钟信号。该方法包括以下步骤:确定该至少一个处理器的一个时钟频率需求;确定支持该时钟频率需求的电压需求;按照该时钟频率需求操作该至少一个时钟信号;按照电压需求提供电压,以支持该至少一个处理器。
根据本发明的另一个实施例包括一个处理器,该处理器包含至少一个能以多种频率操作的时钟信号。该处理器包括:一个或多个钟控元件(clocked component),其接收该至少一个时钟信号;和控制器,其连接至这些元件中的至少一个,以决定处理器的时钟频率需求,该控制器适于根据处理器的时钟频率需求决定电压需求,并且对到由时钟频率需求和电压需求定义的功率状态的转换进行排序。
根据本发明的又一个实施例包括一个控制器,以动态控制到处理器的功率。该控制器包括:多个寄存器,用来存储表示处理器时钟频率需求的信息;比较器,其连接至这多个寄存器,该比较器设计成根据存储在多个寄存器中的信息来确定电压需求;以及一个序列发生器,其连接至比较器,以接收电压电平信号,该序列发生器被设计成用来将处理器转换到由时钟频率需求和电压需求确定的功率状态。
根据本发明的又一个实施例包括:一个由至少一个处理器组成的低功率设备,该至少一个处理器带有多个可按多种时钟信号操作的钟控元件;一个为该至少一个处理器提供可变电压的电源;一个控制该多个时钟信号的频率的时钟控制器;一个连接到该电源和时钟控制器的动态功率控制器,该动态功率控制器用来监控该至少一个处理器,确定其时钟频率需求,并根据这个频率需求确定电压需求,该动态功率控制器被设计成将该电源和时钟控制器转换到由时钟频率需求和电压需求确定的功率状态。
附图说明
图1说明了一个示例处理器的不同子系统的一个框图;
图2说明了向图1中所示处理器的不同元件产生和分配时钟信号的定时方案和控制;
图3说明了处理器的示例时钟信号在不同操作模式下如何作为时间的函数而变化的图表;
图4说明了根据本发明的一个实施例的具有动态功率控制功能的处理器;
图5说明了根据本发明的一个实施例,为处理器提供动态功率控制的方法;
图6说明了根据本发明的动态功率控制器的一个实施例;和
图7说明了根据本发明的动态功率控制器的另一实施例。
具体实施方式
很多设备中,尤其是由电池供电的设备,减少设备的处理器消耗多余功率的时间量是很有益处的。当可以以低功率状态执行处理器的计算任务、功能和操作和/或满足处理需要时,就认为处理器消耗了多余的功率。名词“处理器”一般指执行逻辑操作、计算任务、控制功能等的任何设备。一个处理器可以包括一个或多个子系统、元件、和/或其它处理器。典型的处理器包括不同的逻辑元件,这些逻辑元件使用时钟信号进行操作来锁存数据、推进和/或排序逻辑状态、同步计算与逻辑操作和/或提供其它定时功能。
申请人观察到,一个设备的功率消耗与供给设备的处理器的电压的平方相关,并且与处理器工作的频率成比例。设备的功率消耗可以表示为:
PD=cV2F(方程1)
这里:
V=供给设备的电压(即Vdd与Gnd之差)
F=频率(例如设备的时钟频率)
c=由以V和F工作的电路所决定的常量
此外,申请人也认识到,操作处理器所需要的电压可能是时钟频率或者正在提供并分配给处理器的频率的函数。相应地,一种减小设备功率消耗的方法就是动态调整提供给处理器的时钟信号的频率,以表现处理器的时钟频率需求。
名称“时钟频率需求”一般指提供给处理器的时钟频率或时钟频率集,其是支持处理器的任务、功能和/或计算需求所必需的。一般来讲,频率低于时钟频率需求的一个或一组时钟信号不足以操作逻辑门、触发器、和时钟信号要供给的其它钟控电路和元件,也不足以在要求的时间量内完成任务,和/或不能执行处理器的一个或多个操作。
如上所述,处理器要求的电压可能是提供并分配给处理器的时钟频率或时钟频率集(即时钟频率需求)的函数。同样,当处理器的计算需要低时,处理器可以具有降低的时钟频率需求。因此,支持时钟频率需求所必需的电压,即电压需求,也会相应降低。
名称“电压需求”一般指提供给处理器来支持给定时钟频率需求的一个或多个电压电平。特别地,电压需求指示足以按照时钟频率需求驱动处理器的不同时钟信号而不引起钟控元件操作错误(例如存储器访问错误、定时违规、临界速度路径延时等)的一个电压值或者电平。尽管可能存在支持时钟频率需求的许多电压电平(例如超过某一最小电压的电压),电压需求一般指更加接近满足时钟频率需求的电压电平。
由于处理器的计算需要随时间变化,就有可能同时减小施加于处理器的时钟信号频率和电压电平(即处理器可以被转换到一种低功率状态)。因此,设备的功率消耗能被动态的调整为表示处理器的处理需求。相应地,本发明的一个具体实施例包括确定处理器的一个时钟频率需求,以及调整供给处理器的电压来支持确定的时钟频率需求。
图1说明了一个示例处理器20的框图。处理器20可以例如在一个单半导体芯片上制造。处理器20包括一个数字信号处理器(DSP)子系统22、一个微控制器(MCU)子系统24、系统存储器28以及外围子系统26。处理器的不同子系统连到系统总线30,以在不同元件间通信和/或传输数据,比如操作数或指令、地址和/或控制信号等。
DSP子系统22可以包括一个高速数字信号处理器。数字信号处理器的操作特征是实时执行、计算密集且经常时间危急的任务、和频繁的内存访问等。MCU子系统24例如可以执行多种控制功能、协调系统事件、执行系统软件等,并且可以以比DSP低的时钟频率执行。系统存储器28可以是片载存储器,比如RAM,它提供了被处理器20的不同子系统所共享的存储资源。外围子系统26可以包括处理器与片外设备或其它处理器通信所需要的多种接口和逻辑。例如,外围子系统26可以与片外功率管理芯片通信或访问片外存储器。此外,该外围子系统可以包含支持不同通信标准的接口,例如串行、红外数据联合(IrDA)、通用串行总线(USB)、SIM接口等。
此处将使用图1中描述的处理器及其相似变型来图示或说明本发明的不同方面,但应注意,该处理器的元件和子系统在这里始终仅作为示例。发明并不在处理器实施例中元件的数量、类型或结构上受到限定。任何具有能随时间变化的时钟频率需求的设备、处理器和/或逻辑电路都可以被认为在本发明的范畴之内。
作为示例,DSP子系统22也包含多个元件,包括:一个暂时存储器(scratch memory)、一个协处理器、中断控制器、一个专用总线等。DSP子系统本身的不同元件也可以有独立的时钟频率需求。同样地,其它处理器元件,如MCU子系统24和外围子系统26,也可能包含多个时钟频率需求。因此,该处理器的时钟频率需求会频繁变化,即,该处理器的活动状态可能有很多操作模式。
同样,处理器20可以有随时间变化的时钟频率需求。举例来讲,在某些操作模式中,DSP可能处于空闲状态。那么,DSP执行特定计算任务所需的高频率时钟信号在这些模式中就不是必需的。名词“操作模式”特征化了处理器不同元件的处理状态或处理状态集,其导致了处理器的特定的时钟频率需求。典型地说,一种操作模式表现处理器的一个处理状态,在该状态中,处理器可能转换到一个不同的功率状态。
为了给处理器提供它需要的不同时钟信号,单一的低频率时钟,这里指系统时钟,经常被提供给处理器作为时钟参考。处理器所需的不同时钟频率就会由系统时钟产生并被适当地分配给处理器的子系统和/或元件。处理器所需的不同时钟频率经常由一个锁相环(PLL)倍频器从系统时钟产生,使得分配到处理器元件的时钟信号与公共的参考时钟同相。即,分布在处理器内的时钟信号被同步。
图2说明的是一个示例的系统定时方案,例如将需要频率的时钟信号分配给处理器20的不同元件。图2中示出了可以是处理器20一部分的示例元件集,包括DSP 22a、协处理器22b、DSP总线22c(例如连接DSP子系统22的专用总线)、DSP SRAM 22d(例如缓存、暂时存储器等)、MCU 24a、系统存储器(SMEM)28、外围总线26a和外部总线(EBUS)26b。名词时钟域一般指一个时钟信号以及该时钟信号通常操作的一组频率。一般来讲,在时钟域内操作的元件有一个或多个钟控元件(例如逻辑门、触发器等),这些元件以各个时钟域的频率集操作。一个元件可能在超过一个时钟域操作;反之,一个时钟域可用于多于一个元件。
处理器20所需的不同时钟信号和时钟信号频率是由时钟控制110的元件提供并分配的。特别地,时钟控制110包括一个系统时钟信号82和系统PLL倍频器114。系统时钟信号82,或简单讲系统时钟,是作为同步分配给处理器的多种其它时钟信号的参考而提供的。系统PLL倍频器114接收系统时钟信号82,提供高频时钟信号84,并将该高频信号锁定为与系统时钟信号同相。通常来讲,时钟信号84是系统时钟的若干倍。
图2给出了由系统时钟信号82和PLL时钟信号84所产生的三个主的时钟域:时钟域90a、时钟域90b和时钟域90c。处理器20的元件在这些时钟域内操作。例如,DSP 22a和协处理器22b可以在时钟域90a内操作;DSP总线22c和DSP SRAM可以在时钟域90b内操作;MCU 24a、SMEM 28、外围总线26a和外部总线(EBUS)26b可以在时钟域90c内操作。
每个时钟域内的时钟信号由不同的选择器、除法器和门逻辑提供,并通过多个时钟树50a-50h分配到处理器的元件。例如,时钟控制110在每个时钟域内都包括多路复用器(例如分别有多路复用器70a、70b、70c),这些多路复用器可独立地选择是否需要时钟信号84以在相应时钟域内操作元件。同样地,也可以旁路掉时钟信号84并将系统时钟信号82提供给处理器的适当元件。
另外,时钟控制110可以将时钟信号84的频率分频,以提供降低频率的时钟信号给处理器的元件,满足处理器的时钟频率需求。特殊地,分频器62和64允许时钟信号84的频率降低。例如,当选择了来自系统PLL倍频器的时钟信号时,分频器62就可以给正在时钟域90b内操作的钟控元件提供频率是时钟信号84一半的时钟信号。相似地,分频器64可以给在时钟域90c内操作的钟控元件提供具有以因子2、4、6、8、10、12、14减小的频率的时钟信号。分频器62和64可以是例如n分频计数器或等同的元件,用于减小时钟信号的频率。对每个时钟域选择的不同分频器因子可以例如由分频器选择66来选择。
图2说明的定时方案中有多个消耗功率的阶段。比如,系统PLL倍频器114可以包括一个压控振荡器(VCO)用来产生时钟信号84。如一般技术人员所知,压控振荡器给振荡器提供一个电压,以产生频率与所提供电压成比例的信号。那么,产生时钟信号84所消耗的功率就与所产生的频率成比例。
除此之外,为了给不同的钟控电路提供时钟信号,处理器的逻辑门、触发器等、时钟分布树或简单讲时钟树,是在处理器的元件和/或子系统内提供的。例如,时钟树50a驱动时钟域90a的时钟信号并将它分配给DSP 22a的低电平元件。相似地,时钟树50e驱动时钟域90e的时钟信号并将它分配给MCU 24a的低电平逻辑元件。给不同的时钟树充电需要能量,因此,当相关元件处于空闲状态时,如果时钟树可以禁用,能量就可以被保存。而且,另外的时钟门逻辑可以包括在时钟树内,用来在更精确的粒度上控制功率消耗,即关闭时钟树分布网络的某些部分。
那么,通过识别处理器的时钟频率需求,当产生过多的时钟频率时,时钟控制100就可以设计频率分布来减少间隔。另外,时钟控制110可以根据处理器的时钟频率需求控制不同时钟树或部分时钟树的禁用。
应注意,图2所示的定时方案仅用作示例,是用来说明处理器所需的不同时钟信号可以被控制并分配到处理器的元件和/或子系统的一种方案。然而,对于本领域熟练技术人员,将有多种系统定时方案来满足特定的定时需求集。例如,图示的系统时钟被PLL倍频器提供的时钟信号多路复用。但系统时钟也可以作为独立于PLL时钟信号的单独时钟域而考虑并分配。系统定时方案的特殊设计选择是没有限制的,而且不同的选择、修改和改进都被看作在本发明的范畴内。
图3是一个说明分配给处理器的不同时钟频率如何随时间变化的图表。图300表示某个基带处理器系统定时方案的四个时钟域的示例频率值,它们可能随时间变化。图3中,产生示例时钟频率需求函数的基带处理器可能与图1中处理器20相似。时钟域310用虚线代表,时钟域320用常规实线代表;它们可能分别与图2中的时钟域90a、90c相似。时钟域330用短划线代表,比如,可以是一个系统时钟域。此外,基带处理器包括基带时钟域340,用粗线代表,用来提供基带时钟给处理器的适当元件。无线通信中使用的处理器通常与外部同步,而且遥控设备通常通过低频基带时钟操作。
坐标图300上方标注的是一系列操作模式,用O1-O9表示。注意,操作模式可以按照任意多的方式划分,要根据处理器的设计和期望粒度的级别决定。例如,在一个时钟域内的每一频率变化都可认为是一种不同的操作模式。
在操作模式O1,基带时钟330是仅有的使能的时钟。所有其它时钟都禁用。举例来讲,如果基带处理器被用在手机中,例如,操作模式1就发生在手机打开但并未使用的状态(即待机模式)。在某个时刻t1,发生一个事件要求例如DSP的处理功率。那么,系统时钟330被使能,提供产生最大时钟率的PLL。这个触发了转换到第二操作模式的事件可能需要从时钟域310和320内的元件进行处理。那么,所有的时钟树就可以被使能。过一段时间后,执行需要可能会减少,时钟频率会逐步降低(例如,从系统PLL倍频器产生的时钟信号的频率可能会降低)。
在时间t2,需要时钟域310和320的任务可能完成,处理器的计算需要降低。那么,系统PLL倍频器就可能被旁路掉了,只保留系统时钟和基带时钟被使能。在时间t3,可能发生另一事件,其中处理器要求产生如操作模式O4所示的活动时钟域的结构。同样,操作模式O5-O9表示可能导致图2中所述的相应时钟需求的其它处理状态。
相应地,由于计算需要随时间变化,降低的处理需求就会提供时钟信号频率降低的机会。而且,在某些操作模式中,某些时钟域可能不是必需的,所以关联的时钟树就可能被禁用。响应于变化的时钟频率需求,可以调整供给处理器的电压来支持时钟频率需求变化的需要。那么,降低的时钟频率需求可以具有降低的电压需求。
申请人已认识并注意到,通过监控处理器的时钟频率需求,可以动态控制供给处理器的电压,使得处理器花费较少的时间给处理器提供超过需求的电压。
图4所示是本发明的一个具体实施例,它包含一个具有动态功率控制(DPC)功能的处理器20’。为说明需要,处理器20’包括了如图1中所表示和描述的子系统。
处理器20’操作过程中,子系统的计算需要可以随时间变化。那么处理器的时钟频率需求也可能随着不同元件和子系统转换到不同的处理状态而改变。动态功率控制(DPC)100可以连到系统总线30,以和处理器20’的不同子系统通信。动态功率控制器110还可以用其它不同的方法与子系统通信,例如共享具有适当互联的寄存器等。
图4中,处理器20’是由片外功率管理40来供电的。虽然功率管理40在图中表示为位于片外,它也可以与处理器位于同一半导体芯片上。功率管理40可以是能够提供电源的任何元件,它通常提供由接收功率的元件所指定的电压电平。举例来讲,功率管理40可以包括可被访问和写入的读/写寄存器,以请求特定的电压电平。
为了向功率管理指示要供给处理器的电压电平,DPC 100连接到寄存器180。比如,功率管理可以是一个低泄漏(LDO)电压调节器。功率管理可以包括LDO控制182,当寄存器180中指定的电压电平稳定时做出指示,功率管理可以在供电线142上提供。
此外,DPC 100还连接到时钟控制110。例如,处理器20’可以带有与图2中所示的基本相同的系统定时方案。那么,时钟控制110就可以包含不同的元件和逻辑门来分配时钟信号给处理器的不同子系统。例如,时钟控制110可以包括一个系统PLL倍频器、旁路多路复用器、分频器选择、禁用逻辑、时钟树等,如图2所示。
时钟控制110可以包括一个连接到系统PLL倍频器上的可写寄存器,用来存储由系统PLL倍频器输出的频率。该寄存器还可以存储VCO产生期望频率所需要的电压值,或提供期望频率所需要的输入时钟信号的一个倍数比率,或者指示系统PLL倍频器产生的高频输出时钟信号的期望频率的其它一些值。此外,时钟控制可以包括寄存器或可以控制不同时钟域内分频器的期望分频因子的其它控制装置。例如,分频器选择可以包括存储期望分频因子的一簇寄存器。另外,时钟控制110可以包括允许不同时钟域关闭、时钟树单独禁用和/或时钟树部分禁用的逻辑。
DPC 110监控处理器20’来确定元件和/或子系统的处理状态,以确定处理器当前的时钟频率需求。为满足该时钟频率需求,DPC 110确定支持该时钟频率需求所需的电压电平。DPC 100随后指示功率管理40调整供给处理器的电压,并指导时钟控制110将符合处理器时钟频率需求的频率分布提供给不同的子系统和元件。
注意,控制器(例如动态功率控制器100)可采用多种方式实现,来执行控制器的不同功能,比如用专用硬件(例如不同的电路、预编程的逻辑阵列、有限状态机(FSM)等)或者用使用软件(例如微码)编程的一个或多个处理器。也应注意,根据本发明的不同实施例的控制器的实施例可以包括硬件、固件和面向软件的元件。
图5是一幅流程图,它描述了根据本发明动态调整供给处理器的功率的一种方法。举例来讲,DPC 100可以使用下面描述的方法来动态控制供给处理器20’的电压。
步骤210中,DPC监控处理器的时钟频率需求。时钟频率需求的变化可能表示供给处理器的电压电平可能被降低,或者表示处理器的处理命令现在需要额外的电压,以支持新的时钟频率需求。因此,步骤220中,估算时钟频率需求,以确定支持新的时钟频率需求所必需的电压需求。
举例来讲,时钟频率需求可能代表每个时钟域为执行其内元件操作的功能和/或计算任务所需要的最小频率。因此,为了确定系统的电压需求,DPC可以选择最大值,其被称为最大—最小频率值。
本领域熟练技术人员会采用由处理器的时钟频率需求确定电压需求的其它方法,这些方法可能要由特定处理器实施方式的定时方案决定。例如,在确定电压需求时,DPC可能考虑在新的时钟频率需求情况下,哪些时钟树可以被禁用。确定电压时,DPC也可以不仅仅考虑时钟频率需求的最大最小值。根据给定时钟频率需求确定电压需求的不同方法被认为在本发明的范围之内。
在步骤230中,确定足以支持时钟频率需求的电压电平。步骤240中,将正提供给处理器的当前电压电平与在步骤240中确定的电压需求进行比较,以确定提供该电压需求所需的电压变化,或δ。
如果电压改变的符号为正(即电压需求大于当前电压电平),就进行随后的一系列事件以转换到较高功率状态,如步骤250a和260a所示。因此,电压需求表示的电压电平被首先转换。例如,DPC可以请求功率管理增加电源电压来满足处理器的电压需求。新电压电平完全转换完后(即新电源电压稳定),时钟频率需求所指示的新频率分布就可以应用于处理器的不同子系统。举例来讲,DPC可以指示时钟控制根据处理器的时钟频率需求来分配时钟信号。
如果电压改变的符号为负(即电压需求小于电压电平),就进行随后的一系列事件以转换到较低功率状态,如步骤250b和260b所示。因此,时钟频率需求表示的频率分布被首先提供给处理器的子系统和元件。当处理器按照新的时钟频率需求操作时,供给处理器的电压就减少至步骤230中确定的电压需求所表示的电压电平。
电压和频率的转换可以按照上面描述的顺序排列,以避免处理器的错误操作。举例来讲,为访问存储器的时钟频率需求。随着对于固定电压的频率增加时,可能存在访问存储器失败的点。因此,为了在功率状态转换过程中避免这种潜在的问题,当向较高功率状态转换时,电压可以在时钟频率增加前升高。当向较低功率状态转换时,频率应在减小供给处理器的电压前首先降低。
联系图5所介绍的方法可以用多种方法和不同的设计、实施方式来实现。图6给出了根据本发明的DPC的一个实施例。该图解说明了动态功率控制的一种硬件解决方案。DPC 100’包括一簇寄存器610、比较器620、随机存储器(RAM)630、功率序列有限状态机(FSM)640和重置寄存器650。图中DPC 100’表示成控制处理器20”的子系统,具体说,处理器20”的子系统包括前面介绍过的那些子系统、元件和定时方案。功率由功率管理40供应给处理器。
寄存器610存储表示处理器20”时钟频率需求的信息。例如,寄存器610可以存储每个时钟域需要的频率值。此外,可能有一寄存器与时钟域内操作的每个子系统都关联,用来表示每个时钟域中的每个子系统所需要的时钟频率。寄存器的特定数量、排列和联系是设计选择的问题,恰当指示处理器频率需求的任何改变都被认为在本发明的范围之内。
比较器620比较存储在寄存器610内的频率值,以确定为了支持寄存器簇指示的时钟频率分布所需要的电压。确定电压需求的一种方法是从寄存器簇中选择最大频率(即比较器从处理器的时钟频率需求中选择最大最小值)来确定电压需求。该频率值,例如,可以指示系统PLL倍频器提供的时钟信号所需要的频率。那么电压需求就可以,至少部分上,基于系统PLL倍频器的信号发生器(例如压控振荡器)产生所指示的频率所需要的电压电平。
RAM 630可以,例如,存储查找表,它包含支持处理器的不同时钟频率需求所需要的电压值。因此,比较器620就能映射选中的频率值或者将寄存器610中指示的频率需求映射到RAM 630中的一地址。从RAM 630得到的电压电平对应于与处理器频率需求相关的电压需求。
注意,本领域熟练技术人员可以看出对时钟频率需求编码来确定支持处理器以特定时钟频率需求操作的电压电平的多种方法。处理器的设计、定时方案及其它的处理器需求和考虑可以用来指导从时钟频率需求到电压需求的特定映射方案。然而,这些变化并不脱离本发明的范围。
从RAM 630得到的电压值随后提供给功率序列有限状态机(FSM)。功率序列FSM随后将处理器转换到由时钟频率和电压需求所指示的功率状态。例如,功率序列FSM可以通过读取寄存器180中储存的电压值来检查正提供给处理器的当前电压电平,以确定满足处理器电压需求(例如从RAM 630得到的电压电平)所需要的电压变化的符号。
如果电压变化的符号为正,功率序列FSM就可以首先指导功率管理40来提供期望的电压电平(即DPC 100’可以将期望的电压电平写入寄存器180)。当功率管理40表示电压电平已经转换完成并且稳定(即功率管40经由线路184指出电压稳定)后,功率序列FSM就可以指导时钟控制110来增加不同时钟域的频率,以满足处理器的时钟频率需求。
举例来讲,功率序列FSM可以指示时钟控制110增加从系统PLL倍频器输出的时钟信号的频率。功率序列FSM也可以访问一寄存器,该寄存器指示系统PLL倍频器应该输出什么时钟频率。
如果电压变化的符号为负,功率序列FSM就首先指导时钟控制110降低不同时钟域的时钟频率,以反映处理器的时钟频率需求。一旦频率降低,功率序列FSM就指导功率管理按照从RAM得到的电压电平修改提供给处理器的电压。由此,功率序列FSM确保功率状态的转变按照可避免处理器潜在操作错误的顺序进行。
重置寄存器650在启动/重置过程中向功率序列FSM 640提供有效的电压电平。由于启动/重置过程中存储在RAM 630中的信息可能并不可靠,重置寄存器650确保足以支持在重置/启动、各种引导事件等中涉及的任务的电压将被提供给处理器。因此,存储在重置寄存器650中的一个或多个值可能只在启动/重置过程中才被选择。
每次存储在寄存器簇中的一个值发生变化时,比较器都重新估算处理器的时钟频率需求,以确定电压电平是否可以或应该改变,以适应新的时钟频率需求(例如,比较器620决定处理器是否可以转换到一个新的功率状态)。例如,可以由处理器(例如DSP或MCU)上运行的软件来写入寄存器簇610。举例来讲,参考处理器20”,考虑一种操作模式,该模式中DSP正处理一高优先级的任务,此任务需要300MHz频率的时钟。同时MCU处理一个需要60MHz频率时钟的任务。
因此,DSP和/或MCU上运行的软件可以将不同时钟域要求的频率写入寄存器簇610中相关的寄存器(例如,与时钟域310相关的寄存器可以存储300MHz的频率值,而与时钟域320相关的寄存器可以存储60MHz的频率值)。
比较器620可以实现最大最小选择方法。相应地,比较器可以选择300MHz的频率值作为用于确定处理器电压需求的基础。从最大最小值可以推断出一个指向RAM 630的索引,例如,300MHz的值可以映射到存储器内存储1.5伏电压值的地址。因此,功率管理可能正向处理器提供1.5伏的电压以支持处理器当前的时钟频率需求。
在某些时间点,处理器可能完成了它的高优先级任务,则处理器的计算需要就会降低。举例来讲,DSP可能仅仅请求180MHz的时钟来满足当前计算的处理要求。例如,DSP上运行的软件可能识别出这个减小了的DSP时钟频率需求,并将新的频率值写入适当的寄存器。DPC 100”随后检测寄存器簇中存储的一个值的变化。相应地,比较器620重新估算处理器的时钟频率需求并确定新的最大—最小频率值为180MHz。这个新值就可能映射到例如RAM 630中1.2伏的值。
功率序列FSM接收这个新的电压值,并确定需要一个负电压转换来反映支持处理器新的时钟频率需求所需的电压需求。因此,功率序列FSM就会指导降低系统PLL倍频器的倍频选择值,以支持180MHz的时钟信号。PLL提供了降低的频率以后,功率序列FSM就将1.2伏的值写入寄存器180。然后功率管理40读取该值并向处理器提供这个新的、已减少的电压电平。因此,处理器就会运行于一种反映处理器时钟频率需求的降低的功率状态。
比较器并不需要根据最大—最小值确定查找表中的索引。例如,比较器可以用多种方法考虑存储在寄存器簇中的不同时钟频率。举例来讲,时钟域310的180MHz时钟频率可以用一个较低的电压支持,如0.9伏。但由于其它时钟域的需求(例如时钟域320为75MHz,而系统时钟分布为26MHz),0.9伏可能不足以支持处理器时钟频率需求。比较器可以设计成识别出0.9伏电压无法支持该时钟频率需求,因此,即使最大最小值本身可以由较低的电压支持,前面讲的时钟频率需求也可能映射到一个1.2伏的电压需求
后面的某个时刻,MCU可能完成了其任务,只需要45MHz时钟来满足当前处理状态的计算需求。运行于MCU上的软件就例如能识别该新的处理状态,并将新的频率值写入适当的寄存器。
响应于寄存器值的变化,即使最大—最小值保持不变(如180MHz),比较器也会确定处理器的时钟频率需求已被修改。举例来讲,新的时钟频率需求可以映射为0.9伏的电压电平。然后功率序列FSM根据前面描述的顺序转换到降低的功率状态。而MCU要求的频率变化(如45MHz)可以通过调整适当时钟域的分频因子而产生。这样,PLL的倍频器选择值在一些功率状态转换中就不会降低。
后面某个时刻,DSP可能需要处理另一个高优先级任务。因此,软件识别出DSP新的时钟频率需求,如240MHz,并将该值写入适当的寄存器。随后,比较器重新估算处理器的时钟频率需求,并确定需要一个新的电压需求来支持处理器当前的时钟频率需求。接下来,比较器会指向存储器,并且一个对应的电压值,例如1.5伏,被发送给功率序列FSM。功率序列FSM确定需要电压电平的正向变化来满足新的电压需求。
因此,FSM首先会将这个新的电压值写入寄存器180,以确保转换供给处理器的电压。功率管理40读取该值并指示电压已经转换完毕且稳定后,FSM就开始给时钟控制排序,以提供较高的时钟频率需求(例如,功率序列FSM可以修改或者指导时钟控制去修改倍频器选择值,以增加系统PLL倍频器产生的时钟信号的频率)。
可能有其它因素和/或处理条件影响支持特定时钟频率需求所需的电压电平。例如,可能被完全禁用的时钟树的数量也可能影响电压需求。因此,DPC100”可以不仅仅考虑频率值。举例来讲,DPC可以考虑时钟域内哪些时钟域或哪些时钟树在一个给定的操作模式下可以被禁用。
图7是本发明的另一个实施例。寄存器组610’存储指示处理器频率需求的信息。例如,寄存器组610’可能包括对于处理器的每个主要子系统的一个寄存器。每个寄存器可以保存一个二进制指示,该指示指出关联的子系统是否需要一个特定时钟信号或一个特定时钟域的时钟信号。因此,寄存器组610’的宽度W就与提供和分配给处理器的时钟信号的数量相关联。
举例来讲,假设寄存器610a’对应于DSP子系统的频率需求。根据子系统的计算需求,DSP就可以指示它需要哪种时钟信号来满足当前的处理需求。DSP可以例如在转换到空闲状态前通过向寄存器610a’的每一比特写入一个0来表示这样一个时钟频率需求。或者,例如即使在空闲状态时,如果DSP也需要一个系统时钟信号,DSP就将在对应于系统时钟的位置指示1。处理器的其它子系统也同样会指出需要哪种时钟信号,用来执行特定操作模式的功能和/或计算任务。
由此,比较器620’就可以将二进制时钟指示映射到存储器中存储一电压电平的地址,该电压电平用于支持寄存器组610’存储的频率需求所指示的时钟信号结构。在一个具体的实施例中,每个时钟域都有一个与之相关的频率,当子系统指示需要该频率时,时钟域使用该频率操作。举例来讲,当DSP子系统指示它需要该时钟信号时,就考虑最大的时钟率,比如在图3所示的时钟域310内。
在该实施例的一个方面中,比较器620’包换软件,此软件考虑由寄存器组610’指示的时钟信号结构,并确定需要的时钟频率。举例来讲,如果MCU子系统和DSP子系统都需要域310内的时钟信号,软件就可以识别出该频率需求由DSP引导。如果MCU指示需要时钟域310内的时钟信号,而DSP指示不需要它,软件就会确定该时钟信号的频率可以降低,因为事实上是MCU将引导该需求。软件确定的频率需求随后就被映射到存储器的某个地址,该地址保存了能支持此时钟频率需求的电压电平。
或者,寄存器610a’可以为每个子系统包括两个寄存器。第一寄存器可以为处理器的每个时钟信号包含一比特,其指示当处于活动状态时子系统是否需要该时钟信号。第二寄存器也可以为处理器的每个时钟信号包含一比特,其指示当处于空闲状态时子系统是否需要该时钟信号。
某些实施例中,RAM 630可以用一个可编程逻辑阵列PLA来代替。例如,比较器可以将有关处理器时钟频率需求的信息(例如,存储在寄存器簇、存储器等中的)映射到一个编码输入。该可编程逻辑阵列可以被编程为提供来自编码输入的输出,用来指示支持时钟频率需求所需的电压电平。如前所述,从时钟频率需求到PLA输入的编码是由处理器的不同设计考虑决定的。
一种在功率控制中获得较高粒度级别的方法涉及包含任务简表中特定任务、过程和/或相关任务组的时钟频率需求。举例来讲,当特定的任务或工作要处理时,例如处理调度程序就可以从任务简表中提取频率需求信息并更新DPC的寄存器组。此更新可能调用处理器的功率转换。因此,处理器就可以工作在这样的功率状态,其反映在任务或者进程级的处理器需要的功率状态。
本领域的技术人员也会在向处理器提供功率控制以减小处理器以过多功率操作的时间方面做其它修改、变化和改进,这些也属于本发明的范畴之内。
已经详细介绍了本发明的几个具体实施例,本领域的技术人员也可以作出多种修改和改进,这些修改和改进也属于本发明的范畴。相应地,前面的介绍仅作为示例,不代表对本发明的限定。本发明只由下面的权利要求及其等效物所定义的而限定。

Claims (40)

1.在包括至少一个处理器的系统中,且该处理器具有至少一个能在多个频率下工作的时钟信号,一种动态功率控制的方法,包括步骤:
确定该至少一个处理器的一个时钟频率需求;
确定支持该时钟频率需求的电压需求;
根据该时钟频率需求操作该至少一个时钟信号;和
根据该电压需求提供电压以支持该至少一个处理器。
2.根据权利要求1所述的方法,其中,确定电压需求的步骤包括确定该时钟频率需求的最大最小时钟频率的步骤。
3.根据权利要求1所述的方法,进一步包括确定供给该至少一个处理器的当前电压与对应于电压需求的电压之间的电压差的符号的步骤。
4.根据权利要求3所述的方法,其中,当电压差的符号为正时,根据电压需求提供电压以支持该至少一个处理器的步骤发生在根据时钟频率需求操作该至少一个时钟信号的步骤之前。
5.根据权利要求3所述的方法,其中,当电压差的符号为负时,根据时钟频率需求操作该至少一个时钟信号的步骤发生在根据电压需求提供电压以支持该至少一个处理器的步骤之前。
6.根据权利要求1所述的方法,其中,该至少一个处理器有多个时钟域,每个时钟域向该至少一个处理器的多个元件提供多种频率的时钟信号;和
其中,确定时钟频率需求的步骤包括确定多个时钟域的每一个所需的最小时钟频率。
7.根据权利要求6所述的方法,进一步包括比较多个时钟域的每一个所需的最小时钟频率的步骤。
8.根据权利要求7所述的方法,其中,比较步骤包括比较多个时钟域的每一个所需的最小时钟频率,以确定多个时钟域所需的最大最小时钟频率。
9.根据权利要求8所述的方法,其中,确定电压需求的步骤包括由最大最小时钟频率确定电压需求。
10.根据权利要求1所述的方法,其中,该至少一个处理器有多个时钟域,每个时钟域向该处理器的多个元件提供一时钟信号,且每个时钟信号具有至少一个相关频率;和
其中,确定时钟频率需求包括确定是否多个时钟域的每一个都需要被使能。
11.根据权利要求10所述的方法,其中,确定电压需求的步骤包括根据需要被使能的时钟域的至少一个相关频率来确定电压需求的步骤。
12.一种处理器包括至少一个以多种频率操作的时钟信号,该处理器包括:
一个或多个元件,接收该至少一个时钟信号;和
一控制器,连接到至少一个元件以识别处理器的时钟频率需求,该控制器用于根据处理器的时钟频率需求确定一电压需求,并为到由时钟频率需求和电压需求所定义的功率状态的转换排序。
13.根据权利要求12所述的处理器,其中,该控制器由多个寄存器连接到至少一个元件,该多个寄存器存储指示处理器的时钟频率需求的信息。
14.根据权利要求13所述的处理器,其中,控制器进一步包括连接到多个寄存器的比较器,该比较器被配置为根据存储在多个寄存器中的信息确定电压需求。
15.根据权利要求14所述的处理器,其中,该处理器包括以多种频率工作并被提供给一个或多个钟控元件的多个时钟信号。
16.根据权利要求15所述的处理器,其中,多个寄存器存储对应于多个时钟信号中的每一个的时钟频率需求的值。
17.根据权利要求16所述的处理器,其中,比较器确定存储在多个寄存器中的最大值,以确定时钟频率需求。
18.根据权利要求14所述的处理器,其中,该控制器进一步包括一个存储多个电压值的存储器。
19.根据权利要求18所述的处理器,其中,比较器将存储在多个寄存器中的信息映射到存储器中的一地址,该地址保存足以支持处理器时钟频率需求的电压值。
20.根据权利要求19所述的处理器,其中,该控制器包括一有限状态机,用来为到由时钟频率需求和电压需求定义的功率状态的转换进行排序。
21.根据权利要求20所述的处理器,其中,该有限状态机接收从存储器得到的电压值,并确定正提供给处理器的当前电压电平与得到的电压值之间的差的符号。
22.根据权利要求21所述的处理器,其中,当差的符号为正时,该有限状态机将处理器转换成用获得的电压值工作,然后将处理器转换成用时钟频率需求工作。
23.根据权利要求21所述的处理器,其中,当差的符号为负时,该有限状态机将处理器转换成用时钟频率需求工作,然后将处理器转换成用获得的电压值工作。
24.根据权利要求13所述的处理器,其中,该至少一个时钟信号包括提供给一个或多个钟控元件的时钟信号。
25.根据权利要求24所述的处理器,其中,多个寄存器对于多个钟控元件中的每一个所需要的多个时钟信号的每个都保存了一频率值。
26.根据权利要求24所述的处理器,其中,多个寄存器保存了个二进制值,用来指示多个钟控元件的每一个是否需要该多个时钟信号的每一个。
27.一种动态控制供给具有多个时钟信号的处理器的功率的控制器,所述控制器包括:
多个寄存器,用来保存指示所述处理器的时钟频率需求的信息;
连接到所述多个寄存器的比较器,所述比较器设计为根据保存在所述多个寄存器中的所述信息确定一电压需求;
一序列发生器,其连接到所述比较器,并被设计为将所述处理器转换到由所述时钟频率需求和所述电压需求定义的功率状态。
28.根据权利要求27所述的控制器,其中,所述多个寄存器存储了所述处理器的多个元件所要求的多个频率值。
29.根据权利要求27所述的控制器,其中,所述多个寄存器的每一个对于提供给所述处理器的所述多个时钟信号的每一个都包含一比特,所述比特指示相应的时钟信号是否需要被使能。
30.根据权利要求28所述的控制器,其中,所述比较器包括一存储器以存储多个电压值,且其中所述比较器被设计为将存储在所述多个寄存器中的所述多个频率值映射到所述存储器中的一个地址,该地址保存了足以支持由所述多个频率值所指示的所述时钟频率需求的一电压值。
31.根据权利要求28所述的控制器,其中,所述比较器包括一个可编程逻辑阵列,所述比较器用于将保存在所述多个寄存器中的所述多个频率值映射到所述可编程逻辑阵列的一个输入,所述可编程逻辑阵列被编程为提供一个输出给所述序列发生器,指示支持所述时钟频率需求所需的一电压值。
32.根据权利要求27所述的控制器,其中,所述序列发生器是一个有限状态机。
33.根据权利要求27所述的控制器结合所述处理器,所述处理器进一步包括多个元件。
34.根据权利要求33所述的结合,其中,所述多个元件中的每个都通过所述多个寄存器中的各个寄存器指示所述元件的时钟频率需求。
35.根据权利要求27所述的结合,其中,所述多个寄存器包括一比特,其对应于所述多个时钟信号的每一个。
36.根据权利要求35所述的结合,其中,所述多个元件中的每个都与所述多个寄存器中的至少一个相关联,且所述多个元件中的每一个都通过对应于所述多个时钟信号的每一个的所述比特指示各个时钟信号是否需要。
37.一种设备,包括:
至少一个处理器,其具有在多种时钟信号下工作的多个元件;
一电源,其用于向所述至少一个处理器提供一个可变电平的电压;
一时钟控制器,用于控制所述多个时钟信号的频率;和
一动态功率控制器,其连接到所述电源和所述时钟控制器,用于监控所述至少一个处理器,以确定所述至少一个处理器的时钟频率需求,并根据所述时钟频率需求确定一个电压需求,并被设计为将所述电源和所述时钟控制器转换到由所述时钟频率需求和所述电压需求所定义的功率状态。
38.根据权利要求37所述的低功率设备,其中,所述多个时钟信号包括一个系统时钟信号。
39.根据权利要求38所述的低功率设备,其中,所述时钟控制器包括一个锁相环倍频器,用来提供一个与所述系统时钟信号同步的高频时钟信号。
40.根据权利要求39所述的低功率设备,其中,所述多个时钟信号源于所述系统时钟信号和所述高频时钟信号。
CN02816976XA 2001-08-29 2002-08-29 动态电压控制方法与设备 Expired - Lifetime CN1549961B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31565501P 2001-08-29 2001-08-29
US60/315,655 2001-08-29
PCT/US2002/027666 WO2003021409A2 (en) 2001-08-29 2002-08-29 Dynamic voltage control method and apparatus

Publications (2)

Publication Number Publication Date
CN1549961A true CN1549961A (zh) 2004-11-24
CN1549961B CN1549961B (zh) 2010-04-14

Family

ID=23225453

Family Applications (9)

Application Number Title Priority Date Filing Date
CNB028169271A Expired - Lifetime CN100361109C (zh) 2001-08-29 2002-08-29 通用串行端口体系结构和系统
CNB028169263A Expired - Lifetime CN100570577C (zh) 2001-08-29 2002-08-29 高速程序跟踪
CN02816976XA Expired - Lifetime CN1549961B (zh) 2001-08-29 2002-08-29 动态电压控制方法与设备
CNB028169697A Expired - Lifetime CN100451914C (zh) 2001-08-29 2002-08-29 锁相环迅速加电方法和装置
CNB02816928XA Expired - Lifetime CN100399472C (zh) 2001-08-29 2002-08-29 用于使用快速突发模式以改善处理器性能的方法和装置
CNB028167791A Expired - Lifetime CN1299201C (zh) 2001-08-29 2002-08-29 通过响应高速缓存缺失而切换任务来提高基于高速缓存的嵌入式处理器的处理能力的方法和装置
CNB028169689A Expired - Lifetime CN100471079C (zh) 2001-08-29 2002-08-29 用于无线系统中的时钟及电源控制的方法和设备
CNB028169700A Expired - Lifetime CN100517215C (zh) 2001-08-29 2002-08-29 用于无线系统中定时及事件处理的方法和装置
CN2009102091339A Expired - Lifetime CN101673238B (zh) 2001-08-29 2002-08-29 程序跟踪器以及程序跟踪方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CNB028169271A Expired - Lifetime CN100361109C (zh) 2001-08-29 2002-08-29 通用串行端口体系结构和系统
CNB028169263A Expired - Lifetime CN100570577C (zh) 2001-08-29 2002-08-29 高速程序跟踪

Family Applications After (6)

Application Number Title Priority Date Filing Date
CNB028169697A Expired - Lifetime CN100451914C (zh) 2001-08-29 2002-08-29 锁相环迅速加电方法和装置
CNB02816928XA Expired - Lifetime CN100399472C (zh) 2001-08-29 2002-08-29 用于使用快速突发模式以改善处理器性能的方法和装置
CNB028167791A Expired - Lifetime CN1299201C (zh) 2001-08-29 2002-08-29 通过响应高速缓存缺失而切换任务来提高基于高速缓存的嵌入式处理器的处理能力的方法和装置
CNB028169689A Expired - Lifetime CN100471079C (zh) 2001-08-29 2002-08-29 用于无线系统中的时钟及电源控制的方法和设备
CNB028169700A Expired - Lifetime CN100517215C (zh) 2001-08-29 2002-08-29 用于无线系统中定时及事件处理的方法和装置
CN2009102091339A Expired - Lifetime CN101673238B (zh) 2001-08-29 2002-08-29 程序跟踪器以及程序跟踪方法

Country Status (7)

Country Link
US (10) US6768358B2 (zh)
EP (9) EP1421704B1 (zh)
JP (10) JP4170218B2 (zh)
CN (9) CN100361109C (zh)
AU (2) AU2002331774A1 (zh)
DE (6) DE60210633T2 (zh)
WO (8) WO2003021426A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346306C (zh) * 2006-01-06 2007-10-31 浙江大学 基于动态调频技术的节能编译方法
US7840823B2 (en) 2006-08-29 2010-11-23 Panasonic Corporation Processor system for varying clock frequency and voltage in response to a comparison of instruction execution rate to a reference value
CN102082506A (zh) * 2010-12-22 2011-06-01 复旦大学 适用于开关电源转换器的时钟频率选择电路
CN102213992A (zh) * 2010-04-07 2011-10-12 晶心科技股份有限公司 电子系统的功率调整模块与功率调整单元
CN101187831B (zh) * 2006-10-24 2012-05-30 国际商业机器公司 微处理器的自主动态电压和频率调节的方法和计算机系统
CN104011693A (zh) * 2011-12-21 2014-08-27 英特尔公司 设置基于i/o带宽的处理器频率层的装置和方法
CN104881529A (zh) * 2015-05-18 2015-09-02 南京航空航天大学 基于有限状态机的采样保持控制策略的实现方法
CN107403638A (zh) * 2016-04-29 2017-11-28 三星电子株式会社 能够调节操作电压的存储器设备和控制其的应用处理器
CN109787625A (zh) * 2019-03-05 2019-05-21 上海芷锐电子科技有限公司 一种基于双pll的系统超频引起的电压毛刺保护系统
TWI669591B (zh) * 2016-11-01 2019-08-21 美商輝達公司 最佳功率效率的適應性電壓頻率調整
CN111435267A (zh) * 2019-01-15 2020-07-21 海信集团有限公司 功耗自动调整的方法、装置、设备及计算机可读存储介质
CN112334859A (zh) * 2018-05-25 2021-02-05 超威半导体公司 处理器的适应性电压裕度

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4170218B2 (ja) * 2001-08-29 2008-10-22 メディアテック インコーポレーテッド キャッシュミスに応答してタスクを切り替えることによってキャッシュベース埋め込みプロセッサのスループットを改善する方法および装置
GB0123421D0 (en) * 2001-09-28 2001-11-21 Memquest Ltd Power management system
US7502817B2 (en) * 2001-10-26 2009-03-10 Qualcomm Incorporated Method and apparatus for partitioning memory in a telecommunication device
US6944780B1 (en) 2002-01-19 2005-09-13 National Semiconductor Corporation Adaptive voltage scaling clock generator for use in a digital processing component and method of operating the same
EP1351117A1 (en) * 2002-04-03 2003-10-08 Hewlett-Packard Company Data processing system and method
US7941675B2 (en) 2002-12-31 2011-05-10 Burr James B Adaptive power control
US7180322B1 (en) 2002-04-16 2007-02-20 Transmeta Corporation Closed loop feedback control of integrated circuits
US7133972B2 (en) 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
US7117316B2 (en) 2002-08-05 2006-10-03 Micron Technology, Inc. Memory hub and access method having internal row caching
US7149874B2 (en) * 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US7836252B2 (en) 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US7395447B2 (en) * 2002-09-16 2008-07-01 Silicon Labs Cp, Inc. Precision oscillator for an asynchronous transmission system
US7770042B2 (en) * 2002-10-03 2010-08-03 Via Technologies, Inc. Microprocessor with improved performance during P-state transitions
US7698583B2 (en) * 2002-10-03 2010-04-13 Via Technologies, Inc. Microprocessor capable of dynamically reducing its power consumption in response to varying operating temperature
US7290156B2 (en) * 2003-12-17 2007-10-30 Via Technologies, Inc. Frequency-voltage mechanism for microprocessor power management
US7814350B2 (en) * 2002-10-03 2010-10-12 Via Technologies, Inc. Microprocessor with improved thermal monitoring and protection mechanism
US7774627B2 (en) * 2002-10-03 2010-08-10 Via Technologies, Inc. Microprocessor capable of dynamically increasing its performance in response to varying operating temperature
US7882369B1 (en) 2002-11-14 2011-02-01 Nvidia Corporation Processor performance adjustment system and method
US7886164B1 (en) 2002-11-14 2011-02-08 Nvidia Corporation Processor temperature adjustment system and method
US7849332B1 (en) * 2002-11-14 2010-12-07 Nvidia Corporation Processor voltage adjustment system and method
US7080268B2 (en) * 2002-12-03 2006-07-18 Intel Corporation Method and apparatus for regulating power to electronic circuits
US7065663B2 (en) * 2002-12-19 2006-06-20 Intel Corporation Methods and apparatus to control power state transitions
US7146822B2 (en) 2002-12-30 2006-12-12 Intel Corporation Centrifugal liquid pump with perimeter magnetic drive
US7444524B2 (en) 2002-12-30 2008-10-28 Intel Corporation Dynamic voltage transitions
US7953990B2 (en) 2002-12-31 2011-05-31 Stewart Thomas E Adaptive power control based on post package characterization of integrated circuits
US7228242B2 (en) 2002-12-31 2007-06-05 Transmeta Corporation Adaptive power control based on pre package characterization of integrated circuits
US7811231B2 (en) 2002-12-31 2010-10-12 Abbott Diabetes Care Inc. Continuous glucose monitoring system and methods of use
KR20050115227A (ko) * 2003-01-23 2005-12-07 유니버시티 오브 로체스터 다중 클록 도메인 마이크로프로세서
US7069461B1 (en) * 2003-01-24 2006-06-27 National Semiconductor Corporation Closed-loop, supply-adjusted RAM memory circuit
US7206959B1 (en) * 2003-01-24 2007-04-17 National Semiconductor Corporation Closed-loop, supply-adjusted ROM memory circuit
US7587287B2 (en) 2003-04-04 2009-09-08 Abbott Diabetes Care Inc. Method and system for transferring analyte test data
DE60319503T2 (de) * 2003-04-11 2009-05-28 Telefonaktiebolaget Lm Ericsson (Publ) Verfahren zur Synchronisierung in einem mobilen Funkendgerät
TWI397795B (zh) * 2003-05-07 2013-06-01 Mosaid Technologies Inc 用於使用功率島管理積體電路上功率的系統及其方法
JP4033066B2 (ja) * 2003-05-07 2008-01-16 ソニー株式会社 周波数制御装置、情報処理装置、周波数制御方法及びプログラム
JP2004348662A (ja) * 2003-05-26 2004-12-09 Toshiba Corp 電子機器、電源制御装置および電源制御方法
US7375553B1 (en) * 2003-05-28 2008-05-20 Actel Corporation Clock tree network in a field programmable gate array
US8066639B2 (en) 2003-06-10 2011-11-29 Abbott Diabetes Care Inc. Glucose measuring device for use in personal area network
US7245145B2 (en) 2003-06-11 2007-07-17 Micron Technology, Inc. Memory module and method having improved signal routing topology
US6822481B1 (en) * 2003-06-12 2004-11-23 Agilent Technologies, Inc. Method and apparatus for clock gating clock trees to reduce power dissipation
US7120727B2 (en) 2003-06-19 2006-10-10 Micron Technology, Inc. Reconfigurable memory module and method
US7260685B2 (en) 2003-06-20 2007-08-21 Micron Technology, Inc. Memory hub and access method having internal prefetch buffers
US7836222B2 (en) * 2003-06-26 2010-11-16 International Business Machines Corporation System and method for tracking messages between a processing unit and an external device
KR100540483B1 (ko) * 2003-06-30 2006-01-11 주식회사 하이닉스반도체 데이터 억세스 위치에 관계없이 연속적인 버스트 모드로 데이터를 억세스할 수 있는 반도체 메모리 장치 및 그의 구동방법
US7389364B2 (en) 2003-07-22 2008-06-17 Micron Technology, Inc. Apparatus and method for direct memory access in a hub-based memory system
US7210059B2 (en) 2003-08-19 2007-04-24 Micron Technology, Inc. System and method for on-board diagnostics of memory modules
US7133991B2 (en) * 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7196562B1 (en) * 2003-08-26 2007-03-27 Integrated Device Technology, Inc. Programmable clock drivers that support CRC error checking of configuration data during program restore operations
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7287245B2 (en) * 2003-09-17 2007-10-23 Faraday Technology Corp. Method for real-time instruction information tracing
US7194593B2 (en) * 2003-09-18 2007-03-20 Micron Technology, Inc. Memory hub with integrated non-volatile memory
US7225303B2 (en) * 2003-09-22 2007-05-29 Micron Technology, Inc. Method and apparatus for accessing a dynamic memory device by providing at least one of burst and latency information over at least one of redundant row and column address lines
JP4837247B2 (ja) 2003-09-24 2011-12-14 パナソニック株式会社 プロセッサ
US7085943B2 (en) * 2003-09-26 2006-08-01 Freescale Semiconductor, Inc. Method and circuitry for controlling supply voltage in a data processing system
US20050081075A1 (en) * 2003-10-14 2005-04-14 Andrej Kocev Computer system, carrier medium and method for adjusting an expiration period
US7120743B2 (en) * 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
TWI254882B (en) * 2003-11-07 2006-05-11 Via Tech Inc Rate multiplication method and rate multiplier
GB2408357A (en) * 2003-11-18 2005-05-25 Motorola Inc Regulating a voltage supply to a semiconductor device
US7631307B2 (en) 2003-12-05 2009-12-08 Intel Corporation User-programmable low-overhead multithreading
US7692477B1 (en) 2003-12-23 2010-04-06 Tien-Min Chen Precise control component for a substrate potential regulation circuit
US7129771B1 (en) 2003-12-23 2006-10-31 Transmeta Corporation Servo loop for well bias voltage source
US7649402B1 (en) 2003-12-23 2010-01-19 Tien-Min Chen Feedback-controlled body-bias voltage source
US7012461B1 (en) 2003-12-23 2006-03-14 Transmeta Corporation Stabilization component for a substrate potential regulation circuit
KR101136036B1 (ko) * 2003-12-24 2012-04-18 삼성전자주식회사 유휴 모드에서의 전력 소모가 감소된 프로세서 시스템 및그 방법
US7330992B2 (en) 2003-12-29 2008-02-12 Micron Technology, Inc. System and method for read synchronization of memory modules
US7188219B2 (en) 2004-01-30 2007-03-06 Micron Technology, Inc. Buffer control system and method for a memory system having outstanding read and write request buffers
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US7412574B2 (en) * 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
WO2005089103A2 (en) 2004-02-17 2005-09-29 Therasense, Inc. Method and system for providing data communication in continuous glucose monitoring and management system
CN100361040C (zh) * 2004-02-24 2008-01-09 中国科学院计算技术研究所 一种soc架构下的处理器核动态变频装置和方法
US7479753B1 (en) 2004-02-24 2009-01-20 Nvidia Corporation Fan speed controller
US7240170B2 (en) * 2004-02-25 2007-07-03 Analog Devices, Inc. High/low priority memory
US7366864B2 (en) 2004-03-08 2008-04-29 Micron Technology, Inc. Memory hub architecture having programmable lane widths
US7313707B2 (en) * 2004-03-09 2007-12-25 Hewlett-Packard Development Company, L.P. Systems and methods for configuring ports
US7613911B2 (en) * 2004-03-12 2009-11-03 Arm Limited Prefetching exception vectors by early lookup exception vectors within a cache memory
FI20040418A (fi) * 2004-03-18 2005-09-19 Nokia Corp Digitaalijärjestelmän kellokontrolli
US7769950B2 (en) * 2004-03-24 2010-08-03 Qualcomm Incorporated Cached memory system and cache controller for embedded digital signal processor
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7120723B2 (en) 2004-03-25 2006-10-10 Micron Technology, Inc. System and method for memory hub-based expansion bus
US6980042B2 (en) 2004-04-05 2005-12-27 Micron Technology, Inc. Delay line synchronizer apparatus and method
US7590797B2 (en) 2004-04-08 2009-09-15 Micron Technology, Inc. System and method for optimizing interconnections of components in a multichip memory module
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7519788B2 (en) 2004-06-04 2009-04-14 Micron Technology, Inc. System and method for an asynchronous data buffer having buffer write and read pointers
US7310748B2 (en) 2004-06-04 2007-12-18 Micron Technology, Inc. Memory hub tester interface and method for use thereof
US7971191B2 (en) * 2004-06-10 2011-06-28 Hewlett-Packard Development Company, L.P. System and method for analyzing a process
US7042260B2 (en) * 2004-06-14 2006-05-09 Micron Technology, Inc. Low power and low timing jitter phase-lock loop and method
EP1607835A1 (en) * 2004-06-15 2005-12-21 Koninklijke Philips Electronics N.V. Closed-loop control for performance tuning
US20080195878A1 (en) * 2004-06-15 2008-08-14 Koninklijke Philips Electronics N.V. Control Scheme for Binary Control of a Performance Parameter
US7774625B1 (en) * 2004-06-22 2010-08-10 Eric Chien-Li Sheng Adaptive voltage control by accessing information stored within and specific to a microprocessor
US7562233B1 (en) 2004-06-22 2009-07-14 Transmeta Corporation Adaptive control of operating and body bias voltages
US7401241B2 (en) * 2004-06-22 2008-07-15 Intel Corporation Controlling standby power of low power devices
KR100598011B1 (ko) * 2004-06-29 2006-07-06 삼성전자주식회사 클럭 사용 회로 및 클럭 신호 발생 방법
US20050008095A1 (en) * 2004-07-23 2005-01-13 Rush Frederick A. Apparatus using interrupts for controlling a processor for radio isolation and associated methods
US8472990B2 (en) * 2004-07-23 2013-06-25 St Ericsson Sa Apparatus using interrupts for controlling a processor for radio isolation and associated method
US7681065B2 (en) * 2004-08-16 2010-03-16 Broadcom Corporation Method and system for a message processor switch for performing incremental redundancy in edge compliant terminals
US7312487B2 (en) * 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
US7308590B2 (en) 2004-10-15 2007-12-11 Intel Corporation Automatic dynamic processor operating voltage control
US7434073B2 (en) 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US7456829B2 (en) * 2004-12-03 2008-11-25 Hewlett-Packard Development Company, L.P. Methods and systems to control electronic display brightness
CN1319274C (zh) * 2004-12-10 2007-05-30 展讯通信(上海)有限公司 射频接收系统锁定时间及锁定后频率误差的评估方法
US7379718B2 (en) 2004-12-20 2008-05-27 Marvell World Trade Ltd. Method and apparatus to manage power consumption of a semiconductor device
US7228446B2 (en) * 2004-12-21 2007-06-05 Packet Digital Method and apparatus for on-demand power management
US7337335B2 (en) * 2004-12-21 2008-02-26 Packet Digital Method and apparatus for on-demand power management
FR2882449A1 (fr) * 2005-01-21 2006-08-25 Meiosys Soc Par Actions Simpli Procede non intrusif de rejeu d'evenements internes au sein d'un processus applicatif, et systeme mettant en oeuvre ce procede
FR2881246B1 (fr) * 2005-01-21 2007-03-23 Meiosys Soc Par Actions Simpli Procede perdictif de gestion, de journalisation ou de rejeu d'operations non deterministes au sein du deroulement d'un processus applicatif
US7409520B2 (en) 2005-01-25 2008-08-05 International Business Machines Corporation Systems and methods for time division multiplex multithreading
JP2006236241A (ja) * 2005-02-28 2006-09-07 Toshiba Corp 周辺装置
US20060215567A1 (en) * 2005-03-25 2006-09-28 Arun Raghunath Method and apparatus for monitoring path statistics
US7529911B1 (en) * 2005-05-26 2009-05-05 Sun Microsystems, Inc. Hardware-based technique for improving the effectiveness of prefetching during scout mode
CN1881798B (zh) * 2005-06-16 2011-08-31 旺玖科技股份有限公司 有理数倍频电路与产生有理数倍频的方法
US8745627B2 (en) * 2005-06-27 2014-06-03 Qualcomm Incorporated System and method of controlling power in a multi-threaded processor
US20070008011A1 (en) * 2005-06-29 2007-01-11 Paulette Thurston Distributed power and clock management in a computerized system
US8225112B2 (en) * 2005-07-14 2012-07-17 Nytell Software LLC Using historic load profiles to dynamically adjust operating frequency and available power to a handheld multimedia device processor core
US7953960B2 (en) * 2005-10-18 2011-05-31 International Business Machines Corporation Method and apparatus for delaying a load miss flush until issuing the dependent instruction
US7600145B2 (en) * 2005-10-26 2009-10-06 Intel Corporation Clustered variations-aware architecture
US7766829B2 (en) 2005-11-04 2010-08-03 Abbott Diabetes Care Inc. Method and system for providing basal profile modification in analyte monitoring and management systems
US7809928B1 (en) * 2005-11-29 2010-10-05 Nvidia Corporation Generating event signals for performance register control using non-operative instructions
US8253748B1 (en) 2005-11-29 2012-08-28 Nvidia Corporation Shader performance registers
TW200805047A (en) * 2005-12-23 2008-01-16 Koninkl Philips Electronics Nv Performance analysis based system level power management
US7499724B2 (en) * 2006-01-30 2009-03-03 Harris Corporation Event sequencer used for controlling the sequence and timing of events in software defined radio
JP2007233718A (ja) * 2006-03-01 2007-09-13 Canon Inc 制御装置及び半導体集積回路
US20070214374A1 (en) * 2006-03-13 2007-09-13 Mark Hempstead Ultra low power system for sensor network applications
US7620438B2 (en) 2006-03-31 2009-11-17 Abbott Diabetes Care Inc. Method and system for powering an electronic device
US8226891B2 (en) 2006-03-31 2012-07-24 Abbott Diabetes Care Inc. Analyte monitoring devices and methods therefor
US7617409B2 (en) * 2006-05-01 2009-11-10 Arm Limited System for checking clock-signal correspondence
US7502913B2 (en) 2006-06-16 2009-03-10 Microsoft Corporation Switch prefetch in a multicore computer chip
EP1870787B1 (de) * 2006-06-20 2010-04-14 Siemens Aktiengesellschaft Verfahren zur Überwachung eines zyklischen Steuerungsprogramms
CN101449176B (zh) * 2006-06-30 2014-04-23 英特尔公司 泄漏功率估计
US7672393B2 (en) * 2006-08-02 2010-03-02 Richtek Technology Corporation Single-wire asynchronous serial interface
EP1895426A1 (en) * 2006-08-25 2008-03-05 Ali Corporation Transmitter and transmitting system utilizing the same
US8200807B2 (en) * 2006-08-31 2012-06-12 The Mathworks, Inc. Non-blocking local events in a state-diagramming environment
US7958291B2 (en) * 2006-10-10 2011-06-07 Atmel Rousset S.A.S. Supplemental communication interface
EP1919103B8 (en) * 2006-11-02 2016-11-30 Google Technology Holdings LLC Method and apparatus for automatic frequency correction in a multimode device
WO2008056293A2 (en) * 2006-11-08 2008-05-15 Nxp B.V. Fast adaptive voltage scaling
TWI335531B (en) * 2006-12-13 2011-01-01 Inst Information Industry Apparatus, method, application program, and computer readable medium thereof for generating and utilizing a feature code to monitor a program
US7840849B2 (en) * 2006-12-21 2010-11-23 Novell, Inc. Methods and apparatus for debugging software including divisions of an execution history of a debuggee program
US8667198B2 (en) * 2007-01-07 2014-03-04 Apple Inc. Methods and systems for time keeping in a data processing system
US7917784B2 (en) * 2007-01-07 2011-03-29 Apple Inc. Methods and systems for power management in a data processing system
US7949801B2 (en) * 2007-01-31 2011-05-24 Pitney Bowes Inc. Main processor initiating command timing signal via DMA to coprocessor in order to synchronize execution of instructions
US20080199894A1 (en) 2007-02-15 2008-08-21 Abbott Diabetes Care, Inc. Device and method for automatic data acquisition and/or detection
US8123686B2 (en) 2007-03-01 2012-02-28 Abbott Diabetes Care Inc. Method and apparatus for providing rolling data in communication systems
US7937076B2 (en) * 2007-03-07 2011-05-03 Harris Corporation Software defined radio for loading waveform components at runtime in a software communications architecture (SCA) framework
JP4950716B2 (ja) * 2007-03-22 2012-06-13 株式会社日立ハイテクノロジーズ 画像処理システム、及び走査型電子顕微鏡装置
US7900069B2 (en) * 2007-03-29 2011-03-01 Intel Corporation Dynamic power reduction
US7743279B2 (en) * 2007-04-06 2010-06-22 Apple Inc. Program counter (PC) trace
US7917799B2 (en) * 2007-04-12 2011-03-29 International Business Machines Corporation Method and system for digital frequency clocking in processor cores
US8161314B2 (en) * 2007-04-12 2012-04-17 International Business Machines Corporation Method and system for analog frequency clocking in processor cores
DE102007019543A1 (de) 2007-04-25 2008-10-30 Rohde & Schwarz Gmbh & Co. Kg Messgerät mit serieller digitaler Schnittstelle
US9134782B2 (en) 2007-05-07 2015-09-15 Nvidia Corporation Maintaining optimum voltage supply to match performance of an integrated circuit
US8665091B2 (en) 2007-05-08 2014-03-04 Abbott Diabetes Care Inc. Method and device for determining elapsed sensor life
US8461985B2 (en) 2007-05-08 2013-06-11 Abbott Diabetes Care Inc. Analyte monitoring system and methods
US20080281171A1 (en) * 2007-05-08 2008-11-13 Abbott Diabetes Care, Inc. Analyte monitoring system and methods
US8456301B2 (en) 2007-05-08 2013-06-04 Abbott Diabetes Care Inc. Analyte monitoring system and methods
US7928850B2 (en) 2007-05-08 2011-04-19 Abbott Diabetes Care Inc. Analyte monitoring system and methods
US7845568B2 (en) * 2007-05-09 2010-12-07 Atmel Rousset S.A.S. Managing power and timing in a smart card device
KR101392788B1 (ko) * 2007-05-23 2014-05-09 에이저 시스템즈 엘엘시 단일 및 다중 무선 기술 통신 시스템들에서 모바일 장치들에 대한 시간 절약 셀 검색을 위한 시스템 및 방법
US8645740B2 (en) * 2007-06-08 2014-02-04 Apple Inc. Methods and systems to dynamically manage performance states in a data processing system
US9313067B2 (en) * 2007-08-14 2016-04-12 Qualcomm Incorporated Multi-bandwidth communication system using a shared baseband processor
US7711864B2 (en) 2007-08-31 2010-05-04 Apple Inc. Methods and systems to dynamically manage performance states in a data processing system
TWI402647B (zh) * 2007-09-14 2013-07-21 Asustek Comp Inc 可動態調整電壓及有效節能之電壓控制裝置、方法及電腦裝置
US7921312B1 (en) 2007-09-14 2011-04-05 National Semiconductor Corporation System and method for providing adaptive voltage scaling with multiple clock domains inside a single voltage domain
GB2453174B (en) * 2007-09-28 2011-12-07 Advanced Risc Mach Ltd Techniques for generating a trace stream for a data processing apparatus
US7945804B2 (en) * 2007-10-17 2011-05-17 International Business Machines Corporation Methods and systems for digitally controlled multi-frequency clocking of multi-core processors
US9354890B1 (en) 2007-10-23 2016-05-31 Marvell International Ltd. Call stack structure for enabling execution of code outside of a subroutine and between call stack frames
US20090108817A1 (en) * 2007-10-30 2009-04-30 Topower Computer Industrial Co., Ltd. Method for actuation by boosting power source voltage
CN101436167B (zh) * 2007-11-16 2011-03-23 宏达国际电子股份有限公司 解译串行传输信号的方法
US8578193B2 (en) 2007-11-28 2013-11-05 International Business Machines Corporation Apparatus, method and program product for adaptive real-time power and perfomance optimization of multi-core processors
KR20090059602A (ko) * 2007-12-07 2009-06-11 한국전자통신연구원 세션 메모리 버스를 구비한 암호화 장치
GB0724337D0 (en) * 2007-12-13 2008-01-23 Icera Inc Radio access technology
US8589706B2 (en) 2007-12-26 2013-11-19 Intel Corporation Data inversion based approaches for reducing memory power consumption
US20090182802A1 (en) * 2008-01-10 2009-07-16 Microsoft Corporation Mobile device management scheduling
US8166145B2 (en) * 2008-01-10 2012-04-24 Microsoft Corporation Managing event-based conditional recurrent schedules
US8230436B2 (en) * 2008-01-10 2012-07-24 Microsoft Corporation Aggregating recurrent schedules to optimize resource consumption
US9442758B1 (en) 2008-01-21 2016-09-13 Marvell International Ltd. Dynamic processor core switching
US8370663B2 (en) 2008-02-11 2013-02-05 Nvidia Corporation Power management with dynamic frequency adjustments
US8595538B2 (en) * 2008-03-03 2013-11-26 Quintic Holdings Single-clock-based multiple-clock frequency generator
US8312299B2 (en) 2008-03-28 2012-11-13 Packet Digital Method and apparatus for dynamic power management control using serial bus management protocols
EP2107684A1 (en) * 2008-03-31 2009-10-07 Telefonaktiebolaget LM Ericsson (publ) Event handling in a radio circuit
US7826382B2 (en) 2008-05-30 2010-11-02 Abbott Diabetes Care Inc. Close proximity communication device and methods
US8112475B2 (en) 2008-06-27 2012-02-07 Microsoft Corporation Managing data delivery based on device state
US8090826B2 (en) * 2008-06-27 2012-01-03 Microsoft Corporation Scheduling data delivery to manage device resources
US8904083B2 (en) * 2008-07-30 2014-12-02 Infineon Technologies Ag Method and apparatus for storing data in solid state memory
JP2010072897A (ja) * 2008-09-18 2010-04-02 Nec Electronics Corp クロック供給装置
US8122270B2 (en) * 2008-09-29 2012-02-21 Intel Corporation Voltage stabilization for clock signal frequency locking
US8127160B2 (en) 2008-10-13 2012-02-28 International Business Machines Corporation Dynamic frequency and voltage scaling for a computer processor
JP2010097277A (ja) * 2008-10-14 2010-04-30 Toshiba Corp 情報処理装置
US20100094572A1 (en) * 2008-10-15 2010-04-15 International Business Machines Corporation Dynamic Frequency And Voltage Scaling For A Computer Processor
JP5509579B2 (ja) * 2008-11-21 2014-06-04 セイコーエプソン株式会社 映像出力装置および映像出力方法ならびにプロジェクタ
US8230138B2 (en) * 2008-11-28 2012-07-24 Panasonic Corporation Memory control device, data processor, and data read method
TWI363498B (en) * 2008-12-03 2012-05-01 Ind Tech Res Inst A tri-mode delay type phase lock loop
JP5816407B2 (ja) * 2009-02-27 2015-11-18 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US8285917B2 (en) * 2009-03-26 2012-10-09 Scaleo Chip Apparatus for enhancing flash memory access
WO2010127050A1 (en) 2009-04-28 2010-11-04 Abbott Diabetes Care Inc. Error detection in critical repeating data in a wireless sensor system
WO2010127187A1 (en) 2009-04-29 2010-11-04 Abbott Diabetes Care Inc. Method and system for providing data communication in continuous glucose monitoring and management system
WO2010138856A1 (en) 2009-05-29 2010-12-02 Abbott Diabetes Care Inc. Medical device antenna systems having external antenna configurations
EP2473099A4 (en) 2009-08-31 2015-01-14 Abbott Diabetes Care Inc ANALYTICAL SUBSTANCE MONITORING SYSTEM AND METHODS OF MANAGING ENERGY AND NOISE
US9314195B2 (en) * 2009-08-31 2016-04-19 Abbott Diabetes Care Inc. Analyte signal processing device and methods
EP2290542B1 (en) * 2009-09-01 2013-03-27 Research In Motion Limited System and method for sequencing radio items for a multi downlink multi carrier receiver
US8213974B2 (en) * 2009-09-01 2012-07-03 Research In Motion Limited System and method for sequencing radio items for a multi downlink multi carrier receiver
JP2011118469A (ja) * 2009-11-30 2011-06-16 Toshiba Corp メモリ管理装置およびメモリ管理方法
JP2011139370A (ja) * 2009-12-28 2011-07-14 Canon Inc 電子機器とその制御方法
US9256265B2 (en) 2009-12-30 2016-02-09 Nvidia Corporation Method and system for artificially and dynamically limiting the framerate of a graphics processing unit
US9830889B2 (en) 2009-12-31 2017-11-28 Nvidia Corporation Methods and system for artifically and dynamically limiting the display resolution of an application
US9582443B1 (en) * 2010-02-12 2017-02-28 Marvell International Ltd. Serial control channel processor for executing time-based instructions
US9000804B2 (en) 2010-03-03 2015-04-07 Freescale Semiconductor, Inc. Integrated circuit device comprising clock gating circuitry, electronic device and method for dynamically configuring clock gating
US8839006B2 (en) 2010-05-28 2014-09-16 Nvidia Corporation Power consumption reduction systems and methods
US8766666B2 (en) 2010-06-10 2014-07-01 Micron Technology, Inc. Programmable device, hierarchical parallel machines, and methods for providing state information
CN101860353B (zh) * 2010-06-17 2012-02-29 广州市广晟微电子有限公司 数模混合芯片中的时钟电路控制装置及方法
US8667308B2 (en) * 2010-06-18 2014-03-04 Apple Inc. Dynamic voltage dithering
WO2012004863A1 (ja) * 2010-07-07 2012-01-12 ルネサスエレクトロニクス株式会社 データ処理装置およびデータ処理システム
US20120017035A1 (en) * 2010-07-16 2012-01-19 Plx Technology, Inc. Runtime reprogramming of a processor code space memory area
WO2012010927A1 (en) * 2010-07-20 2012-01-26 Freescale Semiconductor, Inc. Clock circuit for providing an electronic device with a clock signal, electronic device with a clock circuit and method for providing an electronic device with a clock signal
JP2012033001A (ja) 2010-07-30 2012-02-16 Toshiba Corp 情報処理装置および情報処理方法
US20120042212A1 (en) * 2010-08-10 2012-02-16 Gilbert Laurenti Mixed Mode Processor Tracing
JP5598161B2 (ja) * 2010-08-26 2014-10-01 ヤマハ株式会社 クロック発生回路
US8732495B2 (en) 2010-08-31 2014-05-20 Integrated Device Technology, Inc. Systems, apparatuses and methods for dynamic voltage and frequency control of components used in a computer system
WO2012030329A1 (en) * 2010-08-31 2012-03-08 Integrated Device Technology, Inc. Systems, apparatuses and methods for dynamic voltage and frequency control of components used in a computer system
US20120072632A1 (en) * 2010-09-17 2012-03-22 Paul Kimelman Deterministic and non-Deterministic Execution in One Processor
JP5581960B2 (ja) * 2010-10-14 2014-09-03 凸版印刷株式会社 半導体装置
CN102457270B (zh) * 2010-10-29 2013-09-04 扬智科技股份有限公司 低增益压控振荡器的控制方法
CN103201702B (zh) * 2010-11-09 2016-04-20 国际商业机器公司 对计算工作负载进行管理的方法和系统
US8564344B2 (en) * 2010-12-01 2013-10-22 Telefonaktiebolaget L M Ericsson (Publ) Phase-locked loop control voltage determination
DE102011122074A1 (de) 2010-12-20 2012-06-21 Dmos Gmbh Bussystem zur Kommunikation und Stromversorgung von Teilnehmerschaltungen und Verfahren zur Reduzierung des Energieverbrauchs in Baugruppen von Bussystemen
TW201232239A (en) * 2011-01-24 2012-08-01 Asmedia Technology Inc Method and controller allocating charging ports
CN102611148A (zh) * 2011-01-24 2012-07-25 祥硕科技股份有限公司 配置充电端口的方法与控制器
JP5284401B2 (ja) 2011-03-24 2013-09-11 株式会社東芝 動作切替装置およびプログラム
US8572421B2 (en) 2011-04-05 2013-10-29 Apple Inc. Adjusting device performance based on processing profiles
US8856571B2 (en) 2011-04-05 2014-10-07 Apple Inc. Adjusting device performance over multiple time domains
US9588881B2 (en) 2011-05-16 2017-03-07 Cypress Semiconductor Corporation Stack processor using a ferroelectric random access memory (F-RAM) for code space and a portion of the stack memory space having an instruction set optimized to minimize processor stack accesses
US8934279B2 (en) * 2011-05-16 2015-01-13 Cypress Semiconductor Corporation Stack processor using a ferroelectric random access memory (F-RAM) for code space and a portion of the stack memory space
US9910823B2 (en) 2011-05-16 2018-03-06 Cypress Semiconductor Corporation Stack processor using a ferroelectric random access memory (F-RAM) having an instruction set optimized to minimize memory fetch
US9135082B1 (en) * 2011-05-20 2015-09-15 Google Inc. Techniques and systems for data race detection
CN102170499B (zh) * 2011-05-24 2013-10-02 惠州Tcl移动通信有限公司 一种移动终端及其电源控制系统
US8884920B1 (en) 2011-05-25 2014-11-11 Marvell International Ltd. Programmatic sensing of capacitive sensors
US8855969B2 (en) 2011-06-27 2014-10-07 International Business Machines Corporation Frequency guard band validation of processors
US9098694B1 (en) 2011-07-06 2015-08-04 Marvell International Ltd. Clone-resistant logic
US9081517B2 (en) * 2011-08-31 2015-07-14 Apple Inc. Hardware-based automatic clock gating
US9069553B2 (en) 2011-09-06 2015-06-30 Marvell World Trade Ltd. Switching tasks between heterogeneous cores
GB2495959A (en) * 2011-10-26 2013-05-01 Imagination Tech Ltd Multi-threaded memory access processor
WO2013070794A2 (en) 2011-11-07 2013-05-16 Abbott Diabetes Care Inc. Analyte monitoring device and methods
JP2013106166A (ja) * 2011-11-14 2013-05-30 Sony Corp クロックゲーティング回路およびバスシステム
CN104811194B (zh) * 2012-01-09 2018-05-01 青岛海信移动通信技术股份有限公司 一种集中式时钟装置和移动终端设备
TWI497304B (zh) * 2012-03-13 2015-08-21 Novatek Microelectronics Corp 序列介面傳送方法及其裝置
CN103324588B (zh) * 2012-03-22 2016-05-04 联咏科技股份有限公司 序列接口传送方法及其装置
US8943352B1 (en) * 2012-05-07 2015-01-27 Dust Networks, Inc. Low power timing, configuring, and scheduling
JP6103825B2 (ja) * 2012-06-07 2017-03-29 キヤノン株式会社 半導体集積回路、情報処理装置
US9317460B2 (en) * 2012-06-15 2016-04-19 International Business Machines Corporation Program event recording within a transactional environment
CN103513698B (zh) * 2012-06-29 2017-03-01 联想(北京)有限公司 一种时钟信号校准方法、装置及电子设备
US8710879B2 (en) * 2012-07-06 2014-04-29 Silicon Integrated System Corp. Apparatus and method for multiplying frequency of a clock signal
US9968306B2 (en) 2012-09-17 2018-05-15 Abbott Diabetes Care Inc. Methods and apparatuses for providing adverse condition notification with enhanced wireless communication range in analyte monitoring systems
US9129072B2 (en) * 2012-10-15 2015-09-08 Qualcomm Incorporated Virtual GPIO
US10499884B2 (en) 2012-12-06 2019-12-10 White Eagle Sonic Technologies, Inc. System and method for scanning for a second object within a first object using an adaptive scheduler
US10076313B2 (en) 2012-12-06 2018-09-18 White Eagle Sonic Technologies, Inc. System and method for automatically adjusting beams to scan an object in a body
US9983905B2 (en) 2012-12-06 2018-05-29 White Eagle Sonic Technologies, Inc. Apparatus and system for real-time execution of ultrasound system actions
US9773496B2 (en) 2012-12-06 2017-09-26 White Eagle Sonic Technologies, Inc. Apparatus and system for adaptively scheduling ultrasound system actions
US9529080B2 (en) 2012-12-06 2016-12-27 White Eagle Sonic Technologies, Inc. System and apparatus having an application programming interface for flexible control of execution ultrasound actions
DE102013001143A1 (de) * 2013-01-23 2014-07-24 Giesecke & Devrient Gmbh Verfahren zur Ausvührung eines Programms über einen Mikroprozessor auf einem Sicherheitsmodul
JP5892083B2 (ja) * 2013-02-12 2016-03-23 日本電気株式会社 パラメータ設定装置、パラメータ設定プログラム及びパラメータ設定方法
US9823719B2 (en) * 2013-05-31 2017-11-21 Intel Corporation Controlling power delivery to a processor via a bypass
US9256276B2 (en) 2013-09-27 2016-02-09 Intel Corporation Utilization of processor capacity at low operating frequencies
WO2015065349A1 (en) * 2013-10-30 2015-05-07 Intel Corporation A method, apparatus and system for measuring latency in a physical unit of a circuit
US9345083B2 (en) * 2013-10-30 2016-05-17 Apple Inc. Boost converter with a pulse frequency modulation mode for operating above an audible frequency
TWI497314B (zh) * 2013-11-06 2015-08-21 Univ Nat Chiao Tung 適應性計算之無線處理器
JP6381899B2 (ja) * 2013-12-05 2018-08-29 ルネサスエレクトロニクス株式会社 半導体装置の設計方法、設計支援プログラム、設計装置、及び、半導体装置
US10200951B2 (en) * 2014-02-20 2019-02-05 Qualcomm Incorporated Low power low latency protocol for data exchange
KR102320399B1 (ko) * 2014-08-26 2021-11-03 삼성전자주식회사 전원 관리 칩, 그것을 포함하는 모바일 장치 및 그것의 클록 조절 방법
US9841795B2 (en) 2014-09-22 2017-12-12 Nxp Usa, Inc. Method for resetting an electronic device having independent device domains
KR102271469B1 (ko) 2014-10-24 2021-06-30 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 시스템
CN104699578B (zh) * 2015-01-09 2017-12-26 同济大学 一种以内升温方式检测时延故障的定温指令级自测试方法
US9450582B2 (en) 2015-02-03 2016-09-20 Freescale Semiconductor, Inc. Programmable buffer system
US9696782B2 (en) 2015-02-09 2017-07-04 Microsoft Technology Licensing, Llc Battery parameter-based power management for suppressing power spikes
US10158148B2 (en) 2015-02-18 2018-12-18 Microsoft Technology Licensing, Llc Dynamically changing internal state of a battery
US9748765B2 (en) 2015-02-26 2017-08-29 Microsoft Technology Licensing, Llc Load allocation for multi-battery devices
JP6466740B2 (ja) * 2015-03-02 2019-02-06 株式会社メガチップス クロック生成回路
WO2016164543A1 (en) 2015-04-07 2016-10-13 Analog Devices, Inc. Quality factor estimation for resonators
US10432337B2 (en) * 2015-05-15 2019-10-01 Avago Technologies International Sales Pte. Limited Apparatus and method for timestamping of data packets
US10210919B2 (en) 2015-06-03 2019-02-19 Altera Corporation Integrated circuits with embedded double-clocked components
US9503067B1 (en) * 2015-06-22 2016-11-22 Realtek Semiconductor Corporation Time shifter and method thereof
US9874863B2 (en) * 2015-08-24 2018-01-23 Keysight Technologies, Inc. Finite state machine-based trigger event detection employing interpolation
US9939862B2 (en) 2015-11-13 2018-04-10 Microsoft Technology Licensing, Llc Latency-based energy storage device selection
US10061366B2 (en) 2015-11-17 2018-08-28 Microsoft Technology Licensing, Llc Schedule-based energy storage device selection
US9793570B2 (en) 2015-12-04 2017-10-17 Microsoft Technology Licensing, Llc Shared electrode battery
CN105608027B (zh) * 2015-12-18 2018-10-19 华为技术有限公司 非易失存储设备和访问非易失存储设备的方法
US10248155B2 (en) 2016-01-25 2019-04-02 Samsung Electronics Co., Ltd. Semiconductor device including clock generating circuit and channel management circuit
US10296066B2 (en) 2016-01-25 2019-05-21 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor system, and method of operating the semiconductor device
KR102467172B1 (ko) 2016-01-25 2022-11-14 삼성전자주식회사 반도체 장치
US10209734B2 (en) 2016-01-25 2019-02-19 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor system, and method of operating the semiconductor device
DE102017110823A1 (de) 2016-01-25 2018-07-26 Samsung Electronics Co., Ltd. Halbleitervorrichtung, Halbleitersystem und Verfahren zum Betreiben der Halbleitervorrichtung
KR102474620B1 (ko) * 2016-01-25 2022-12-05 삼성전자주식회사 반도체 장치, 반도체 시스템 및 반도체 장치의 동작 방법
US10303203B2 (en) 2016-01-25 2019-05-28 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor system and method for operating semiconductor device
JP2017191564A (ja) * 2016-04-15 2017-10-19 富士通株式会社 演算処理装置及び演算処理装置の制御方法
US10445099B2 (en) * 2016-04-19 2019-10-15 Xiaolin Wang Reconfigurable microprocessor hardware architecture
US10935949B2 (en) * 2016-05-17 2021-03-02 Mitsubishi Electric Corporation Controller system
US10254782B2 (en) * 2016-08-30 2019-04-09 Micron Technology, Inc. Apparatuses for reducing clock path power consumption in low power dynamic random access memory
US10305495B2 (en) * 2016-10-06 2019-05-28 Analog Devices, Inc. Phase control of clock signal based on feedback
JP2018120449A (ja) * 2017-01-26 2018-08-02 ソニーセミコンダクタソリューションズ株式会社 演算処理装置および情報処理システム
JP6819327B2 (ja) * 2017-02-03 2021-01-27 富士通株式会社 クロック生成回路、シリアル・パラレル変換回路及び情報処理装置
TW201838392A (zh) * 2017-02-10 2018-10-16 香港商凱歐斯科技(香港)有限公司 功能型手機及其操作方法
US10120819B2 (en) * 2017-03-20 2018-11-06 Nxp Usa, Inc. System and method for cache memory line fill using interrupt indication
KR102435034B1 (ko) * 2017-06-21 2022-08-23 삼성전자주식회사 디지털 위상 고정 루프 및 디지털 위상 고정 루프의 동작 방법
US10809790B2 (en) * 2017-06-30 2020-10-20 Intel Corporation Dynamic voltage-level clock tuning
US10578435B2 (en) 2018-01-12 2020-03-03 Analog Devices, Inc. Quality factor compensation in microelectromechanical system (MEMS) gyroscopes
EP3806336A4 (en) 2018-06-05 2021-08-04 Panasonic Intellectual Property Management Co., Ltd. INPUT / OUTPUT CIRCUIT
GB201810478D0 (en) * 2018-06-26 2018-08-08 Nordic Semiconductor Asa Precision timing between systems
US11041722B2 (en) 2018-07-23 2021-06-22 Analog Devices, Inc. Systems and methods for sensing angular motion in the presence of low-frequency noise
IT201900006633A1 (it) * 2019-05-08 2020-11-08 Stmicroelectronics Application Gmbh Sistema di elaborazione, relativo circuito integrato, dispositivo e procedimento
CN110081933B (zh) * 2019-05-10 2021-07-20 上海岚盒信息技术有限公司 振动巡检仪及其低功耗频域变换方法、计算机可读存储介质
CN110413558A (zh) * 2019-07-15 2019-11-05 广芯微电子(广州)股份有限公司 一种实现低功耗串口模块动态分频方法
KR20210062499A (ko) * 2019-11-21 2021-05-31 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 동작 방법
CN112104537B (zh) * 2020-03-28 2022-04-19 上海芯郡电子科技有限公司 一种通信控制器
KR102414817B1 (ko) * 2020-05-25 2022-06-30 윈본드 일렉트로닉스 코포레이션 지연 락 루프 디바이스와 그 동작 방법
US11455264B2 (en) * 2020-08-10 2022-09-27 International Business Machines Corporation Minimizing delay while migrating direct memory access (DMA) mapped pages
US11656876B2 (en) * 2020-10-29 2023-05-23 Cadence Design Systems, Inc. Removal of dependent instructions from an execution pipeline
TWI749960B (zh) * 2020-12-23 2021-12-11 瑞昱半導體股份有限公司 資料處理裝置與其資料存取電路
KR102392119B1 (ko) * 2021-07-21 2022-04-27 중앙대학교 산학협력단 위상 회전자를 이용한 분수 서브 샘플링 위상 고정 루프
US11853237B2 (en) * 2021-11-19 2023-12-26 Micron Technology, Inc. Input/output sequencer instruction set processing
US20230378962A1 (en) * 2022-05-20 2023-11-23 Apple Inc. Clock Frequency Limiter

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3680050A (en) * 1970-07-10 1972-07-25 Gen Electric Serial digital pulse phase interface driver and receiver
US4110708A (en) * 1977-03-25 1978-08-29 Harris Corporation Multichannel modulation system including automatic gain shifter
GB2031676B (en) * 1978-09-02 1983-05-11 Marconi Instruments Ltd Frequency modulation systems
CH620087B (de) * 1979-03-09 Suisse Horlogerie Oszillator mit einem hochfrequenz-quarzresonator.
JPS5837737B2 (ja) 1979-11-05 1983-08-18 株式会社日立国際電気 デイジタル符号の伝送方式
JPS61287335A (ja) 1985-06-13 1986-12-17 Toshiba Corp パリテイ−生成回路
JPS63126018A (ja) * 1986-11-17 1988-05-30 Hitachi Ltd 半導体集積回路
US6760866B2 (en) * 1987-06-02 2004-07-06 Texas Instruments Incorporated Process of operating a processor with domains and clocks
US5535331A (en) * 1987-09-04 1996-07-09 Texas Instruments Incorporated Processor condition sensing circuits, systems and methods
JP2668898B2 (ja) * 1987-11-06 1997-10-27 富士通株式会社 バス制御回路
KR960003412B1 (ko) 1989-06-30 1996-03-13 포퀘트 컴퓨터 코오포레이션 컴퓨터 전력 관리 시스템
GB2237157A (en) 1989-10-20 1991-04-24 Marconi Instruments Ltd Control of frequency modulators
US5038117A (en) * 1990-01-23 1991-08-06 Hewlett-Packard Company Multiple-modulator fractional-N divider
US6693951B1 (en) * 1990-06-25 2004-02-17 Qualcomm Incorporated System and method for generating signal waveforms in a CDMA cellular telephone system
US5159205A (en) * 1990-10-24 1992-10-27 Burr-Brown Corporation Timing generator circuit including adjustable tapped delay line within phase lock loop to control timing of signals in the tapped delay line
JPH05119876A (ja) * 1991-10-25 1993-05-18 Toshiba Corp 電子装置及びその装置に含まれる集積回路
EP0545581B1 (en) * 1991-12-06 1999-04-21 National Semiconductor Corporation Integrated data processing system including CPU core and parallel, independently operating DSP module
FI95980C (fi) * 1992-09-04 1996-04-10 Nokia Mobile Phones Ltd Menetelmä ja kytkentäjärjestely ajan mittaamiseksi tarkasti epätarkalla kellolla
JPH06139373A (ja) * 1992-10-27 1994-05-20 Hitachi Ltd 半導体装置
EP0632360A1 (en) * 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
US5553276A (en) * 1993-06-30 1996-09-03 International Business Machines Corporation Self-time processor with dynamic clock generator having plurality of tracking elements for outputting sequencing signals to functional units
JPH0744266A (ja) * 1993-08-04 1995-02-14 Canon Inc デバイス制御装置
JP3490131B2 (ja) * 1994-01-21 2004-01-26 株式会社ルネサステクノロジ データ転送制御方法、データプロセッサ及びデータ処理システム
EP0665502B1 (en) * 1994-01-27 2002-06-12 Sun Microsystems, Inc. Asynchronous serial communication circuit
EP0666529B1 (en) * 1994-02-02 2004-10-06 Advanced Micro Devices, Inc. Power management in an asynchronus receiver/transmitter
JP3718251B2 (ja) * 1994-02-28 2005-11-24 株式会社ルネサステクノロジ データ処理装置
US5696917A (en) * 1994-06-03 1997-12-09 Intel Corporation Method and apparatus for performing burst read operations in an asynchronous nonvolatile memory
US5592173A (en) 1994-07-18 1997-01-07 Trimble Navigation, Ltd GPS receiver having a low power standby mode
JPH08152945A (ja) * 1994-11-28 1996-06-11 Nec Corp 消費電力管理装置
JPH08166834A (ja) * 1994-12-14 1996-06-25 Mitsubishi Electric Corp クロック発生回路及びマイクロコンピュータ
CN1316368C (zh) * 1994-12-28 2007-05-16 株式会社东芝 微处理器
US5842037A (en) 1995-03-20 1998-11-24 Telefonaktiebolaget Lm Ericsson Interference reduction in TDM-communication/computing devices
US5655100A (en) * 1995-03-31 1997-08-05 Sun Microsystems, Inc. Transaction activation processor for controlling memory transaction execution in a packet switched cache coherent multiprocessor system
US5737547A (en) * 1995-06-07 1998-04-07 Microunity Systems Engineering, Inc. System for placing entries of an outstanding processor request into a free pool after the request is accepted by a corresponding peripheral device
US5613235A (en) * 1995-06-29 1997-03-18 Nokia Mobile Phones Limited Operation of a radiotelephone in a synchronous extended standby mode for conserving battery power
US5774701A (en) * 1995-07-10 1998-06-30 Hitachi, Ltd. Microprocessor operating at high and low clok frequencies
JPH0944277A (ja) * 1995-07-25 1997-02-14 Mitsubishi Electric Corp マイクロコンピュータ
US5964893A (en) 1995-08-30 1999-10-12 Motorola, Inc. Data processing system for performing a trace function and method therefor
JP3466793B2 (ja) * 1995-09-28 2003-11-17 株式会社東芝 マルチプロセッサシステム
US5623234A (en) 1996-03-04 1997-04-22 Motorola Clock system
US5724505A (en) * 1996-05-15 1998-03-03 Lucent Technologies Inc. Apparatus and method for real-time program monitoring via a serial interface
US5809091A (en) * 1996-06-04 1998-09-15 Ericsson, Inc. Timing signal generator for digital communication system
US5933627A (en) * 1996-07-01 1999-08-03 Sun Microsystems Thread switch on blocked load or store using instruction thread field
US5790817A (en) * 1996-09-25 1998-08-04 Advanced Micro Devices, Inc. Configurable digital wireless and wired communications system architecture for implementing baseband functionality
WO1998019242A1 (fr) * 1996-10-30 1998-05-07 Hitachi, Ltd. Processeur de donnees et systeme de traitement de donnees
JPH10187300A (ja) * 1996-12-20 1998-07-14 Sony Corp 電源制御回路および電源制御方法
JPH10190568A (ja) * 1996-12-27 1998-07-21 Matsushita Electric Ind Co Ltd 無線受信装置
DE69826539D1 (de) * 1997-01-30 2004-11-04 Sgs Thomson Microelectronics Cachespeichersystem
US6029061A (en) * 1997-03-11 2000-02-22 Lucent Technologies Inc. Power saving scheme for a digital wireless communications terminal
US6031429A (en) * 1997-03-19 2000-02-29 Silicon Magic Corporation Circuit and method for reducing lock-in time in phase-locked and delay-locked loops
US6269426B1 (en) * 1997-06-24 2001-07-31 Sun Microsystems, Inc. Method for operating a non-blocking hierarchical cache throttle
US6052777A (en) * 1997-06-25 2000-04-18 Sun Microsystems, Inc. Method for delivering precise traps and interrupts in an out-of-order processor
US5963068A (en) * 1997-07-28 1999-10-05 Motorola Inc. Fast start-up processor clock generation method and system
US6170051B1 (en) * 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6005904A (en) * 1997-10-16 1999-12-21 Oasis Design, Inc. Phase-locked loop with protected output during instances when the phase-locked loop is unlocked
US6076157A (en) * 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US5936565A (en) * 1997-12-10 1999-08-10 Nortel Networks Corporation Digitally controlled duty cycle integration
US6282184B1 (en) * 1997-12-22 2001-08-28 Nortel Networks Limited Common digitizing rate for multiple air interfaces for generic cell sites in cellular radio
EP0924947A1 (en) * 1997-12-22 1999-06-23 The Technology Partnership Public Limited Company Power saving in a digital cellular system terminal
US6430654B1 (en) * 1998-01-21 2002-08-06 Sun Microsystems, Inc. Apparatus and method for distributed non-blocking multi-level cache
EP0939495B1 (en) * 1998-02-26 2004-04-14 Motorola Semiconducteurs S.A. Power saving system for an electronic portable device
US6145122A (en) * 1998-04-27 2000-11-07 Motorola, Inc. Development interface for a data processor
JPH11312026A (ja) * 1998-04-28 1999-11-09 Nec Corp クロック信号切替方法およびクロック信号切替システム
JP4060442B2 (ja) * 1998-05-28 2008-03-12 富士通株式会社 メモリデバイス
JP3786521B2 (ja) * 1998-07-01 2006-06-14 株式会社日立製作所 半導体集積回路及びデータ処理システム
JP3857052B2 (ja) * 1998-07-02 2006-12-13 株式会社ルネサステクノロジ マイクロプロセッサ
US6141762A (en) 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
KR100306966B1 (ko) * 1998-08-04 2001-11-30 윤종용 동기형버스트반도체메모리장치
EP0992907B1 (en) * 1998-10-06 2005-09-28 Texas Instruments Inc. Trace fifo management
EP0992906B1 (en) * 1998-10-06 2005-08-03 Texas Instruments Inc. Apparatus and method for software breakpoint in a delay slot
US6255822B1 (en) * 1998-10-09 2001-07-03 U.S. Philips Corporation MRI apparatus having a short uniform field magnet with an internal space
US6118306A (en) 1998-12-03 2000-09-12 Intel Corporation Changing clock frequency
US6763448B1 (en) * 1999-02-16 2004-07-13 Renesas Technology Corp. Microcomputer and microcomputer system
FI106761B (fi) * 1999-02-19 2001-03-30 Nokia Mobile Phones Ltd Menetelmä ja piirijärjestely järjestelmien keskinäisen tahdistuksen toteuttamiseksi monimoodilaitteessa
US6336168B1 (en) * 1999-02-26 2002-01-01 International Business Machines Corporation System and method for merging multiple outstanding load miss instructions
FR2791217B1 (fr) * 1999-03-18 2001-06-01 Sagem Procede de veille dans un telephone mobile
US6425086B1 (en) * 1999-04-30 2002-07-23 Intel Corporation Method and apparatus for dynamic power control of a low power processor
US6341347B1 (en) * 1999-05-11 2002-01-22 Sun Microsystems, Inc. Thread switch logic in a multiple-thread processor
WO2000068783A2 (en) 1999-05-12 2000-11-16 Analog Devices, Inc. Digital signal processor computation core
JP2001022480A (ja) * 1999-07-09 2001-01-26 Seiko Epson Corp 情報処理装置
JP2001036958A (ja) * 1999-07-16 2001-02-09 Nec Corp 待受け受信方式
CN100353349C (zh) 1999-11-05 2007-12-05 模拟装置公司 通讯处理器的总线结构和共享总线判优方法
US6748475B1 (en) * 1999-11-05 2004-06-08 Analog Devices, Inc. Programmable serial port architecture and system
EP1103978B1 (en) * 1999-11-25 2009-01-28 STMicroelectronics S.r.l. Non-volatile memory device with burst mode reading and corresponding reading method
EP1103977B1 (en) * 1999-11-25 2009-02-25 STMicroelectronics S.r.l. Method for reading data from a non-volatile memory device with autodetect burst mode reading and corresponding reading circuit
US6205084B1 (en) * 1999-12-20 2001-03-20 Fujitsu Limited Burst mode flash memory
GB2357602A (en) * 1999-12-22 2001-06-27 Nokia Mobile Phones Ltd Memory controller for a memory array comprising different memory types
US6625740B1 (en) * 2000-01-13 2003-09-23 Cirrus Logic, Inc. Dynamically activating and deactivating selected circuit blocks of a data processing integrated circuit during execution of instructions according to power code bits appended to selected instructions
US6873268B2 (en) 2000-01-21 2005-03-29 Medtronic Minimed, Inc. Microprocessor controlled ambulatory medical apparatus with hand held communication device
US7143401B2 (en) * 2000-02-17 2006-11-28 Elbrus International Single-chip multiprocessor with cycle-precise program scheduling of parallel execution
DE10009683A1 (de) * 2000-02-29 2001-08-30 Nokia Mobile Phones Ltd Verfahren zum Unterbrechen eines Ruhezustandes einer Kommunikationseinheit in einem Kommunikationssystem, insbesondere in einem Funk-Kommunikationssystem
US6421214B1 (en) * 2000-03-03 2002-07-16 Pass & Seymour, Inc. Arc fault or ground fault detector with self-test feature
JP2001313547A (ja) * 2000-05-01 2001-11-09 Mitsubishi Electric Corp 内部回路へのクロック供給方法およびクロック供給回路
EP1182559B1 (en) * 2000-08-21 2009-01-21 Texas Instruments Incorporated Improved microprocessor
JP2002082832A (ja) * 2000-09-08 2002-03-22 Nec Corp キャッシュ更新方法及びキャッシュ更新制御システム並びに記録媒体
US6636225B2 (en) * 2000-11-20 2003-10-21 Hewlett-Packard Development Company, L.P. Managing texture mapping data in a computer graphics system
US6871292B1 (en) 2000-11-20 2005-03-22 Intersil Americas, Inc. Sequencer and method of selectively inhibiting clock signals to execute reduced instruction sequences in a re-programmable I/O interface
US6732236B2 (en) * 2000-12-18 2004-05-04 Redback Networks Inc. Cache retry request queue
SE516758C2 (sv) * 2000-12-22 2002-02-26 Ericsson Telefon Ab L M Digitalt bussystem
US6665776B2 (en) * 2001-01-04 2003-12-16 Hewlett-Packard Development Company L.P. Apparatus and method for speculative prefetching after data cache misses
US6584546B2 (en) * 2001-01-16 2003-06-24 Gautam Nag Kavipurapu Highly efficient design of storage array for use in first and second cache spaces and memory subsystems
US20020138778A1 (en) * 2001-03-22 2002-09-26 Cole James R. Controlling CPU core voltage to reduce power consumption
US6968219B2 (en) * 2001-08-15 2005-11-22 Qualcomm, Incorporated Method for reducing power consumption in bluetooth and CDMA modes of operation
JP4170218B2 (ja) 2001-08-29 2008-10-22 メディアテック インコーポレーテッド キャッシュミスに応答してタスクを切り替えることによってキャッシュベース埋め込みプロセッサのスループットを改善する方法および装置
US6865503B2 (en) * 2002-12-24 2005-03-08 Conexant Systems, Inc. Method and apparatus for telemetered probing of integrated circuit operation

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346306C (zh) * 2006-01-06 2007-10-31 浙江大学 基于动态调频技术的节能编译方法
US7840823B2 (en) 2006-08-29 2010-11-23 Panasonic Corporation Processor system for varying clock frequency and voltage in response to a comparison of instruction execution rate to a reference value
CN101187831B (zh) * 2006-10-24 2012-05-30 国际商业机器公司 微处理器的自主动态电压和频率调节的方法和计算机系统
CN102213992A (zh) * 2010-04-07 2011-10-12 晶心科技股份有限公司 电子系统的功率调整模块与功率调整单元
CN102213992B (zh) * 2010-04-07 2014-03-12 晶心科技股份有限公司 电子系统的功率调整模块与功率调整单元
CN102082506A (zh) * 2010-12-22 2011-06-01 复旦大学 适用于开关电源转换器的时钟频率选择电路
CN102082506B (zh) * 2010-12-22 2012-12-12 复旦大学 适用于开关电源转换器的时钟频率选择电路
CN104011693A (zh) * 2011-12-21 2014-08-27 英特尔公司 设置基于i/o带宽的处理器频率层的装置和方法
CN104881529A (zh) * 2015-05-18 2015-09-02 南京航空航天大学 基于有限状态机的采样保持控制策略的实现方法
CN104881529B (zh) * 2015-05-18 2018-05-29 南京航空航天大学 基于有限状态机的采样保持控制策略的实现方法
CN107403638A (zh) * 2016-04-29 2017-11-28 三星电子株式会社 能够调节操作电压的存储器设备和控制其的应用处理器
TWI669591B (zh) * 2016-11-01 2019-08-21 美商輝達公司 最佳功率效率的適應性電壓頻率調整
CN112334859A (zh) * 2018-05-25 2021-02-05 超威半导体公司 处理器的适应性电压裕度
CN111435267A (zh) * 2019-01-15 2020-07-21 海信集团有限公司 功耗自动调整的方法、装置、设备及计算机可读存储介质
CN109787625A (zh) * 2019-03-05 2019-05-21 上海芷锐电子科技有限公司 一种基于双pll的系统超频引起的电压毛刺保护系统
CN109787625B (zh) * 2019-03-05 2022-04-05 上海芷锐电子科技有限公司 一种基于双pll的系统超频引起的电压毛刺保护系统

Also Published As

Publication number Publication date
CN1549976A (zh) 2004-11-24
CN1549971A (zh) 2004-11-24
DE60223555T2 (de) 2009-06-10
CN100517215C (zh) 2009-07-22
EP1421465A2 (en) 2004-05-26
US20030149809A1 (en) 2003-08-07
US20030126487A1 (en) 2003-07-03
US20080077820A1 (en) 2008-03-27
CN1550070A (zh) 2004-11-24
US20080077770A1 (en) 2008-03-27
CN101673238B (zh) 2012-02-15
JP2005502241A (ja) 2005-01-20
JP2005502259A (ja) 2005-01-20
JP2005502126A (ja) 2005-01-20
JP2005502123A (ja) 2005-01-20
US20030070051A1 (en) 2003-04-10
DE60223051D1 (de) 2007-11-29
JP4170218B2 (ja) 2008-10-22
CN100570577C (zh) 2009-12-16
WO2003021446A2 (en) 2003-03-13
WO2003021600A3 (en) 2003-10-23
US20030071657A1 (en) 2003-04-17
CN1549960A (zh) 2004-11-24
WO2003021439A1 (en) 2003-03-13
CN100451914C (zh) 2009-01-14
EP1421588B1 (en) 2012-01-18
EP1421465B1 (en) 2008-08-13
DE60211921D1 (de) 2006-07-06
US7159134B2 (en) 2007-01-02
JP4338514B2 (ja) 2009-10-07
US7174543B2 (en) 2007-02-06
WO2003021446A3 (en) 2003-06-19
EP1421490B1 (en) 2006-04-12
DE60228268D1 (de) 2008-09-25
AU2002331774A1 (en) 2003-03-18
EP1499955A2 (en) 2005-01-26
DE60239347D1 (de) 2011-04-14
WO2003021600A2 (en) 2003-03-13
CN1550016A (zh) 2004-11-24
US20030058052A1 (en) 2003-03-27
AU2002327599A1 (en) 2003-03-18
CN1547701A (zh) 2004-11-17
CN1299201C (zh) 2007-02-07
DE60210633T2 (de) 2007-04-05
WO2003021426A3 (en) 2004-10-28
WO2003021800A1 (en) 2003-03-13
WO2003021426A2 (en) 2003-03-13
EP1425671A2 (en) 2004-06-09
CN100399472C (zh) 2008-07-02
JP2005502144A (ja) 2005-01-20
CN100361109C (zh) 2008-01-09
EP2230603A3 (en) 2012-08-01
EP1421704B1 (en) 2007-11-14
US7698590B2 (en) 2010-04-13
US7114093B2 (en) 2006-09-26
EP1499955B1 (en) 2016-07-20
WO2003021407A1 (en) 2003-03-13
CN1620645A (zh) 2005-05-25
EP1421588A2 (en) 2004-05-26
US6889331B2 (en) 2005-05-03
EP1421490A1 (en) 2004-05-26
US20030117176A1 (en) 2003-06-26
JP2005502120A (ja) 2005-01-20
CN1549961B (zh) 2010-04-14
US20030061445A1 (en) 2003-03-27
JP2005502114A (ja) 2005-01-20
US6768358B2 (en) 2004-07-27
DE60211921T2 (de) 2006-10-05
US6978350B2 (en) 2005-12-20
EP1421497B1 (en) 2011-03-02
JP2005510779A (ja) 2005-04-21
JP3852703B2 (ja) 2006-12-06
DE60223051T2 (de) 2008-07-24
CN100471079C (zh) 2009-03-18
WO2003021409A2 (en) 2003-03-13
JP2007006505A (ja) 2007-01-11
EP2230603A2 (en) 2010-09-22
JP4340536B2 (ja) 2009-10-07
US20030051231A1 (en) 2003-03-13
EP1425671B1 (en) 2006-05-31
EP1421463A1 (en) 2004-05-26
DE60223555D1 (de) 2007-12-27
JP4799819B2 (ja) 2011-10-26
US8156366B2 (en) 2012-04-10
JP4243186B2 (ja) 2009-03-25
WO2003021426A9 (en) 2004-05-06
EP2230603B1 (en) 2014-03-05
DE60210633D1 (de) 2006-05-24
EP1421463B1 (en) 2007-10-17
WO2003021453A2 (en) 2003-03-13
CN101673238A (zh) 2010-03-17
WO2003021453A3 (en) 2004-03-18
US7315956B2 (en) 2008-01-01
JP4440900B2 (ja) 2010-03-24
JP2009064456A (ja) 2009-03-26
US7007132B2 (en) 2006-02-28
EP1421497A2 (en) 2004-05-26
EP1421704A1 (en) 2004-05-26
WO2003021409A3 (en) 2003-09-25

Similar Documents

Publication Publication Date Title
CN1549961A (zh) 动态电压控制方法与设备
US10585448B2 (en) Low power autonomous peripheral management
CN100461072C (zh) 多核处理器的电源控制装置及其方法
US7900069B2 (en) Dynamic power reduction
KR101748747B1 (ko) 프로세서의 구성가능한 피크 성능 제한들의 제어
CN1881140A (zh) 多核处理器的处理器模式自动控制装置及其方法
CN1202457C (zh) 处理器控制电压的系统中提供决定开启电压的方法及装置
CN1666166A (zh) 集成图形设备的功率管理
EP2808759A1 (en) Controlling power delivery to a processor via a bypass
CN1054496A (zh) 便携式计算机实时的功率储存
CN101076770A (zh) 根据可用并行数目改变每条指令能量的方法和设备
US10296062B2 (en) Dynamic control of power consumption based on memory device activity
KR20110038036A (ko) 슬리프 프로세서
CN1700601A (zh) 逻辑电路装置
JP2005235223A (ja) ダイナミック電圧スケーリングによる低消費電力集積回路装置
CN110888520B (zh) 操作频率调整方法及装置
CN103294641A (zh) 用于系统管理的有限状态机
CN104715794A (zh) 电压调节器、存储器控制器及其电压供应方法
JP2004078940A (ja) マルチプロセッサ・コンピュータシステム内のプロセッサの電圧を管理する方法
CN100349108C (zh) 与非门快闪存储器的物理接口、接口方法和管理设备
CN102339120A (zh) 性能调整装置、方法以及具有性能调整装置的处理器
CN100381972C (zh) 一种用电池给电子装置供电的电源管理方法
Bukreyev et al. Four monolithically integrated switched-capacitor DC–DC converters with dynamic capacitance sharing in 65-nm CMOS
CN103226382A (zh) 应用运行方法和电子设备
CN1567180A (zh) 调整中央处理器工作频率方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: MEDIATEK INC.

Free format text: FORMER OWNER: ANALOG DEVICES INC.

Effective date: 20080404

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20080404

Address after: Hsinchu Science Industrial Park, Taiwan

Applicant after: MEDIATEK Inc.

Address before: Massachusetts, USA

Applicant before: ANALOG DEVICES, Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20100414