CN1577830A - 衬底上的电互连结构及其制作方法 - Google Patents

衬底上的电互连结构及其制作方法 Download PDF

Info

Publication number
CN1577830A
CN1577830A CNA2004100549212A CN200410054921A CN1577830A CN 1577830 A CN1577830 A CN 1577830A CN A2004100549212 A CNA2004100549212 A CN A2004100549212A CN 200410054921 A CN200410054921 A CN 200410054921A CN 1577830 A CN1577830 A CN 1577830A
Authority
CN
China
Prior art keywords
low
layer
interconnection structure
dielectric
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100549212A
Other languages
English (en)
Other versions
CN1309074C (zh
Inventor
李·M·尼科尔森
曾伟志
克里斯蒂·泰博格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1577830A publication Critical patent/CN1577830A/zh
Application granted granted Critical
Publication of CN1309074C publication Critical patent/CN1309074C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

一种衬底上的电互连结构,包括:第一低k电介质层;旋涂低k CMP保护层,该保护层与第一低k电介质层以共价键相结合;以及提供CVD沉积的硬掩模/CMP抛光停止层。在第一低k电介质层中可以制作电通路和衬里。旋涂的低k CMP保护层防止对低k电介质的破坏,这种破坏可能从中心到边缘或者在金属密度变化的区域内由于CMP处理过程中的不均匀而造成。低k CMP保护层的厚度可以在不显著地影响这种结构有效介电常数的情况下调节以适应CMP处理中的较大变化。

Description

衬底上的电互连结构及其制作方法
技术领域
本发明涉及用于高速微处理器、专用集成电路(ASICs)以及高速IC′s相关的互连结构。更具体地,本发明提供低或超低介电常数(k)的互连结构,这种互连结构提高电路速度、导体电阻的精确值,并改善机械完整性(mechanical integrity)。
背景技术
许多双镶嵌型的低k电介质加Cu的互连结构是大家所熟知的。例如双镶嵌工艺,其中SiLKTM被用作低k介电材料,参见美国专利6,383,920,该专利指定给本发明的同一受让人,并且其全部内容以引用形式结合在本文中,就象这里进行了全部说明。
为了保护位于下面的电介质并防止低k电介质的腐蚀和凹陷,低和超低介电常数(k)材料的集成需要化学机械平整(CMP)抛光停止层。有代表性地,具有明显更高介电常数(与中间层面的介电绝缘材料相比较)的化学气相沉积(CVD)材料被直接应用到制作硬掩模的衬里层面的介电材料。
在CMP之后,CVD CMP抛光停止层的连续层必须保留在该结构中以防止随后对电介质的破坏。此外,还必须具有足够的厚度,从而在CMP中从中心到边缘或者由不同金属填充的区域内的不均匀将不会产生针孔或者CMP抛光停止层已被完全腐蚀的区域。因此,更高介电常数材料(有代表性地k=4.1的SiC)必须保留在结构中,结果导致有效介电常数的增加。
发明内容
本发明的一个目的就是提供一种超低k电介质加Cu的单或双镶嵌型的电互连结构,这种电互连结构具有对Cu导体电阻进行精确和均匀的控制并具有减小的有效介电常数以及改善的制作可靠性和简易性。
本发明的另一个目的就是提供一种低k或者多孔超低k电介质叠层,这种电介质叠层具有改善的后CMP硬掩模保持力、改善的可靠性以及在原始的处理时间增加最小情况下减小的有效介电常数。
本发明还有另外一个目的就是提供一种这里描述的发明结构的制作方法。
本发明提供一种衬底上的电互连结构。这种互连结构包括:
第一低k或超低k电介质层;
沉积在第一低电介质层上的低k CMP保护层;以及
CVD硬掩模/CMP抛光停止层。
本发明提供一种在衬底上制作电互连结构的方法。这种方法包括以下步骤:
在沉积到衬底上的第一低k电介质或超低k电介质层上制作低kCMP保护层;和
在低k CMP保护层上制作硬掩模/CMP抛光停止层,使得CMP保护层与第一低k电介质或超低k电介质层以共价键相结合。
本发明的这些和其它目的、优点和特征可以参照下文详细说明和附图得以理解。
附图说明
图1是说明在沉积然后经过直至且包括CMP处理之后的电介质和硬掩模/CMP停止层的示意图。这说明由于CMP过程中,从中心到边缘或者金属密度变化的区域内不均匀而可能产生穿过硬掩模/CMP抛光停止层的破坏作用。
图2是说明本发明结构的示意图,该结构包括在沉积然后经过直至且包括CMP处理之后的电介质、旋涂的低k CMP保护层以及硬掩模/CMP停止层。这说明由于CMP过程中,从中心到边缘或者金属密度变化的区域内不均匀而可能产生穿过硬掩模/CMP抛光停止层的破坏作用。
图3是说明本发明结构的示意图,该结构包括在沉积然后经过直至且包括CMP处理之后的电介质、旋涂的低k CMP保护层以及硬掩模/CMP停止层。在这种结构中,可以使用更厚的CMP保护层致使CMP之后的保留材料全部都是低k材料。在不影响有效介电常数的情况下可以增加保护层的厚度。
图4是用于制作图2或者图3中结构的方法的工艺流程图。
具体实施方式
本发明提供一种衬底上的电互连结构,包括第一低k或超低k电介质层、沉积在第一低k电介质层上的低k CMP保护层以及CVD硬掩模/CMP抛光停止层。
更好地,这种电互连结构包括旋涂的有机衬里层面电介质层(或者双镶嵌通路和衬里层面电介质,有或者没有内嵌的腐蚀停止层),沉积在旋涂的有机衬里层面电介质层上的旋涂的无机或者无机-有机混合倍半硅氧烷CMP保护层以及传统等离子增强化学气相沉积(PECVD)硬掩模/CMP抛光停止层。
更好地,第一低k电介质层由旋涂介电材料组成,例如,SiLKTM,The Dow Chemical Company的商标,表示一组包含b级聚合物的聚合物基低k介电材料(参见,例如,http://www.dow.com/silk/feature.htm和先前结合的美国专利6,383,920);GX-3TM(Honeywell Electronic Materials的商标,在网站http://www.electronicmaterials.com/na/products_services/thin_films/dielectrics/low_k_dielectrics.html中进行了说明);多孔SiLKTM(TheDow Chemical Company的商标),GX-3pTM(Honeywell ElectronicMaterials的商标);含有Si、C、O和H的JSR LKD 5109TM旋涂低k介电材料(JSR Micro Corp.的商标);多孔旋涂的SiwCxOyHz材料,旋涂介电材料,低k旋涂介电材料或多孔低k旋涂介电材料。
衬里层面电介质层可以由SiLKTM、GX-3TM、多孔SiLKTM、GX-3pTM或者其它非多孔或者多孔有机低k介电材料组成。
这类材料在以下文献中进行了描述:美国专利5,965,679(该专利指定给The Dow Chemical Company,由James P.Godschalx等发明,标题为“Polyphenylene Oligomers and Polymers”)和国际专利申请WO 00/31183(该专利指定给The Dow Chemical Company,由Kenneth J.Bruza等发明,标题为“A Composition Containing aCross-linkable Matrix Precursor and a Porogen,and a Porous MatrixPrepared Therefrom”)中,其全部内容以引用方式结合在本文中,就象在本文中全部提出一样。
更好地,旋涂的低k CMP保护层与第一低k电介质层以共价键相结合。更好地,旋涂的低k CMP保护层由具有低CMP抛光速率的材料组成,这种材料可以在不发生擦伤或者产生其它缺陷的情况下直接进行抛光,而且其介电常数从大约2.2到大约3.5。
通常,旋涂的低k CMP保护层对于包含在CMP抛光浆中的化学物质是惰性的并且具有低的介电常数和低的CMP抛光速率。它具有体积百分比从大约5%到80%的分子水平的自由体积(free volume)或者分子水平的孔隙度。更好地,分子水平的自由体积具有从大约2到大约50的尺寸范围。
旋涂的低k CMP保护层机理上行为类似于海绵,它在抛光过程中应用向下的力的情况下提供阻尼性能。旋涂的低k CMP保护层具有细小且均匀分布的孔或者自由体积,而且该保护层由旋涂材料组成,例如,HOSPTM(Honeywell Electronic Materials的商标)、AP 6000TM(Dow Chemical的商标)、HOSP BEStTM(Honeywell ElectronicMaterials的商标)、EnsembleTM腐蚀停止材料(Dow Chemical的商标)、EnsembleTM硬掩模(Dow Chemical的商标)、有机倍半硅氧烷、氢倍半硅氧烷、氢-有机倍半硅氧烷共聚物、硅氧烷、倍半硅氧烷或者其它具有低介电常数(最好小于3.5而且更好是小于3.0)和在标准的衬里抛光过程中相对低的CMP抛光速率(最好小于350/min,更好是小于150/min)的材料。
这类材料说明如下:
(1)美国专利6,218,020,该专利指定给Allied Signal Inc.,由Nigel P.Hacker等发明,标题为“Dielectric Films fromOrganohydridosiloxane Resins with High Organic Content”;
(2)美国专利6,177,199,该专利指定给Allied Signal Inc.,由Nigel P.Hacker等发明,标题为“Dielectric Films fromOrganohydridosiloxane Resins with Low Organic Content”的;以及
(3)专利合作协定(PCT)公布编号为WO 02/083327 A1的标题为“Layered Stacks and Methods of Production Thereof”的国际(专利)申请,该专利指定给Honeywell International Inc.,由MichaelThomas等发明。
这些文件的全部内容以引用方式结合在本文中,就象在本文中全部提出一样。
有代表性地,CVD硬掩模/CMP抛光停止层是传统的CVD硬掩模/CMP抛光停止层,它可以由BLOkTM阻挡介电薄膜(AppliedMaterials,Inc.的商标)、氮化硅、碳化硅、SixCyNz沉积材料以及CVD沉积材料或其它具有低CMP抛光速率的含Si的PECVD沉积材料组成。
这类材料说明于专利合作协定(PCT)公布编号为WO 00/19508的标题为“Silicon Carbide Deposition Method and use as a BarrierLayer and Passivation Layer”的国际(专利)申请中,该专利指定给Applied Materials,Inc.,由Sudha Rathi等发明,其全部内容以引用方式结合在本文中,就象在本文中全部提出一样。
在一个具体实施方式中,互连结构可以包括多个图形化的金属导体,这些导体制作在衬底上的电介质层内。至少一个图形化的金属导体能够是衬里,而且,在双镶嵌型结构的情况下,至少一个图形化的金属导体能够是通路。
本发明也提供一种在衬底上制作电互连结构的方法,包括第一电介质层(或者包括内嵌腐蚀停止层的多层电介质叠层)的单镶嵌或双镶嵌厚度;在第一电介质层上制作旋涂的低k CMP保护层;以及烘焙低k CMP保护层加电介质层。然后,可以沉积至少包括一种CMP抛光停止层的传统的硬掩模叠层。
在更好的具体实施方式中,电介质层可以由SiLKTM、GX-3TM、多孔SiLKTM、GX-3pTM或其它非多孔或多孔的旋涂低k电介质材料组成,而且旋涂的低k CMP保护层可以由AP 6000TM、HOSPTM、HOSPBEStTM、EnsembleTM腐蚀停止材料、EnsembleTM硬掩模、有机倍半硅氧烷、氢倍半硅氧烷、氢-有机倍半硅氧烷共聚物、硅氧烷、倍半硅氧烷或者其它具有低介电常数(最好小于3.5而且更好是小于3.0)且在标准的衬里抛光过程中具有相对低的CMP抛光速率(最好小于350/min,更好是小于150/min)的材料组成。
在更好的具体实施方式中,第一低k电介质可以是多孔有机电介质,而且旋涂的低k CMP保护层可以是无机材料或者无机/有机混合材料。
互连结构中的第一低k电介质层可以是包含内嵌腐蚀停止层的电介质叠层。
更好地,第一低k电介质层具有从大约600到大约8000的厚度,而且旋涂的低k CMP保护层具有从大约50到大约500的厚度。
该结构使用对包含在CMP抛光浆中的化学物质是惰性的低kCMP保护层。机理上,其行为类似于海绵,它在抛光过程中应用向下的力的情况下提供阻尼性能。这种化学惰性和机械阻尼的结合分别减小了CMP过程中的化学侵蚀和机械磨损,从而导致了低的抛光速率。这种阻尼机理也有助于减轻跨过不同图形尺寸的局部压力变化,因此提供了附加的减小抛光速率的图形尺寸/密度依赖性的优点。
由于材料的分子水平的自由体积,这种海绵状或多孔状低k CMP保护层在材料内包含细小和均匀分布的孔。但是它仍然具有足够高的粘接和剪切强度以经受住由CMP施加的剪切应力。这种材料的海绵或多孔结构也产生了更低的介电常数(低k)。因此,由于在CMP之后剩余的层明显具有比如碳化硅层的传统硬掩模/CMP抛光停止层更低的介电常数,本发明的结构相对于传统低k有机电介质结构减小了有效介电常数。
而且,由于在旋涂的电介质层和旋涂的低k CMP保护层之间的共价键结合,该层可以选为与介电材料具有优异的粘接性能。
本发明的结构的独特之处在于,它使在不明显影响该结构有效介电常数的情况下使用比电介质更高k的CMP抛光停止层成为可能,这是因为更高k的CMP抛光停止层在抛光过程中能够被完全清除而只留下低k CMP保护层。
而且,在不影响该结构有效介电常数的情况下,CMP保护层或者CMP抛光停止层的厚度可以增加以解决CMP过程中的不均匀性。这允许有更多的“可制造的”CMP处理窗口,并防止CMP过程中对电介质的损坏,从而提高产量和可靠性。
在互连结构的更好具体实施方式中,衬底可以是半导体晶片,该晶片上具有粘附促进剂层。
在互连结构的更好具体实施方式中,该结构还包括衬底上的电介质层的叠层。叠层可能至少包括第一低k电介质层和旋涂的低k CMP保护层,而且叠层可能还包括制作在第一低k电介质层和旋涂低k的CMP保护层的叠层内的多个图形化的金属导体。
至少一个图形化的金属导体能够作为电通路,而且至少一个图形化的金属导体能够作为连接到通路的衬里。
在互连结构的另外一个更好的具体实施方式中,该结构还可包括制作在衬底上电介质层叠层内的单层图形化的金属导体。同样,图形化的金属导体能够作为衬里或者通路。
本发明还提供在衬底上制作电互连结构的方法,包括:
在沉积到衬底上的第一低k电介质或超低k电介质层上制作低kCMP保护层;和
在低k CMP保护层上制作硬掩模/CMP抛光停止层,结果CMP保护层与第一低k电介质或超低k电介质层以共价键相结合。
第一低k电介质或超低k电介质层、低k CMP保护层、硬掩模/CMP抛光停止层以及衬底与上文已经说明的一样。
该方法还可包括在衬底上的电介质单层或叠层内制作多个图形化的金属导体。可以增加额外的电介质层且可以通过增加导体完成该结构。
在一个具体实施方式中,该方法还可包括在第一电介质层内制作金属衬里或在电介质层内制作金属通路的步骤。
在另外一个具体实施方式中,该方法还可包括增加额外的电介质层和增加导体以完成电互连结构的步骤。
仍然是另外一个具体实施方式中,该方法还包括在衬底上制作电介质层叠层(至少包括第一电介质层和低k CMP保护层)和在电介质层内制作多个图形化的金属导体的步骤,
在另一个具体实施方式中,该方法还包括烘焙电介质层以促进CMP保护层与第一低k电介质层的交联结合以及降低CMP保护层的CMP抛光速率。在电介质层处于叠层中的情况下,它们可以在连续应用单个工具(例如,包含高温热板烘烤室的旋涂工具)之后进行烘焙。
更好地,第一电介质和CMP保护层是在炉子中在单一步骤中烘焙,有代表性地,温度从大约300℃到大约500℃,时间周期从大约15分钟到3小时。
该方法还可包括烘焙电介质单层或多层和CMP保护层。叠层中的旋涂层最好是在单一步骤中连续应用单个工具之后进行烘焙。电介质涂覆工具可以是包含高温热板烘焙室的旋涂工具,而且制作步骤可以是在从大约300℃到大约500℃的温度下操作大约15分钟到3小时的炉内制作步骤。
根据本发明的结构
参见图1,硅衬底1上具有第一低k电介质层3和硬掩模/CMP抛光停止层7。传统的硬掩模/CMP抛光停止层是在制作电介质之后采用PECVD沉积技术沉积的,而且具有等于或大于~3.5的介电常数。硬掩模/CMP抛光停止层厚度的增加可以允许更为可控的CMP处理以防止CMP浆对电介质的暴露,然而,这将负面地影响总有效介电常数。
参见图2和图3,以及如下文中的更详细的说明,与更低总有效介电常数相结合的改善的可靠性是通过在硬掩模/CMP抛光停止层与电介质之间整合旋涂的低k CMP保护层5而得以实现的。在允许完全去除更高k的硬掩模/CMP抛光停止层的同时,保护层的厚度可以调节以防止电介质对CMP浆的任何暴露。这样在保持低有效介电常数时防止对电介质的破坏。
参见图2和图3,衬底1可以包含电子元器件,例如,晶体管和导体元件阵列。根据本发明,互连结构9制作在衬底1上。结构9由SiLKTM电介质层3组成,电介质层3具有600-8000的厚度,可以具有高芳香族结构,到大约425℃是热稳定的,具有超过450℃的玻璃转变温度,以及2.65的低介电常数。
将厚度为50-500(更好地,50-200)且具有使CMP抛光速率小于~200/min的原子组成的HOSPTM CMP保护层5沉积到SiLKTM层3上。这种材料具有与SiLKTM的优异的粘合性和到温度超过425℃的热稳定性,以及3.2或更低的低介电常数。
硬掩模/CMP抛光停止层7 SiC被沉积在CMP保护层5上,硬掩模/CMP抛光停止层7具有300-1000的厚度而且是CMP抛光速率小于~300/min且介电常数~4.1的含硅的PECVD沉积材料。
采用双镶嵌处理制作的图形化的金属衬里11和通路13,形成于上文说明的电介质多层结构内。
其它低k旋涂材料可以被用于电介质层3和CMP保护层5,而且其它PECVD沉积材料可以用于硬掩模/CMP抛光停止层7。可以被用于电介质层3的材料的例子是SiLKTM、GX-3TM、GX-3pTM、JSR LKD5109TM、多孔旋涂或CVD沉积基础上的SiwCxOyHz或其它低k或多孔低k介电材料。可以被用于抛光停止层7的其它材料的例子是AP6000TM、HOSP BEStTM、EnsembleTM腐蚀停止材料、EnsembleTM硬掩模、有机倍半硅氧烷、氢倍半硅氧烷、氢-有机倍半硅氧烷共聚物、硅氧烷、倍半硅氧烷或者其它具有低介电常数低CMP抛光速率的旋涂材料。
根据本发明的方法
A.电介质层叠层
根据本发明的互连结构9通过旋涂技术涂覆到衬底1或晶片上。结构3中的第一层3最好是所需厚度为600-8000的低k电介质。这种低k电介质是采用旋转速度为1000-4000rpm的旋涂技术进行涂覆的。旋涂以后,衬底1经过100-350℃热板烘烤30-120秒以去除低k电介质的溶剂。然后将衬底1放置到氧受控制的热板上并在350-400℃烘焙1-7分钟。这个时间和温度足够使第一层3的薄膜不发生溶解。冷却之后,采用旋转速度1000-4000rpm的旋涂技术涂覆50-500所需厚度的旋涂CMP保护层5。然后将晶片放置到氧受控制的热板上并在150-350℃烘焙30-120秒以使溶剂干燥。
B.在单一烘焙步骤中烘焙的电介质层叠层
这点,晶片被放置到纯N2气氛(O2和H2O的浓度非常低)的炉子中,并在350-450℃烘焙1-3小时以交联电介质和CMP保护层。在烘焙步骤中,CMP保护层还与电介质的表面进行化学键合,结果导致两层间具有优异的附着力。如果电介质是多孔材料,在烘焙的过程中将采用热分解和扩散通过低k CMP保护层的自由体积从而去除成孔物质(porogen)。
C.添加用于双镶嵌型图形(分布式硬掩模)的附加电介质层
如上文中注释的一样,在增加附加层的时,可以使用例如美国专利6,383,920中描述的双镶嵌工艺。对于单镶嵌处理可以增加类似的层。
D.采用标准工艺步骤完成的图3的双镶嵌结构
双镶嵌结构由标准双镶嵌BEOL加工完成,该加工过程包括在电介质中制作沟槽和通路,至少用一种导电金属填充沟槽;平整停止于硬掩模/CMP抛光停止层上的导电金属,并且在非均匀CMP的一些区域中,平整将停止在旋涂低k CMP保护层上。也可以应用单镶嵌加工。
实例
一种制作的SiLKTM/HOSP BEStTM/BLOkTM结构
A.如图2制作的电介质层的叠层
表I-工艺流程图
    步骤            条件
    旋涂         粘附促进剂
  热板烘烤         310℃/90秒
    旋涂      第一ILD层(SiLK)
  热板烘烤        310℃/2min.
    旋涂    CMP保护层(HOSP BESt)
  热板烘烤         310℃/2min
    烘焙     炉子-415℃/60min.
  CVD沉积           碳化硅
参见上文表I和图2,通过将AP 6000TM溶液涂覆到晶片上并随后以3000rpm转速自旋30秒的方法,使用粘附促进剂对直径为200mm的硅晶片进行处理。在22,然后将晶片放置到310℃的热板上保持120秒以进行第一次热板烘烤。
晶片冷却到室温之后,涂覆第一层低k电介质(SiLKTM)(图2,层3)。将SiLKTM溶液放到晶片上并以3000rpm的转速下旋转晶片30秒。旋转之后,将晶片放置到310℃的热板上保持2分钟以部分干燥溶剂。然后转移到400℃的热板上保持3分钟。时间和温度计划应足以使薄膜不发生溶解。
然后允许晶片冷却且返回到旋转器上。被稀释用以在2000rpm的转速下获得100薄膜厚度的HOSP BEStTM溶液,被涂覆到晶片上并以2000rpm的转速旋转晶片30秒,以制作CMP保护层5(图2)。旋涂之后,将晶片放置到310℃热板保持2分钟以部分干燥溶剂。
将晶片放置到氧受控的烤箱内,在415℃保持60分钟以烘焙SiLK和CMP保护层、促进层间的交联以及改善CMP保护层的力学性能以减小该层的CMP抛光去除速率。
将经烘焙的包括上述各层的晶片放置到PECVD反应器中,并沉积一层500的碳化硅。这样完成了该实例的电介质多层的制作。
D.已完成的图2所示的双镶嵌结构
然后进行附加图形化层的沉积、光刻以及腐蚀处理,例如,与美国专利6,383,920中所说明的一样。然后使用工业中已知的标准加工方法(先使用衬里然后使用Cu填充沟槽和通路,采用CMP平整Cu)完成双镶嵌结构的制作。
在最后的CMP步骤中,大部分沉积的碳化硅层被去除,留下图2中所示的结构。
作为选择,可以将全部碳化硅层去除而留下图3所示的结构。
尽管我们已经展示和说明了根据我们的发明的几个实施方式,但应该清楚地理解,同样是容易进行大量的改变,这对于该技术的技术人员是显而易见的。因此,我们不希望仅仅限制到的展示或的说明,而是考虑权利要求范围内的全部改变和修正。

Claims (50)

1、一种衬底上的电互连接构,包括:
第一低k或超低k电介质层;
在所述第一低k电介质层上沉积的低kCMP保护层;以及
CVD硬掩模/CMP抛光停止层。
2、权利要求1的电互连结构,其中所述的第一低k电介质层是第一旋涂低k电介质层。
3、权利要求1的电互连结构,其中所述的第一低k电介质层由有机电介质材料组成。
4、权利要求2的电互连结构,其中所述的旋涂低k电介质层从下列物质构成的组中选择:SiLKTM、GX-3TM、多孔SiLKTM、GX-3pTM、JSR LKD 5109TM、多孔旋涂SiwCxOyHz材料、旋涂电介质材料、低k旋涂电介质材料以及多孔低k自旋电介质材料。
5、权利要求1的电互连结构,其中所述的低kCMP保护层是旋涂的低kCMP保护层。
6、权利要求1的电互连结构,其中所述的低kCMP保护层与所述第一低k电介质层进行共价键结合。
7、权利要求5的电互连结构,其中所述的旋涂低kCMP保护层由可以在不发生擦伤或者产生其它缺陷的情况下直接进行抛光的低CMP抛光速率材料组成。
8、权利要求5的电互连结构,其中所述的旋涂低kCMP保护层具有从大约2.2到大约3.5的介电常数。
9、权利要求5的电互连结构,其中所述的旋涂低kCMP保护层对于包含在CMP抛光浆中的化学物质是惰性的。
10、权利要求5的电互连结构,其中所述的低kCMP保护层具有分子水平的自由体积或分子水平的孔隙度。
11、权利要求10的电互连结构,其中所述的分子水平自由体积具有从大约2到大约50范围的尺寸。
12、权利要求10的电互连结构,其中所述的分子水平的孔隙度具有从大约5%到大约80%的体积百分数。
13、权利要求5的电互连结构,其中所述的旋涂低kCMP保护层机理上行为类似于海绵,它在抛光过程中应用向下的力的情况下提供阻尼性能。
14、权利要求5的电互连结构,其中所述的旋涂低kCMP保护层具有细小且均匀分布的孔。
15、权利要求5的电互连结构,其中所述低kCMP保护层由旋涂材料组成,该旋涂材料从下列物质构成的组中选择:HOSPTM、AP6000TM、HOSP BEStTM、EnsembleTM腐蚀停止材料、EnsembleTM硬掩模、有机倍半硅氧烷、氢倍半硅氧烷、氢-有机倍半硅氧烷共聚物、硅氧烷和倍半硅氧烷。
16、权利要求15的电互连结构,其中所述的旋涂材料具有低的介电常数和低的CMP抛光速率。
17、权利要求1的电互连结构,其中所述的CVD硬掩模/CMP抛光停止层是传统的CVD硬掩模/CMP抛光停止层。
18、权利要求17的电互连结构,其中所述的硬掩模/CMP抛光停止层由BLOkTM、氮化硅、碳化硅、SixCyNz和具有低CMP抛光速率的CVD沉积材料组成。
19、权利要求1的电互连结构,其中所述的第一低k电介质是有机电介质,而且所述的旋涂低kCMP保护层是无机材料或者无机/有机混合材料。
20、权利要求1的电互连结构,其中所述的第一低k电介质是多孔的。
21、权利要求1的电互连结构,其中所述的第一低k电介质是包括内嵌腐蚀停止层的电介质叠层。
22、权利要求1的电互连结构,其中所述的第一低k电介质层具有从大约600到大约8000的厚度。
23、权利要求1的电互连结构,其中所述的旋涂低kCMP保护层具有从大约50到大约500的厚度。
24、权利要求1的电互连结构,其中所述的衬底是上面制作有粘附促进剂层的半导体晶片。
25、权利要求1的电互连结构,还包括:
所述衬底上的电介质层的叠层,所述叠层至少包括所述的低k电介质层和所述的旋涂低kCMP保护层。
26、权利要求25的电互连结构,还包括:在所述第一低k电介质层和所述旋涂低kCMP保护层的所述叠层内制作的多个图形化的金属导体。
27、权利要求26的电互连结构,其中至少一个所述的图形化的金属导体是电通路。
28、权利要求27的电互连结构,其中至少一个所述的图形化的金属导体是连接到所述通路的衬里。
29、权利要求25的电互连结构,还包括:
在所述衬底上的电介质叠层内制作的单层图形化的金属导体。
30、权利要求29的电互连结构,其中所述的图形化的金属导体是衬里。
31、权利要求29的电互连结构,其中所述的图形化的金属导体是通路。
32、一种在衬底上制作电互连结构的方法,包括:
在沉积到衬底上的第一低k电介质或超低k电介质层上制作低kCMP保护层,使得所述的CMP保护层与所述的第一低k电介质或所述的超低k电介质层以共价键相结合;和
在所述低kCMP保护层上制作硬掩模/CMP抛光停止层。
33、权利要求32的方法,其中所述的第一低k电介质层是第一旋涂低k电介质层。
34、权利要求33的方法,其中所述的旋涂低k电介质层从下列物质构成的组中选择:SiLKTM、GX-3TM、多孔SiLKTM、GX-3pTM、JSR LKD 5109TM、多孔旋涂的SiwCxOyHz材料、旋涂电介质材料、低k旋涂电介质材料以及多孔低k自旋电介质材料。
35、权利要求32的方法,其中所述的第一低k电介质层是多孔的。
36、权利要求32的方法,其中所述的第一低k电介质层具有从大约600到大约8000的厚度。
37、权利要求32的方法,其中所述的低kCMP保护层是厚度从大约50到大约500的旋涂低kCMP保护层。
38、权利要求32的方法,其中所述的CMP保护层由旋涂材料组成,该旋涂材料从下列物质构成的组中选择:HOSPTM、AP 6000TM、HOSP BEStTM、EnsembleTM腐蚀停止材料、EnsembleTM硬掩模、有机倍半硅氧烷、氢倍半硅氧烷、氢-有机倍半硅氧烷共聚物、硅氧烷和倍半硅氧烷。
39、权利要求32的方法,还包括:
在所述第一电介质层内制作金属衬里。
40、权利要求32的方法,还包括:
在所述电介质层内制作金属通路。
41、权利要求32的方法,还包括:
增加附加的电介质层;和
增加导体以完成所述电互连结构。
42、权利要求41的方法,还包括:
在所述衬底上制作电介质层的叠层,该叠层至少包括所述的第一电介质层和所述的低kCMP保护层;和
在所述电介质层内制作多个图形化的金属导体。
43、权利要求42的方法,还包括:
烘焙所述电介质层以促进所述CMP保护层交联到所述的第一低k电介质层并减小所述的CMP保护层的CMP抛光速率。
44、权利要求43的方法,其中所述第一电介质和所述CMP保护层在单一步骤中烘焙。
45、权利要求44的方法,其中所述的第一电介质和所述的CMP保护层在炉子中进行烘焙,烘焙时间在从大约15分钟到大约3小时的时间段内、烘焙温度从大约300℃到大约500℃。
46、权利要求43的方法,其中所述叠层内的所述电介质层在相继在单一工具中涂覆之后进行烘焙。
47、权利要求46的方法,其中所述的涂覆工具是包含高温热板烘烤室的旋涂工具。
48、权利要求32的方法,其中所述的第一低k电介质层是旋涂的第一低k电介质层,而且所述低kCMP保护层是旋涂低kCMP保护层。
49、权利要求48的方法,其中所述的旋涂低kCMP保护层具有细小且均匀分布的孔。
50、权利要求32的方法,其中所述的CVD硬掩模/CMP抛光停止层是传统的CVD硬掩模/CMP抛光停止层。
CNB2004100549212A 2003-07-28 2004-07-21 衬底上的电互连结构及其制作方法 Expired - Fee Related CN1309074C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/628,925 US7071539B2 (en) 2003-07-28 2003-07-28 Chemical planarization performance for copper/low-k interconnect structures
US10/628,925 2003-07-28

Publications (2)

Publication Number Publication Date
CN1577830A true CN1577830A (zh) 2005-02-09
CN1309074C CN1309074C (zh) 2007-04-04

Family

ID=34103488

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100549212A Expired - Fee Related CN1309074C (zh) 2003-07-28 2004-07-21 衬底上的电互连结构及其制作方法

Country Status (5)

Country Link
US (2) US7071539B2 (zh)
JP (1) JP4709506B2 (zh)
KR (1) KR100612064B1 (zh)
CN (1) CN1309074C (zh)
TW (1) TWI339873B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101569010B (zh) * 2007-09-21 2011-04-27 卡西欧计算机株式会社 具有低介电性绝缘膜的半导体器件及其制造方法
CN102646666A (zh) * 2011-02-16 2012-08-22 台湾积体电路制造股份有限公司 作为化学-机械抛光停止层的介电保护层

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US6992003B2 (en) * 2003-09-11 2006-01-31 Freescale Semiconductor, Inc. Integration of ultra low K dielectric in a semiconductor fabrication process
US7282148B2 (en) * 2003-10-30 2007-10-16 International Business Machines Corporation Porous silicon composite structure as large filtration array
US7695897B2 (en) * 2006-05-08 2010-04-13 International Business Machines Corporation Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
TWI419268B (zh) * 2007-09-21 2013-12-11 Teramikros Inc 半導體裝置及其製造方法
US8587124B2 (en) 2007-09-21 2013-11-19 Teramikros, Inc. Semiconductor device having low dielectric insulating film and manufacturing method of the same
JP2009117743A (ja) * 2007-11-09 2009-05-28 Panasonic Corp 半導体装置及びその製造方法
JP4666028B2 (ja) * 2008-03-31 2011-04-06 カシオ計算機株式会社 半導体装置
US20100176513A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法
US8617986B2 (en) 2009-11-09 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the integrated circuits
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
CN102810508B (zh) * 2012-08-16 2015-01-07 上海华力微电子有限公司 改善刻蚀形貌并提升可靠性的铜互连制备方法
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US8980740B2 (en) 2013-03-06 2015-03-17 Globalfoundries Inc. Barrier layer conformality in copper interconnects
JP6302644B2 (ja) * 2013-11-11 2018-03-28 株式会社ディスコ ウェーハの加工方法
KR102165266B1 (ko) 2014-04-03 2020-10-13 삼성전자 주식회사 반도체 소자 및 반도체 패키지
CN107758607A (zh) * 2017-09-29 2018-03-06 湖南大学 一种高深宽比高保形纳米级正型结构的制备方法
US10573808B1 (en) * 2018-08-21 2020-02-25 International Business Machines Corporation Phase change memory with a dielectric bi-layer
CN109768121A (zh) * 2018-12-29 2019-05-17 浙江师范大学 用氧化钨对单晶硅表面进行钝化的方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6218020B1 (en) 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US6177199B1 (en) 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
US6265780B1 (en) * 1998-12-01 2001-07-24 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
IL143207A0 (en) 1998-11-24 2002-04-21 Dow Chemical Co A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6048796A (en) * 1998-12-15 2000-04-11 United Microelectronics Corp. Method of manufacturing multilevel metal interconnect
JP2000183051A (ja) * 1998-12-18 2000-06-30 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP4207303B2 (ja) * 1999-04-07 2009-01-14 ソニー株式会社 半導体装置およびその製造方法
US6207554B1 (en) * 1999-07-12 2001-03-27 Chartered Semiconductor Manufacturing Ltd. Gap filling process in integrated circuits using low dielectric constant materials
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
US6383920B1 (en) 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6710450B2 (en) * 2001-02-28 2004-03-23 International Business Machines Corporation Interconnect structure with precise conductor resistance and method to form same
US6537908B2 (en) * 2001-02-28 2003-03-25 International Business Machines Corporation Method for dual-damascence patterning of low-k interconnects using spin-on distributed hardmask
EP1379340A1 (en) 2001-04-16 2004-01-14 Honeywell International, Inc. Layered stacks and methods of production thereof
JP2003037162A (ja) * 2001-07-23 2003-02-07 Tokyo Electron Ltd 半導体装置の製造方法
AU2002361679A1 (en) * 2001-12-13 2003-07-09 International Business Machines Corporation Porous low-k dielectric interconnect structures
JP3648480B2 (ja) * 2001-12-26 2005-05-18 株式会社東芝 半導体装置およびその製造方法
US6660627B2 (en) * 2002-03-25 2003-12-09 United Microelectronics Corp. Method for planarization of wafers with high selectivities
JP4493278B2 (ja) * 2003-02-20 2010-06-30 富士通株式会社 多孔性樹脂絶縁膜、電子装置及びそれらの製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101569010B (zh) * 2007-09-21 2011-04-27 卡西欧计算机株式会社 具有低介电性绝缘膜的半导体器件及其制造方法
CN102646666A (zh) * 2011-02-16 2012-08-22 台湾积体电路制造股份有限公司 作为化学-机械抛光停止层的介电保护层

Also Published As

Publication number Publication date
TWI339873B (en) 2011-04-01
JP4709506B2 (ja) 2011-06-22
JP2005051214A (ja) 2005-02-24
US7071539B2 (en) 2006-07-04
KR20050013492A (ko) 2005-02-04
US20050023689A1 (en) 2005-02-03
US7407879B2 (en) 2008-08-05
KR100612064B1 (ko) 2006-08-14
US20060166012A1 (en) 2006-07-27
CN1309074C (zh) 2007-04-04
TW200515534A (en) 2005-05-01

Similar Documents

Publication Publication Date Title
CN1309074C (zh) 衬底上的电互连结构及其制作方法
CN1788347A (zh) 多孔低k介质互连结构
CN1211842C (zh) 从聚碳硅烷形成的低介电常数聚有机硅涂料
CN1591858A (zh) 超低介电常数多孔材料的双重镶嵌集成
US6841256B2 (en) Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
CN101689412A (zh) 绝缘膜材料、多层布线基板及其制造方法和半导体装置及其制造方法
CN1838382A (zh) 制造半导体器件的方法
CN1278415C (zh) 具有多个布线层的半导体器件及其制造方法
CN1477705A (zh) 包含应力调节覆盖层的互连结构及其制造方法
CN1595634A (zh) 具有改进的SiCOH介质的界面强度的结构及其制备方法
CN1728374A (zh) 以能量耗散层改进低介电常数电介质器件的稳定性
CN1261985C (zh) 半导体器件及其制造方法
KR100743440B1 (ko) 노광광 차폐막 형성용 재료, 다층 배선 및 이의 제조 방법,및 반도체 장치
CN1619809A (zh) 具有纳米管状孔隙的工程绝缘材料的互连结构
CN1524291A (zh) 金属离子扩散阻挡层
US8330276B2 (en) Semiconductor device and method for manufacturing the same
JP4223012B2 (ja) 絶縁膜の形成方法、多層構造の形成方法および半導体装置の製造方法
CN1503704A (zh) 分层堆栈及其生产方法
JP4493278B2 (ja) 多孔性樹脂絶縁膜、電子装置及びそれらの製造方法
CN1194393C (zh) 半导体器件制造工艺
US20020076543A1 (en) Layered dielectric nanoporous materials and methods of producing same
JP4437922B2 (ja) 基板上の電気的相互接続構造およびその形成方法
JP2004260076A (ja) 被膜形成用塗布液、絶縁膜及びその製造方法ならびに半導体装置
JP2004165658A (ja) 表面の細孔形成剤の部分燃焼によって生成される接着性を改善したポーラス低誘電率誘電体の相互接続
JPWO2009008212A1 (ja) 絶縁膜材料、多層配線基板及びその製造方法、並びに、半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171127

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171127

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070404

CF01 Termination of patent right due to non-payment of annual fee