CN1609259A - 清洁和刷新具有金属涂层的室部件 - Google Patents

清洁和刷新具有金属涂层的室部件 Download PDF

Info

Publication number
CN1609259A
CN1609259A CNA2004100865347A CN200410086534A CN1609259A CN 1609259 A CN1609259 A CN 1609259A CN A2004100865347 A CNA2004100865347 A CN A2004100865347A CN 200410086534 A CN200410086534 A CN 200410086534A CN 1609259 A CN1609259 A CN 1609259A
Authority
CN
China
Prior art keywords
microballon
parts
microns
coating
sandblast
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100865347A
Other languages
English (en)
Inventor
林一莘
徐大江
克利福德·斯托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1609259A publication Critical patent/CN1609259A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Abstract

本发明公开了一种清洁和刷新处理室的部件以从部件表面去除金属间化合物的方法。所述部件包括具有涂层的结构,所述涂层包含金属间化合物上方的第一金属层。为了刷新部件,第一金属层被去除以形成暴露表面,所述暴露表面至少部分地包含金属间化合物。在渗透微珠喷砂步骤中,通过利用被加压到低于约310kPa(45psi)的压力的气体朝向暴露表面推进微珠直径小于约180微米的喷砂微珠,对暴露表面进行微珠喷砂处理,由此从所述结构的暴露表面去除金属间化合物,以形成经清洁的表面。然后在经清洁的表面上方形成第二金属层。

Description

清洁和刷新具有金属涂层的室部件
技术领域
本发明的实施例涉及清洁和刷新处理室部件的方法。
背景技术
衬底处理室被用于以激发的处理气体处理衬底,以制造诸如集成电路芯片和显示器的电子电路。通常,处理室包含:包围处理区域的围壁;激发气体的气体激发器以及排放和控制气体压力的排放系统,其中,所述处理气体被引入所述处理区域中。诸如室壁、衬垫和沉积环之类的室部件容易被用来处理衬底的激发气体腐蚀,尤其是当处理气体包含卤素时。通过在部件上形成诸如经双丝电弧喷镀的铝涂层之类的防腐蚀涂层,可以提高防腐蚀性。涂层也可以具有经纹理化的表面,而处理残留物粘附到所述表面上,由此防止了累积的处理残留物剥落并污染正在室中处理的衬底。
这样的经涂层的部件常常需要经常清洁和刷新,以保持其性能。例如,当这样的室部件被用于PVD工艺以将沉积材料从靶溅射到衬底上时,溅射材料也会累积在部件的表面上。累积的处理沉积物产生热膨胀应力,所述热膨胀应力导致剥离、裂缝和涂层从下方结构剥落。室中的等离子体可以穿透涂层的损伤区域,侵蚀下方结构的暴露表面,最终导致部件的失效。因此,在处理了多个衬底后,通常要进行刷新处理以清洁和刷新经涂层的部件。刷新处理可以包括去除已经累积在涂层表面上的诸如溅射材料的处理沉积物以及用防腐蚀材料对部件进行重新涂层。刷新处理减少在处理衬底期间涂层从部件散裂和剥落的发生,由此减小了在室中被处理的衬底的污染。
在一个传统的刷新工艺中,利用酸和碱清洁溶液清洁金属部件,以去除累积在涂层上的处理残留物,并且也从部件溶解和去除金属涂层,如例如在Wang等人的2002年11月25日递交并共同转让给应用材料公司(Applied Materials)的美国专利申请No.10/304,535所述的,其中所述美国申请通过引用其全文被包括在本申请中。然后,部件的表面在较苛刻和剧烈的微珠喷砂工艺中被喷砂处理,其中所述微珠喷砂工艺再纹理化(retexture)部件的表面,以提供理想的表面粗糙度,并且由此提高随后涂覆的涂层的粘附力。较苛刻的微珠喷砂步骤使用具有至少约600微米的尺寸的较大微珠粒子和至少约483kPa(70psi)的高微珠喷砂压力,以再纹理化表面并提供具有至少约6.35微米(250微英寸)的平均表面粗糙度的表面。在微珠喷砂之后,涂层例如通过双丝电弧喷镀工艺被重新涂覆到部件上。
但是,上述工艺的问题是其通常不能充分地去除过量的金属间化合物,所述金属间化合物可以在金属涂层和下方的金属部件之间的界面上生长,并被认为是由处理室中的部件的热循环引起的。金属间化合物弱化了涂层和部件之间的结合,并且可以导致涂层从部件散裂,这可能减小部件零件寿命以及导致散裂的涂层材料污染衬底。当使用高于约300℃的处理室温度并处理大量的衬底而不引入部件刷新步骤时,可以累积大量的这样的金属间化合物。
因此,人们希望获得一种刷新和清洁经涂层的部件的工艺,以提供具有提高的防腐蚀性的部件和更长的部件使用寿命。具体地,人们希望获得一种基本完全从部件去除金属间化合物的刷新和清洁经涂层的部件的工艺,以在涂层和下方的部件之间提供改善的结合。
发明内容
清洁和刷新处理室的部件,以从部件表面去除金属间化合物。所述部件包括具有涂层的结构,所述涂层包含金属间化合物上方的第一金属层。为了刷新部件,第一金属层被去除以形成暴露表面,所述暴露表面至少部分地包含金属间化合物。通过例如将所述第一金属层的表面浸入清洁溶液中可以去除第一金属层,其中所述清洁溶液例如是至少部分溶解所述金属层的酸性或者碱性溶液。在渗透微珠喷砂步骤中,通过利用被加压到低于约310kPa(45psi)的压力的气体朝向暴露表面推进微珠直径小于约180微米的喷砂微珠,对暴露表面进行微珠喷砂处理,由此从所述结构的暴露表面去除金属间化合物,以形成经清洁的表面。然后例如通过双丝电弧热喷镀法,在经清洁的表面上方形成第二金属层。
还可以通过进行纹理化微珠喷砂步骤,对所述经清洁的表面进行纹理化以提供预定的表面粗糙度,其中所述纹理化微珠喷砂步骤在第二金属层被形成在所述表面上方之前进行。纹理化微珠喷砂步骤包括利用被加压到至少约276kPa(40psi)的压力的气体朝向所述表面推进微珠直径大于约400微米的喷砂微珠,由此形成平均表面粗糙度从约3.81微米(150微英寸)到约8.89微米(350微英寸)的纹理化表面。
附图说明
参考下面的示出了发明的示例的说明、所附权利要求和附图,将更好地理解本发明的这些特征、方面和优点。但是,应该理解每一个特征一般都可以在发明中使用,而不仅仅是在具体附图的环境中,本发明包括这些特征的任何组合,其中:
图1A是部件的实施例的示意性侧视图,其中所述部件具有上覆的涂层并具有涂层和部件下方结构之间的金属间化合物;
图1B是图1A的部件在将涂层浸入清洁溶液以去除涂层之后的示意性侧视图;
图1C是图1B的部件在进行渗透微珠喷砂步骤以从部件去除金属间化合物之后的示意性侧视图;
图1D是图1C的部件在进行纹理化微珠喷砂步骤以使部件的表面粗糙之后的示意性侧视图;
图1E是图1D的部件在重新将涂层涂覆到部件之后的示意性侧视图;
图2是示出了部件刷新工艺的实施例的流程图;以及
图3是具有一个或者多个经涂层的部件的处理室的实施例的截面侧视图。
具体实施方式
本工艺适用于清洁和刷新如例如在图1A中所示的具有涂层302的部件300。该工艺可以被用于清洁和刷新室106中易于受侵蚀的一个或者多个部件300,所述部件300例如是在室106中提供处理气体的气体输送系统112、在室106中支撑衬底104的衬底支撑114、激发处理气体的气体激发器116、室围壁118和护罩120、以及从室106排放气体的气体排放装置122中的一个或者多个的某些部分,所有这些示例性实施例被示出在图3中。例如,在物理气相沉积室106中,经涂层的部件300可以包括室围壁118、室护罩120、靶124、覆盖环126、沉积环128、支撑环130、绝缘体环132、线圈135、线圈支撑137、挡板盘133、夹持护罩141和衬底支撑114的表面134。
室部件300包含具有上覆涂层302的下方结构304,所述上覆涂层302覆盖结构304的至少一部分,如图1A所示。下方结构304包含耐受来自激发气体的侵蚀的金属材料,所述激发气体例如是在衬底处理环境中形成的激发气体。例如,结构304可以包含铝、钛、不锈钢、铜和钽中的至少一种。结构304的上表面306接触涂层302,并具有改善上覆涂层302到结构304的粘附力的表面粗糙度。例如,上表面306可以具有至少约2.0微米(80微英寸)的表面粗糙度。涂层302也包含在激发气体中耐侵蚀的金属材料,例如铝、钛、铜和铬中的至少一种。涂层302还可以包含经纹理化的暴露表面308,以使在衬底104的处理中所产生的处理残留物粘附到涂层302的表面308上。
部件300在处理一个或者多个衬底104之后被清洁和刷新,以从部件300去除处理残留物并且清洁结构304的上表面306,提供具有下述特性的表面,所述特性允许改善下方结构304和涂层302之间的结合。例如,可以清洁结构304的上表面306,以从结构304的表面306去除化合物和微粒,所述化合物或者微粒例如是在涂层302和结构304之间生长的金属间化合物310,如在图1A中所示的。表面306还可以通过使表面306粗糙而被纹理化,以在涂层302和结构304之间提供更好的粘附。
用于处理室部件300的清洁和刷新工艺的实施例的示例被示出在图2的流程图中。该方法的实施例通常包括:从下方结构304去除第一金属层302a以暴露具有金属间化合物310的表面306;进行渗透微珠喷砂步骤以去除金属间化合物310;进行纹理化微珠喷砂步骤以使表面306粗糙到预定的平均表面粗糙度;以及在表面上形成第二金属层302b。
在一个方案中,涂层302包括第一金属层302a,其中,通过将涂层302的表面308浸入例如酸性或者碱性清洁溶液的清洁溶液中,至少部分地从结构304去除第一金属层302a。合适的酸性清洁溶液可以包含HF、HNO3、HCl、H3PO4和H2SO4中的至少一种。合适的碱性清洁溶液可以包含KOH、NH4OH、NaOH和K2CO3中的至少一种。还可以使清洁溶液适用从部件300去除积累的处理残留物。在一个方案中,表面308被浸入多于一种的清洁溶液中,以提供对于涂层302和处理残留物两者的所期望的去除。例如,涂层302的表面308可以被浸入酸性清洁溶液中,所述酸性清洁溶液包含从约2M到约8M的HF(例如约5M的HF)和从约2M到约15M的HNO3(例如约12M的HNO3)。然后将表面308浸入碱性清洁溶液中,所述碱性清洁溶液包含从约1M到约8M的KOH(例如约3M的KOH)。图1A示出了具有涂层302的待刷新的部件300,而图1B示出了部件300,其中涂层302通过作为刷新工艺的一部分的侵入清洁溶液中的操作,已经被从所述部件300去除。
一旦涂层302被去除,进行清洁步骤以去除金属间化合物310,其中所述金属间化合物310生长在位于下方结构304和涂层302之间的界面处的结构表面306上。如例如在图1A和图1B中示出的,这些金属间化合物可以包含来自涂层302和结构304的金属物,所述金属物在涂层302和结构304之间形成金属化合物的无序的团聚物。人们认为金属间化合物310是由于在室工作期间的经涂层部件300的热循环形成的,其中所述热循环导致涂层302和下方结构304的结晶结构的破坏,并且导致结晶结构被破坏的金属物迁移到界面。金属间化合物310可以包含来自涂层302和下方结构304的凝聚材料的组合,例如FeAl、Fe3Al和NiAl化合物,并且金属间化合物310也可以在结构304的表面306上形成化合物层。在涂层302和结构304之间金属间化合物310的形成减小了表面306和涂层302之间的接触面积,因此减小了涂层302到结构表面306的粘附。
已经发现一种从暴露表面306去除金属间化合物310的改进工艺,所述工艺包括进行渗透微珠喷砂步骤。在微珠喷砂工艺中,加压气体将固体喷砂微珠312朝向下方结构304的表面306推进。通过选择微珠喷砂条件以渗透表面中的裂纹和裂缝311来进行渗透微珠喷砂,以去除金属间化合物。例如,可以选择具有更小微珠直径的喷砂微珠312,所述更小微珠直径的喷砂微珠能够更好地渗透窄的裂纹和裂缝311,以提供更好的总体的金属间化合物的去除。微珠直径可以是基本上为球形的微珠的直径,并且也可以是不够完美球形的微珠的平均尺寸的量度,不够完美球形的微珠例如是包含椭球或者甚至立方形的微珠。在一个实施例中,将直径小于约180微米的喷砂微珠312朝向表面306推进,其中,小于约180微米的直径例如是从约80微米到约180微米,甚至是约100微米到约180微米,例如约150微米。例如,喷砂微珠可以基本由直径小于约180微米的微珠组成。该直径可以对应于具有至少约80的筛网尺寸,例如为从约80到约120,甚至是约100。也可以选择比裂缝311的平均宽度更小的微珠直径,以使微珠渗透到裂缝中。合适的微珠材料可以包括例如氧化铝、玻璃、硅石或者硬塑料。
渗透微珠喷砂工艺还使用较低压力的气体用于推进精细喷砂微珠312。在渗透微珠喷砂工艺中用于将微珠312朝向表面推进的气体的压力可以小于约310千帕(45磅/平方英寸),例如从约172kPa(25psi)到约310kPa(45psi),甚至为约241kPa(35psi)。适于提供渗透微珠喷砂工艺的其他微珠喷砂条件包括:微珠312相对于表面306的入射角度为从约35度到90度,例如从约35度到约55度,甚至是约45度;以及微珠312从微珠喷砂机到下方结构304的表面306行进的投射距离(standoffdistance)为从约10cm到约25cm,例如从约10cm到约15cm。
利用较细微珠和较低微珠喷砂压力的渗透微珠喷砂工艺提供了对于表面306上的金属间化合物310的优异的清洁性能,而不会损伤表面306的下方结构。由较温和的渗透微珠喷砂工艺提供的改善结果是意想不到的,因为人们先前相信从表面306更完全和更彻底去除金属间化合物310需要更剧烈的微珠喷砂工艺。如例如在图1C中示出的,较温和的微珠喷砂工艺提供基本不存在金属间化合物310的表面306,由此提高部件300的工作寿命,因为同一部件可以被更多次的清洁。
然后,进行随后的纹理化微珠喷砂步骤,以纹理化下方结构304的表面306来提高随后所涂敷的涂层302的粘附力。可以进行纹理化微珠喷砂工艺,以将表面恢复到所期望的表面粗糙度,所述表面粗糙度可能已经被减小了,例如被用于去除涂层302的化学清洁溶液减小了。纹理化微珠喷砂工艺理想地包含比渗透微珠喷砂工艺相对更剧烈的微珠喷砂工艺条件,其中喷砂微珠312具有更大的微珠直径,刻凿表面306的更大区域。该工艺还可以包含更高的气体压力,所述更高的气体压力以更大的力将微珠312向表面306推进,来提供预定的表面粗糙度。剧烈的纹理化步骤理想地提供至少约3.81微米(150微英寸)、甚至至少约4.32微米(170微英寸)的结构表面306的平均表面粗糙度,例如从约3.81微米(150微英寸)到约8.89微米(350微英寸)、以及甚至从约4.45微米(175微英寸)到约8.89微米(350微英寸)。在一个方案中,包含不锈钢的结构304的表面306被微珠喷砂到从约4.45微米(175微英寸)到约6.35微米(250微英寸)(例如约5.33微米(210微英寸))的平均粗糙度。在另一个方案中,包含钛的结构304的表面306被微珠喷砂到从约4.45微米(175微英寸)到约8.89微米(350微英寸)(例如约7.62微米(300微英寸))的平均粗糙度。在图1D中示出了在纹理化微珠喷砂工艺中被粗糙化的部件300的示例。
在合适的纹理化微珠喷砂步骤的示例中,将直径为至少约400微米(例如从约400微米到约1000微米,甚至约450微米)喷砂微珠312朝向表面306推进,以将表面306粗糙到预定的平均表面粗糙度。该微珠尺寸可以对应于小于约70的筛网尺寸,例如从约24到约70,甚至是约36。用于推进微珠312的空气的合适压力可以是至少约138kPa(20psi)的压力,例如从约138kPa(20psi)到约827kPa(120psi),甚至是至少约276kPa(40psi)的压力,例如从约276kPa(40psi)到约414kPa(60psi)(例如约310kPa(45psi))。空气压力也可以是比在渗透微珠喷砂步骤中使用的压力高至少约69kPa(10psi)。适合提供纹理化微珠喷砂工艺的其他微珠喷砂条件包括:微珠312相对于表面306的入射角度为从约45度到90度,甚至从约50度到约70度;以及微珠312从微珠喷砂机到下方结构304的表面306行进的投射距离为从约10cm到约25cm,例如从约10cm到约15cm。一旦金属间化合物已经被去除,优选在渗透微珠喷砂步骤后进行纹理化微珠喷砂步骤。但是,纹理化步骤也可以在渗透喷砂步骤之前进行,并且纹理化和渗透微珠喷砂步骤可以交替或者以其他次序反复进行。
在测量诸如平均粗糙度的表面306性能时,可以使用国际标准ANSI/ASME B.46.1-1995规定的适当的取样长度(cut-off length)和评价长度(evaluation length)。下面的表1示出了由此标准定义的平均粗糙度的值、适当的取样长度以及最小和典型评价长度之间的对应关系。
表1
  平均粗糙度   取样长度   最小评价长度   典型评价长度
  0到0.8微英寸(0到0.02微米)   0.003英寸(76.2微米)   0.016英寸(0.406毫米)   0.016英寸(0.406毫米)
  0.8到4微英寸(0.02到0.1微米)   0.010英寸(254微米)   0.050英寸(1.27毫米)   0.050英寸(1.27毫米)
  4到80微英寸(0.1到2.0微米)   0.030英寸(762微米)   0.160英寸(4.06毫米)   0.160英寸(4.06毫米)
  80到400微英寸(2.0到10.2微米)   0.100英寸(2.54毫米)   0.300英寸(7.62毫米)   0.500英寸(12.7毫米)
  400微英寸(10.2微米)及以上   0.300英寸(7.62毫米)   0.900英寸(22.9毫米)   1.600英寸(40.6毫米)
平均粗糙度可以通过表面光度仪(profilometer)或者扫描电镜测量,其中,所述表面光度仪将探针在表面306上方经过并且生成表面306上的凸凹的高度的波动轨迹,而所述扫描电镜利用从表面306反射的电子束来生成表面306的图像。
一旦下方结构304的表面306通过上述刷新工艺被清洁和纹理化,就在表面306的至少一部分上方形成包含第二金属层302b的涂层302。第二金属层302b可以包含与第一金属层302a相同的或者不同的材料,例如,第二金属层302b可以包含基本耐受衬底处理室中的侵蚀的一种或者多种金属,例如铝、钛、铜和铬中的至少一种。通过在涂层302和下方结构304之间提供较强结合的方法涂敷涂层302,以保护下方结构304。例如,可以通过一种或者多种化学或者物理沉积工艺或者通过例如双丝电弧喷镀法、等离子体电弧法或者含氧燃料火焰喷镀法之类的火焰溅镀或者热溅镀,来涂敷涂层302。在图1E中示出了具有包含第二金属层的涂层302的经刷新的部件300。
在一个方案中,如例如在2001年5月8日授予Lazarz等的美国专利No.6,227,435 B1和1997年12月9日授予Scruggs的美国专利No.5,695,825中所描述的,通过双丝电弧喷镀工艺将包含金属层302b的涂层302涂敷到经清洁的表面306,这两篇专利通过引用其全文被包含于此。在双丝电弧热喷镀工艺中,热喷镀器(没有示出)包含两个自耗电极,所述两个电极的形状和放置角度允许在其间形成电弧。例如,自耗电极可以包含由待涂层在表面上的金属形成的双丝,自耗电极成角度地彼此相对以允许在最近点附近形成放电。当在自耗电极上施加电压时,在自耗电极之间产生电弧放电,同时诸如空气、氮气或者氩气中的一种或者多种的载气在电极之间流动。电极之间的电弧放电使电极上的金属雾化(atomize)和至少部分液化,并且由电弧放电电极激发的载气从热喷镀器朝向下方结构304的表面306推进熔融粒子。熔融粒子撞击在下方结构304的表面306上,在所述表面306上,所述熔融粒子冷却并凝聚以形成保形的涂层302。当丝被用作自耗电极时,可以将丝连续地供给到热喷镀器中,以提供金属材料的连续输入。
选择在热喷镀过程中的操作参数,以适于调节涂层材料应用的特性,操作参数例如是涂层材料在其通过从热喷镀器到下方结构表面306的路程时的速度和涂层材料的温度。例如,可以选择气体流量、功率水平、粉末供给速率、载气流量、从热喷镀器到表面306的投射距离以及涂层材料相对于表面306的沉积角度,以改善涂层材料的涂敷和涂层302到下方结构表面306的随后的粘附。例如,自耗电极之间的电压可以被选择为从约10V到约50V,例如为约30V。此外,在自耗电极之间的电流可以被选择为从约100A到约1000A,例如为约200A。热喷镀器的功率水平通常在从约6到约80kW的范围,例如约为10kW。
还可以选择投射距离和沉积角度,以调节涂层材料在表面306上的沉积特性。例如,投射距离和沉积角度可以被调节以修改熔融涂层材料在撞击表面时溅射的图案,以形成例如“薄饼”和“薄片”图案。还可以调节透射距离和沉积角度,以修改在涂层材料撞击表面306时涂层材料的液滴尺寸、速度或者相。在一个实施例中,热喷镀器和表面之间的透射距离为约15cm,并且涂层材料到表面306上的沉积角度为约90度。
可以调节涂层材料的速度,以适当地将涂层材料沉积在表面306上。在一个实施例中,粉末化的涂层材料的速度是从约100到约300米/秒。而且,可以调整热喷镀器,使得在涂层材料撞击表面时涂层材料的温度为至少约熔融温度。熔点以上的温度可以产生高密度和高结合强度的涂层。例如,在放电周围被激发的载气的温度可以超过5000℃。但是,在放电周围被激发的载气的温度也可以被设定为足够低,使得涂层材料在与表面306撞击时保持一段时间的熔融。例如,合适的时间长度可以至少约数秒。
理想地选择热喷镀工艺参数,以提供具有期望结构和表面特性的涂层302,例如具有期望的涂层厚度、涂层表面粗糙度以及涂层的孔隙率,这些对提高经涂层的部件的性能有帮助。涂层302的厚度可以影响涂层302到下方结构304的粘附性和部件300的耐侵蚀性能。涂层302的合适的厚度可以例如从约152微米(0.006英寸)到约508微米(0.02英寸)。对于由铝涂层302覆盖的下方结构304,涂层302的合适厚度可以从约254微米(0.01英寸)到约508微米(0.02英寸),例如约304微米(0.012英寸),所述下方结构304例如为经涂层的不锈钢结构或者钛结构。还可以选择热喷镀工艺参数,以提供具有经纹理化表面308的涂层302,其中处理残留物可以粘附到纹理化表面308上。例如,涂层302可以具有纹理化的表面308,所述纹理化表面308具有从约25微米(1000微英寸)到约50.8微米(2000微英寸)的表面粗糙度。
还可以进行另外的清洁步骤,以清洁一个或者多个涂层302和下方结构表面306。例如,可以在微珠喷砂之后而在涂敷涂层302之前,通过进行超生波清洁步骤来清洁下方结构表面306,其中在所述超声波清洁步骤中,下方结构304被浸入包含去离子水的清洁浴(cleaning bath)中,并且声波被引入清洁浴中以轻微地搅动表面306。然后可以将表面306加热到至少100℃的温度,以干燥部件300并去除挥发性杂质。也可以在去离子水超声波清洁步骤中清洁涂层302的表面308。也可以提供加压的N2流来清洁涂层302或者下方结构304的表面。
已经根据所述工艺被清洁和刷新的部件300表现出在涂层302和下方结构304之间明显提高的结合力,和提高的部件寿命。例如,根据该工艺清洁和涂层的部件在沉积室106中提供了提高的性能,其中,在沉积室106中形成的溅射材料可以在部件300的暴露表面上累积到至少约100微米甚至到约300微米的厚度,而基本不会导致涂层302从部件300散裂。而且,根据本方法清洁和刷新的部件可以被用来处理至少约4个衬底104,而基本不会有涂层302的散裂。此外,改进的刷新工艺允许经涂层的部件300被刷新和再使用至少约15次而基本上不会出现部件300的失效。相比而言,没有充分去除金属间化合物310的传统刷新工艺仅仅允许部件300刷新和再使用5次。因此,本刷新工艺提供了一种部件300,其寿命是经传统方法刷新的部件300的寿命长度的至少2倍。
在图3中示出了具有根据本工艺被刷新的部件的合适处理室106的示例。室106可以是多室平台(没有示出)的一部分,所述多室平台具有由机械手机构连接的一组互连的室,其中所述机械手在室106之间传送衬底104。在示出的方案中,处理室106包括溅射沉积室,也被称为物理气相沉积室或PVD室,所述溅射沉积室能够将沉积材料溅射到衬底104上,所述沉积材料例如是钽、氮化钽、钛、氮化钛、铜、钨、氮化钨和铝中的一种或者多种。室106包含包围处理区109的围壁118,所述围壁118包括侧壁164、底壁166和顶壁168。支撑环130可以被布置在侧壁164和顶壁168之间以支撑顶壁168。其他的室壁可以包括一个或者多个护罩120,所述护罩120使围壁118与溅射环境隔离。
室106包含用于在溅射沉积室106中支撑衬底的衬底支撑114。衬底支撑114可以是电浮空或者可以包含电极170,其中所述电极170被诸如RF电源的电源172加偏压。衬底支撑114还可以包含挡板盘133,在没有衬底104时所述挡板盘133可以保护支撑114的上表面134。在操作中,衬底104通过室106侧壁164上的衬底加载入口(没有示出)被引入室106中,并被置于支撑114上。支撑114可以通过支撑升降伸缩架(support lift bellows)上升或者下降,并且在将衬底104运送进室106和运送出室106的过程中,可以使用升降臂组件(没有示出)来将衬底升起和下降到支撑114上。
支撑114还可以包括一个或者多个诸如覆盖环126和沉积环128的环,其覆盖支撑114的上表面134的至少一部分以防止支撑114的侵蚀。在一个方案中,沉积环128至少部分地包围衬底104以保护支撑114没有被衬底104覆盖的部分。覆盖环126环绕和覆盖沉积环128的至少一部分,并减少粒子到沉积环128和下方支撑114上的沉积。
包含处理气体源的气体输送系统112将诸如溅射气体的处理气体引入室106中,所述处理气体源包含一个或者多个气源174,所述气源中的每一个提供管道176,所述管道176具有诸如质量流量控制器的气体流量控制阀178,以使设定流速的气体从其通过。管道176可以将气体供给到混合歧管(没有示出),在所述混合歧管中,气体被混合以形成期望的处理气体组成。混合歧管向气体分配器180供料,所述气体分配器180具有一个或者多个处在室106中的气体出口182。处理气体可以包含诸如氩气或者氙气的非反应性气体,所述非反应性气体能够有力地撞击到靶上并从靶上溅射出材料。处理气体还可以包含诸如一种或者多种的含氧气体或者含氮气体之类的反应性气体,所述反应性气体能够与溅射出的材料反应,以在衬底104上形成层。用过的处理气体和副产品通过排气装置120被从室106排出,所述排气装置120包含一个或者多个排气端口184,所述排气端口184接收用过的气体并使用过的气体通过排气管道186,其中所述排气管道186具有节流阀188以控制室106中的气体压力。排气管道186提供一个或者多个排气泵190。通常,室106中溅射气体的压力被设定为次常压水平。
溅射室106还包括溅射靶124,所述溅射靶124面向衬底104表面105并包含待溅射到衬底104上的材料。靶124通过环形绝缘体环132与室106电隔离,并且被连接到电源192。溅射室106还具有护罩120,所述护罩120保护室106的壁118不受溅射出的材料的影响。护罩120可以包含具有上护罩部分120a和下护罩部分120b的壁状圆筒形,其中所述上护罩部分120a和下护罩部分120b保护室106的上部区域和下部区域。在图3所示的方案中,护罩120具有安装到支撑环130上的上部分120a和装配到覆盖环126上的下部分120b。也可以提供包含夹持环的夹持护罩141,以将上护罩部分120a和下护罩部分120b夹持在一起。也可以提供诸如内外护罩的其他可选护罩结构。在一个方案中,电源192、靶124和护罩120中的一个或者多个作为能够激发溅射气体以从靶124溅射材料的气体激发器116。电源192给靶124施加相对于护罩120的偏压。由施加的电压在室106中产生的电场激发溅射气体以形成等离子体,所述等离子体有力地撞击到靶124上并轰击靶124,以将材料从靶溅射到衬底104上。具有电极170和支撑电极电源172的支撑114通过激发和朝向衬底104加速从靶124溅射出的离子化材料,也可以作为气体激发器116的一部分。此外,可以提供气体激发线圈135,所述气体激发线圈135由电源192供电并被置于室106中以提高激发气体特性,例如提高激发气体的密度。气体激发线圈135可以由线圈支撑137支撑,所述线圈支撑137被安装到护罩120或者室106中的其他壁上。
室106被控制器194控制,所述控制器194包含程序代码,所述程序代码具有被设定来操作室106的部件从而对室106中的衬底104进行处理的指令。例如,控制器194可以包含:衬底定位指令,设定来操作一个或者多个衬底支撑114和衬底运送装置在室106中定位衬底104;气体流量控制指令,设定来操作流量控制阀178以设置溅射气体到室106的流动;气体压力控制指令,设定来操作排气节流阀188以保持室106中的压力;气体激发器控制指令,设定来操作气体激发器116以设定气体激发功率水平;温度控制指令,设定来控制室106中的温度;以及工艺监控指令,设定来监控室106中的工艺过程。
虽然示出并描述了本发明的示例性实施例,但是本领域技术人员可以设计包含本发明的其他实施例,并且这些实施例也在本发明的范围之中。例如,还可以清洁不同于在此描述的示例性部件的其他室部件。也可以结合所描述的清洁步骤使用其他的清洁步骤。此外,针对示例性实施例所示出的关系或者位置术语是可以互换的。因此,所附的权利要求不应限制为在此记载的对于优选方案、材料或者空间布置的描述。

Claims (11)

1.一种刷新处理室的部件的方法,所述部件包括具有涂层的结构,所述涂层包含金属间化合物上方的第一金属层,所述方法包括:
(a)去除所述第一金属层以形成暴露表面,所述暴露表面至少部分地包含所述金属间化合物;
(b)通过利用被加压到低于约310kPa的压力的气体朝向所述暴露表面推进微珠直径小于约180微米的喷砂微珠,来进行渗透微珠喷砂步骤,由此从所述结构的所述暴露表面去除所述金属间化合物,以形成经清洁的表面;以及
(c)在所述经清洁的表面上方形成第二金属层。
2.如权利要求1所述的方法,其中步骤(b)包括利用微珠直径从约80微米到约180微米的喷砂微珠对所述暴露表面进行微珠喷砂处理。
3.如权利要求1所述的方法,其中所述暴露表面包含裂缝,并且其中所述微珠直径被选择为小于所述裂缝的平均宽度,由此所述喷砂微珠可以渗透到所述裂缝中以去除所述金属间材料。
4.如权利要求1所述的方法,其中步骤(b)包括通过利用被加压到从约172kPa到约310kPa的压力的气体朝向所述暴露表面推进喷砂微珠,来对所述暴露表面进行微珠喷砂处理。
5.如权利要求1所述的方法,还包括纹理化微珠喷砂步骤,用于纹理化所述经清洁的表面以具有从约3.81微米到约8.89微米的平均表面粗糙度。
6.如权利要求5所述的方法,其中所述纹理化微珠喷砂步骤包括利用被加压到从约276kPa到约414kPa的压力的气体向所述暴露表面推进微珠直径从约400微米到约1000微米的喷砂微珠。
7.如权利要求1所述的方法,其中所述结构包含铝、钛、不锈钢、铜和钽中的至少一种,并且其中所述涂层包含铝、钛、铜和铬中的至少一种。
8.如权利要求1所述的方法,其中步骤(a)包括将所述第一金属层的表面浸入包含酸性或者碱性溶液的清洁溶液中,以溶解所述第一金属层。
9.如权利要求1所述的方法,其中步骤(c)包括在所述经清洁的表面上方双丝电弧喷镀所述第二金属层。
10.按照权利要求1所述的方法所刷新的部件,其中所述部件能够通过所述方法被刷新至少约15次,而基本上不会出现所述部件的失效。
11.按照权利要求1所述的方法所刷新的部件,其中所述部件包含围壁、室护罩、靶、覆盖环、沉积环、支撑环、绝缘体环、线圈、线圈支撑、挡板盘、夹持护罩和衬底支撑中的一个或者多个的至少一部分。
CNA2004100865347A 2003-10-22 2004-10-21 清洁和刷新具有金属涂层的室部件 Pending CN1609259A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/691,418 2003-10-22
US10/691,418 US7910218B2 (en) 2003-10-22 2003-10-22 Cleaning and refurbishing chamber components having metal coatings

Publications (1)

Publication Number Publication Date
CN1609259A true CN1609259A (zh) 2005-04-27

Family

ID=34521874

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100865347A Pending CN1609259A (zh) 2003-10-22 2004-10-21 清洁和刷新具有金属涂层的室部件

Country Status (4)

Country Link
US (1) US7910218B2 (zh)
KR (1) KR20050039605A (zh)
CN (1) CN1609259A (zh)
TW (1) TWI336354B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102465248A (zh) * 2010-11-16 2012-05-23 无锡华润上华半导体有限公司 防护罩的表面处理方法及防护罩
CN108690957A (zh) * 2017-03-30 2018-10-23 住友化学株式会社 清洗使用过的靶材的方法、靶材的制造方法、再循环铸锭的制造方法及再循环铸锭

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050183741A1 (en) * 2004-02-20 2005-08-25 Surjaatmadja Jim B. Methods of cleaning and cutting using jetted fluids
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US20060021870A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8790499B2 (en) * 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
WO2007118939A1 (fr) 2006-04-19 2007-10-25 Arcelor France Procede de fabrication d'une piece soudee a tres hautes caracteristiques mecaniques a partir d'une tole laminee et revetue
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) * 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
WO2009086023A2 (en) * 2007-12-19 2009-07-09 Applied Materials, Inc. Methods for cleaning process kits and chambers, and for ruthenium recovery
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US8486726B2 (en) * 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
US9017486B2 (en) 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
GB201102447D0 (en) 2011-02-11 2011-03-30 Spp Process Technology Systems Uk Ltd Composite shielding
CN103493194B (zh) * 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
KR20160067188A (ko) * 2011-09-30 2016-06-13 제이엑스금속주식회사 스퍼터링용 탄탈제 코일의 재생 방법 및 그 재생 방법에 의해서 얻어진 탄탈제 코일
US8734907B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US20190341276A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
WO2021126889A1 (en) * 2019-12-17 2021-06-24 Applied Materials, Inc. Surface profiling and texturing of chamber components
US20210183657A1 (en) * 2019-12-17 2021-06-17 Applied Materials, Inc. Surface profiling and texturing of chamber components

Family Cites Families (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
US4100252A (en) 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
DE3523958A1 (de) 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (zh) 1986-09-18 1989-07-31 Grob Ernst Fa
US5009966A (en) * 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
DE68909665T2 (de) 1988-04-26 1994-02-10 Toto Ltd Verfahren zur Herstellung dielektrischer Keramik für elektrostatische Haltevorrichtungen.
US4956105A (en) * 1988-05-31 1990-09-11 Mobil Oil Corporation Lubricant composition containing phenolic/phosphorodithioate borates as multifunctional additives
US5356890A (en) 1988-06-15 1994-10-18 Brigham And Women's Hospital S-nitroso derivatives of ace inhibitors and the use thereof
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
EP0406690B1 (en) 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
EP0439000B1 (en) 1990-01-25 1994-09-14 Applied Materials, Inc. Electrostatic clamp and method
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
DE69130205T2 (de) 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5191506A (en) 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
US5356723A (en) 1991-12-18 1994-10-18 Sumitomo Metal Industries, Ltd. Multilayer plated aluminum sheets
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
EP0634756B1 (en) 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US6199259B1 (en) 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
EP0737133B1 (de) 1993-12-27 1998-07-29 Hoechst Aktiengesellschaft Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
KR100430643B1 (ko) 1994-01-31 2004-05-12 어플라이드 머티어리얼스, 인코포레이티드 두께가 균일한 절연체 막을 갖는 정전기 척
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
DE4446919A1 (de) 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
WO1997003221A1 (en) 1995-07-10 1997-01-30 Cvc Products, Inc. Magnetron cathode apparatus and method for sputtering
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US5879524A (en) 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
DE59712307D1 (de) 1996-12-21 2005-06-16 Singulus Technologies Ag Vorrichtung zur kathodenzerstäubung
EP0954620A4 (en) 1997-01-16 2002-01-02 Bottomfield Layne F COMPONENTS FOR VACUUM EVAPORATION METALLIZATION AND RELATED METHODS
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
KR100246858B1 (ko) 1997-05-07 2000-03-15 윤종용 건식 식각 장치
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
WO1999032695A1 (fr) 1997-12-22 1999-07-01 Asahi Kasei Kogyo Kabushiki Kaisha Fibres pour flocage electrique et article floque par voie electrique
US6340415B1 (en) 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
KR100292410B1 (ko) 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
SE512978C2 (sv) 1998-10-26 2000-06-12 G S G As Bearbetning av niob-och tantalinnehållande material
JP2002529600A (ja) 1998-11-06 2002-09-10 シヴァク 高レート・コーティング用のスパッタリング装置および方法
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
JP4141560B2 (ja) 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
KR20010014842A (ko) 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6190516B1 (en) 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
WO2002015255A1 (en) 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
EP1322796B1 (en) 2000-08-17 2010-06-02 Tosoh Smd, Inc. High purity sputter targets with target end-of-life indication and method of manufacture
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
JP3682575B2 (ja) 2000-09-05 2005-08-10 日本軽金属株式会社 塗膜硬度、塗膜密着性及び耐衝撃性に優れた表面処理アルミニウム材
EP1322444A4 (en) 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
WO2002040733A1 (fr) 2000-11-17 2002-05-23 Nikko Materials Company, Limited Cible de pulverisation produisant peu de particules, plaque support ou appareil de pulverisation, et procede de pulverisation produisant peu de particules
US6887356B2 (en) 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
EP1341948A1 (de) 2000-11-27 2003-09-10 Unaxis Trading AG Target mit dickenprofilierung für rf magnetron
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
EP1349682B1 (en) 2000-12-18 2008-10-08 Tosoh Smd, Inc. Low temperature sputter target/backing plate joining technique and assemblies made thereby
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
WO2002086186A1 (en) 2001-04-24 2002-10-31 Tosoh Smd, Inc. Target and method of optimizing target profile
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6656535B2 (en) 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
CN100356515C (zh) 2002-04-03 2007-12-19 东邦工程株式会社 抛光垫及使用该垫制造半导体衬底的方法
US7041200B2 (en) 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US6708870B2 (en) 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
WO2003101762A1 (en) 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
JP2005534188A (ja) 2002-07-26 2005-11-10 アプライド マテリアルズ インコーポレイテッド スピンドライヤーの為の親水性構成要素
CN100526499C (zh) 2002-10-21 2009-08-12 卡伯特公司 形成溅射靶组件的方法及由此制成的组件
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
WO2004114355A2 (en) 2003-06-20 2004-12-29 Cabot Corporation Method and design for sputter target attachment to a backing plate
US6992261B2 (en) 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
CN1910304A (zh) 2004-02-03 2007-02-07 霍尼韦尔国际公司 物理气相沉积靶构造
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7504008B2 (en) 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
ATE546561T1 (de) 2004-11-19 2012-03-15 Applied Materials Gmbh & Co Kg Trägerplatte mit einer darauf aufgesetzten gekühlten rückenplatte
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
TW200741022A (en) 2006-03-14 2007-11-01 Applied Materials Inc Pre-conditioning a sputtering target prior to sputtering
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102465248A (zh) * 2010-11-16 2012-05-23 无锡华润上华半导体有限公司 防护罩的表面处理方法及防护罩
CN102465248B (zh) * 2010-11-16 2014-01-08 无锡华润上华半导体有限公司 防护罩的表面处理方法及防护罩
CN108690957A (zh) * 2017-03-30 2018-10-23 住友化学株式会社 清洗使用过的靶材的方法、靶材的制造方法、再循环铸锭的制造方法及再循环铸锭

Also Published As

Publication number Publication date
US7910218B2 (en) 2011-03-22
KR20050039605A (ko) 2005-04-29
US20050089699A1 (en) 2005-04-28
TWI336354B (en) 2011-01-21
TW200514865A (en) 2005-05-01

Similar Documents

Publication Publication Date Title
CN1609259A (zh) 清洁和刷新具有金属涂层的室部件
JP5058816B2 (ja) 層状にコーティングされたプロセスチャンバのコンポーネント及び方法
KR101079217B1 (ko) 코팅된 프로세스 챔버 부품의 세정 방법
CN2893917Y (zh) 具有粘附处理残余物的表面的构件及包括其的衬底处理室
CN2935467Y (zh) 具有多层涂层的抗腐蚀铝元件
JP5554465B2 (ja) パーティクルの発生を削減するためのプロセスキットの設計
CN100418188C (zh) 被涂覆腔室部件的翻新
JP5660748B2 (ja) 低温エアロゾル堆積方法及び物品
CN100549223C (zh) 室部件的清洁
CN100390922C (zh) 具有织构化的涂层的处理室部件的评估
US6444083B1 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
CN1294615C (zh) 制造被涂覆处理室部件的方法
US20060105182A1 (en) Erosion resistant textured chamber surface
US7323230B2 (en) Coating for aluminum component
CN101691307A (zh) 陶瓷喷涂部件、制备方法和供其使用的磨料介质
KR20040007592A (ko) 플라즈마 처리 용기 내부재 및 이 플라즈마 처리 용기 내부재를 구비하는 플라즈마 처리 장치
JP3076768B2 (ja) 薄膜形成装置用部材の製造方法
CN116018669A (zh) 等离子处理装置用保护皮膜的清洗方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20050427