CN1613041A - 时钟分配系统 - Google Patents

时钟分配系统 Download PDF

Info

Publication number
CN1613041A
CN1613041A CNA02808148XA CN02808148A CN1613041A CN 1613041 A CN1613041 A CN 1613041A CN A02808148X A CNA02808148X A CN A02808148XA CN 02808148 A CN02808148 A CN 02808148A CN 1613041 A CN1613041 A CN 1613041A
Authority
CN
China
Prior art keywords
functional block
clock
delay
time
bus node
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA02808148XA
Other languages
English (en)
Inventor
I·斯瓦布里克
D·威廉斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ClearSpeed Technology PLC
Original Assignee
ClearSpeed Technology PLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GB0103687A external-priority patent/GB0103687D0/en
Priority claimed from GB0103678A external-priority patent/GB0103678D0/en
Priority claimed from GB0121790A external-priority patent/GB0121790D0/en
Application filed by ClearSpeed Technology PLC filed Critical ClearSpeed Technology PLC
Publication of CN1613041A publication Critical patent/CN1613041A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • G06F15/8007Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors single instruction multiple data [SIMD] multiprocessors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/74Address processing for routing
    • H04L45/742Route cache; Operation thereof

Abstract

一种用于集成电路的时钟分配系统,它包括通过通信总线(12)连接的多个区域(1、2、3)。每一个区域包括功能块(10a、10b、10c)以及用于将相应的功能块与通信总线(12)连接的至少一个总线节点(14a、14b、14c)。允许分配的时钟信号(16)在区域之间时滞、但在各个区域范围内同步。将预定时钟插入延时(20a、20b、20c、22a、22b、22c)插入每一个功能块和总线节点。

Description

时钟分配系统
发明领域
本发明涉及时钟分配系统,具体地说,涉及用于集成电路的时钟分配系统。
发明背景
集成电路需要计时方案,以便执行指令以及以同步方式在集成电路上的功能块之间传递数据。传统的集成电路目的是让时钟信号同时到达每个电路元件或模块、使得这些电路元件同步工作。因此,通常这样设计集成电路、以便从中心定位时钟基准开始以对称方式在整个芯片上分配时钟信号。
尽管与先有技术的时钟分配方案相关的对称性,但电路导体中的缺陷以及引入制造工艺过程的变化产生电路元件或模块之间的时钟时滞。
设计集成电路的现有开发工具具有自动化处理过程,用于在芯片上这样分配平衡时钟、使得芯片上的每一个功能单元同步工作。用于平衡时钟的自动化处理过程包括两级过程。首先,把时钟树插入每一个功能块。每一块具有不同的插入延时。一旦在布局平面布置图上设置了各功能块,则第二级过程包含平衡时钟树,以便将时钟时滞减少到可接受的限度。这个过程包含将附加缓冲器插入每一条路径,以便在芯片上每一个寄存器的所有时钟输入端平衡时滞。采用这种技术,时钟树可以被平衡到200-300ps范围内。
上述传统工艺具有许多缺陷。例如,虽然将缓冲器插入功能单元的每一条路径的任务是相对自动化的,但第二级过程要求更多的人工干预,也就是说,必须指示这些工具要插入附加时钟缓冲器的位置。这个过程耗费时间,并且难以确定时钟时滞是否处于可接受的允许限度之内。提高时钟频率这一始终如一的愿望意味着上述问题更加密切相关。因此难以在合理的时帧中模拟大型集成电路。
上述平衡时钟树是同步设计方法学的标准组成部分。但是,这种技术的另一个缺点在于:整个芯片上的所有寄存器均借助于时钟脉冲边沿的到达来更新,在流过芯片的电流中产生大尖峰信号。这种电流浪涌在许多方面都是不希望有的。例如,电流浪涌会引起不希望有的电磁发射,并且还会因电迁移故障而导致可靠性问题。
另一个缺点在于:印刷线的尺寸大小必需比处理大电流浪涌所需的大。另外,必需保留未用的硅面积、以便能够插入顶层时钟驱动器。
本发明的目的是提供一种用于集成电路的时钟分配系统,它容许时钟时滞并且简化设计大规模集成电路的过程。
发明概述
根据本发明的第一方面,提供一种用于集成电路的时钟分配系统,所述集成电路包括通过通信总线连接的多个区域,每一个区域包括功能块和用于将功能块连接到通信总线的至少一个总线节点,其特征在于:允许分配的时钟信号在区域之间时滞;以及所述时钟信号在各个区域中同步。
所述时钟信号最好被分配给每一个功能块和总线节点,以及把预定的时钟插入延时插入每一个功能块和总线节点。
根据本发明的第二方面,提供一种将时钟信号分配给集成电路上通过总线连接的多个区域的方法,每一个区域包括功能块和至少一个总线节点,所述方法包括以下步骤:允许时钟在集成电路上的各区域之间时滞;以及使集成电路上各个区域中的时钟同步。
根据本发明的第三方面,提供一种集成电路,它包括:多个区域;总线,用于连接所述多个区域;时钟分配网络,它配置成为时钟信号选择到达所述多个区域的路由;其特征在于:所述时钟网络配置成以同步方式为各个区域中的时钟信号选择路由;以及在各个区域之间以时滞方式为时钟信号选择路由。
根据本发明的第四方面,提供一种降低集成电路中的峰值电流的方法,所述方法包括以下步骤:将集成电路分为多个功能块;向各个功能块这样分配时钟信号、使得至少两个功能块采用彼此时滞的时钟信号进行工作、从而降低集成电路中的峰值功率。
根据本发明的第五方面,提供一种设计集成电路的方法,所述方法包括以下步骤:将集成电路分为多个区域,每一个区域具有功能块和至少一个总线节点;利用通信总线连接这些区域;沿通信总线向各个功能块分配时钟信号;以及把预定的时钟插入延时赋予每一个功能块和总线节点。
附图简述
图1A和1B说明与时钟时滞相关的问题;
图2说明由时钟时滞引起的可能的保持时间破坏;
图3说明补偿时钟时滞的传统方法;
图4说明根据本发明的第一实施例的时钟分配系统;
图5说明根据本发明的第二实施例的时钟分配系统;
图6说明图5所示第二实施例中时钟信号之间的相位关系;
图7说明图5所示第二实施例的时钟桥的实例;
图8说明根据本发明的第三实施例的时钟分配系统。
本发明的最佳实施例的详细说明
图1A和1B说明与集成电路中时钟时滞相关的问题之一的一个实例。当时钟信号1按照与数据信号3相同的方向传播时,如图1A所示,它引起正时滞,并会导致保持时间问题。当时钟信号1按照与数据信号3相反的方向传播时,如图1B所示,它引起负时滞。虽然不存在与负时滞相关的保持时间问题,但其缺点是减小有效的时钟周期。
因此就存在一种危险,当数据按照与时钟信号相同的方向传播时,就存在数据可能按时到达下一个寄存器而引起保持破坏。为了消除这个危险,传统技术旨在确保寄存器之间有足够的逻辑延时,以避免这个问题。
图2详细说明这个问题。数据按照与沿着其分配时钟的方向相同的方向传播。对于工作的电路:
Tclk→Q+tlogic-thold≥tskew
对于可靠工作,tskew的最坏条件(即最大)值以及“最快”条件下的Tclk→Q和tlogic的值必须是已知的。应当使用thold的最大值。
这个方法假定时钟时滞仅仅是容许的,由逻辑延时屏蔽。为了处理分配给相同功能块中的不同寄存器的时钟信号之间的时滞,通常向逻辑合成软件提供时钟不定性数值。然后,设计工具再查找可能的保持时间破坏,并确定有足够的逻辑延时来防止其发生。
虽然这种技术对于功能块内时钟分配是可容许的,但必需插入大量的逻辑以容许大时滞。解决这个问题的一个已知方法是采用半锁存器,如图3所示。当时钟A的正沿出现时,锁存器闭合(即不导通)。这避免数据超过时钟信号,引起保持破坏。这种技术可用来掩盖时滞的一半时钟周期。
图4说明根据本发明的第一方面的时钟分配系统。多个功能块10a、10b、10c通过通信总线12互相连接。总线最好是一种管道总线。应当指出,术语“功能块”用来表示虚拟处理块、例如多个可再用芯片内功能块或虚拟组件块之一。功能块有时称作“芯片内系统”块。各功能块10a、10b、10c由相应总线节点14a、14b、14c连接到通信总线12。功能块10a、10b、10c经总线节点14a、14b、14c以及通信总线12相互通信。总线节点14a、14b、14c作为功能块10a、10b、10c与总线12之间的接口,并涉及例如对地址解码和协议翻译的处理。
根据本发明,时钟信号16最好沿通信总线12连接到各种功能块10a、10b、10c,允许时钟信号16在功能块之间传播时时滞。图4中由延时元件18示意表示时滞。
每一个功能块10a、10b、10c分别配备有时钟插入延时20a、20b、20c。同样,每一个总线节点14a、14b、14c也配备有时钟插入延时22a、22b、22c。为功能块10a的时钟插入延时20a分配一个值,所述值基本上等于总线节点14a的时钟插入延时22a。例如,如果相邻功能块10a和10b之间的时滞已知为小于300ps,则可选择3.4ns的延时作为功能块10a和总线节点14a的时钟插入延时。这意味着功能块10a与其相应的总线节点14a同步。
同样,为功能块10b的时钟插入延时20b分配一个基本上等于总线节点14b的时钟插入延时22b的时钟插入延时。为功能块10c的时钟插入延时20c分配一个基本上等于总线节点14c的时钟插入延时22c的时钟插入延时。
最好为功能块10a、10b、10c的时钟插入延时20a、20b、20c以及总线节点14a、14b、14c的时钟插入延时22a、22b、22c分配相同的时钟插入延时。
另一个备选方案是,鉴于为每一个功能块及相关的总线节点分配相同的时钟插入延时,可以为单独的功能块及其相关的总线节点分配一个时钟插入延时,所述时钟插入延时是时钟周期的倍数加上所述插入延时。例如,如果块周期是2.5ns,且功能块10a的插入延时为3.4ns,则可为其它块的时钟插入延时分配以下时钟插入延时:
N×2.5ns+3.4ns
其中N是大于或等于零的正整数。
换句话说,只要使其它节点上的时钟边沿同步到第一功能块上的时钟边沿的可接受限度之内,则可以利用用于对第一功能块计时的时钟信号的若干时钟周期下游的实时时钟信号来对其它节点进行定时。总线节点相对于其相邻节点时滞一定数量、例如少于300ps(用于使信号传播大约1mm所需的时间)。应当指出,就第二对节点之间的时滞而论,第一对节点之间的时滞可以变化。对于总线节点之间的所有信号,通过将时钟不定性设置为大于例如300ps,来处理时滞。这样,虽然功能块10c上的时钟相对于功能块10a上的时钟时滞600ps,但如果将时钟不定性设置为大于300ps,则它并不影响电路的运行,因为每一个功能块10a和10c与其自身的总线节点14a、14c同步。设置时钟不定性涉及为合成工具提供信息,使它能够计算两个时钟边沿之间的最坏情况下的定时关系,其中适当的定时用来指导逻辑合成,以便不违反设置及保持时间。
图5说明根据本发明的第二方面的时钟分配系统的一个实例。尽管图4中的功能块10a、10b、10c较小、使得每个功能块只需要一个总线节点14a、14b、14c,但图5所示电路表示较大的功能块30,后者具有经由多个总线节点33a、33b、33c到达通信总线的多个接口。
如上所述,最好沿总线12把时钟信号16分配给功能块30以及每一个总线节点33a、33b、33c。为功能块30分配时钟插入延时39,后者基本上等于分配给总线节点33a的时钟插入延时37a。最好为总线节点33b、33c的时钟插入延时37b、37c分配与总线节点33a相同的时钟插入延时值。另一个备选方案是,时钟插入延时37b、37c可以是时钟周期的倍数加上时钟插入延时37a,如以上结合图4所述。
由于功能块30大于图4所示的功能块10a,因此时钟插入延时必需相应地增加。例如,假定总线节点33a/33b和33b/33c之间的时滞小于300ps,则可以把5.9ns的时钟插入延时分配给每一个时钟插入延时装置39、37a、37b以及37c。或者,时钟插入延时37b和37c可以是时钟周期的倍数。较大功能块(例如功能块30)的最小可能插入延时会高于总线节点的插入延时,因此所选插入延时数值必须大到足以适应系统中的最大功能块。
功能块30和相应的总线节点33b、33c之间的通信将会不同相。图6中示出每一块中时钟之间的相位关系。
参考图6,可以看到,总线节点33a与功能块30同步,因为两个元件都具有相同的插入延时。为了允许多个总线节点33a、33b、33c与同一个功能块30连接,分别为与功能块30不同步的总线节点33b、33c提供时钟桥35b、35c。时钟桥35b、35c最好是标准块,在需要它们的情况下均可插入到电路设计中。
图7说明实现时钟桥35b、35c的方式的实例。所示的时钟桥是双向的,为简洁起见,在每一个方向上给出一位数据通路。大家知道,同样的方法适用于更广泛的数据通路。本例中,时钟时滞600ps,但这个值可以更大,只要小于时钟周期的一半(具有一定的安全性余量)。当功能块30上的时钟为高电平时,相邻锁存器41关闭,防止数据D“贯通”。数据以相反方向(从滞后于时钟到超前于时钟)传播时不需要锁存器43,但最好包含这个元件,使所述单元对称。这意味着时钟桥反接时仍然会进行工作。同样,来自总线节点33b的时钟信号连接到锁存器47、49及51。当来自总线节点33b的时钟信号为高电平时,锁存器51不传导,从而防止数据“贯通”。锁存器41、43、47、49的引入防止设计工具流程、诸如形式验证中的问题。采用锁存器时,难以了解设计人员的意图。在时钟桥的情况下,它是一种能够被仔细检验然后用于整个芯片上的元件。
或者,可以使用逻辑门来建立所需的延时。但是,这种方法的缺点是消耗更多功率且使用集成电路上的更大面积。
目前提出的解决方案允许多个总线节点与一个功能块连接。图5所示的实例说明功能块30中的时钟与第一总线节点33a的时钟匹配。另一个备选方案是,功能块30中的时钟能够与最接近功能块30的中心的总线节点、即图5所示实例中的节点33b匹配。它的优点是增加容许的总时滞量。
根据本发明的第二方面的上述时钟分配系统具有能够被容许的时滞量的极限。例如,对于很大的功能块(例如接口之间大于6-8mm),难以管理时钟时滞。此外,增加时钟桥35b和35c增加了总线接口上的时钟等待时间的周期。
图8说明根据本发明的第三方面的时钟分配系统的实例。允许时钟在第一区域、即功能块50a、50c以及总线节点53a所在的集成电路的部分中时滞。功能块50a具有5.8ns的插入延时,与其相应的总线节点53a的插入延时51匹配(就是说,也具有5.8ns的插入延时)。同样,功能块50c具有5.8ns的插入延时,与其相应的总线节点53e的插入延时匹配。
本实施例在总线节点53c/53d和功能块50b之间不是采用时钟桥,而是采用顶层插入来平衡属于功能块50b的总线节点53b、53c、53d。例如,如果功能块50b具有5.8ns的插入延时57,则总线节点53b、53c、53d被安排成具有3.3ns的插入延时(表示为55b、55c、55d)。借助于提供2.5ns插入延时的缓冲器65的顶层插入延时,来实现各总线节点53b、53c、53d和功能块50b之间的同步。缓冲器65的2.5ns延时与各总线节点53b、53c、53d中的3.3ns的插入延时结合,来实现5.8ns的有效插入延时,即对应于功能块30b。应当指出,在上述实例中,缓冲器65的时钟插入延时与时钟周期、即2.5ns匹配。这样,当总线节点55d与节点55e通话时,它们之间的差值则为相对时钟时滞,(相比之下最接近的时钟边沿而不是绝对时钟边沿)。
因此,集成电路的这个区域中的时钟分配系统采用传统的“树”法。时钟沿总线传播时,仍然允许它时滞,直至到达大功能块50b。连接到功能块50b的总线节点53b、53c、53d具有平衡时钟(低于100ps)。从所述段下行分配的时钟信号则被允许再次开始时滞。根据本实施例,在连接到功能块50b的总线节点中需要保留空间,以便允许留出平衡进入功能块50b的时钟和进入总线节点的时钟的时钟缓冲器的空间。
根据本发明的第三方面,电路保持上述改进的时钟分配系统的大部分优点,其表现在于:大部分时钟插入预先进行,同时对于极大功能块、即太大而不能采用时滞法的功能块,只需要“后端”的最少的平衡。本实施例的优点是允许时钟拖影、使得峰值功率降低,但却没有时钟桥带来的等待时间开销。
下面将指出,集成电路可以具有以上公开的方案的任何组合。例如,一个区域可以具有分配给每个功能块的一个总线节点,而另一个区域则具有分配给每个功能块的多个总线节点。此外,当多个总线节点分配给每个功能块时,系统可在使用图5所示的“时钟桥”方法、图8所示的“平衡树”方法或者同一集成电路上的两种系统组合之间进行选择。
上述实施例实现以减小的顶层计时结构来研制集成电路。总线节点和功能块包含所需元件来分配时钟信号,允许总线节点和功能块以简单方式连接在一起,同时时钟桥提供所需接口。通过在顶层时钟结构的电路设计中提供空间来处理较大功能块,但这是远小于平衡整个芯片上的时钟的开销。
如上所述,本发明的优点是容许时钟时滞而不是尝试将其消除。这使芯片上的不同寄存器具有不同的时钟边沿,从而使通常产生的大电流峰值平滑。因此,功率分配更简便,使印刷线大小缩小。另外,通过金属印刷线的减小的电流密度会改善可靠性,尤其是对于电迁移故障。
时钟分配系统的另一个优点是再用性。相同的布局可在多个芯片上再用,只要它们具有相同的时钟频率并使用插入延时的相同规则。
应当指出,虽然最佳实施例表明,功能节点的时钟插入延时选择为基本上等于其相应的总线节点的时钟插入延时,但也可以按照以上对于各种功能块所述的方式进偏移这些时钟插入延时,即时钟插入延时偏离第一时钟插入延时+/-N个时钟周期再加上所述时钟插入延时,其中N为零或正整数。

Claims (41)

1.一种用于集成电路的时钟分配系统,它包括通过通信总线连接的多个区域,每一个区域包括功能块和用于将所述功能块连接到所述通信总线的至少一个总线节点,其特征在于:允许分配的时钟信号在区域之间时滞;以及所述时钟信号在各个区域范围内同步。
2.如权利要求1中所述的时钟分配系统,其特征在于:把所述时钟信号分配给每一个功能块和总线节点;以及把预定的时钟插入延时插入每一个功能块和总线节点。
3.如权利要求1或2所述的时钟分配系统,其特征在于:至少一个区域具有连接到功能块的两个或两个以上的总线节点,第一个所述总线节点直接连接到所述功能块、而其余所述总线节点经延时装置连接到所述功能块。
4.如权利要求3所述的时钟分配系统,其特征在于:所述延时装置包括设置在所述各个总线节点和功能块之间的时钟桥。
5.如权利要求4所述的时钟分配系统,其特征在于:所述时钟桥构成所述功能块的一部分。
6.如权利要求3至5中任何一项所述的时钟分配系统,其特征在于:所述第一总线节点连接到所述功能块的中央部分。
7.如权利要求2至6中任何一项所述的时钟分配系统,其特征在于:每一个功能块和总线节点的所述时钟插入延时基本上相等。
8.如权利要求2至6中任何一项所述的时钟分配系统,其特征在于:一个功能块或总线节点的所述时钟插入延时按照预定量偏离另一个功能块或总线节点的所述时钟插入延时。
9.如权利要求8中所述的时钟分配系统,其特征在于:所述预定量与所述时钟插入延时加上或减去N个时钟周期有关,其中N为零或正整数。
10.如以上权利要求中任何一项所述的时钟分配系统,其特征在于:根据连接到所述通信总线的所述最大功能块的大小来选择所述时钟插入延时。
11.如以上权利要求中任何一项所述的时钟分配系统,其特征在于:所述区域中至少一个具有连接到功能块(50b)的两个或两个以上总线节点(53b、53c、53d),每一个所述总线节点(53b、53c、53d)具有基本上相等的时钟插入延时(55b、55c、55d),所述总线节点的所述时钟插入延时(55b、55c、55d)不同于所述功能块(50b)的所述时钟插入延时(57);以及为所述区域中的所述时钟信号选择经延时缓冲器(65)到达每一个所述总线节点(53b、53c、53d)的路由。
12.如权利要求11所述的时钟分配系统,其特征在于:所述功能块(50b)的所述时钟插入延时基本上等于由所述延时缓冲器(65)提供的所述延时与由各个总线节点提供的所述时钟插入延时(55b、55c、55d)之和。
13.如以上权利要求中任何一项所述的时钟分配系统,其特征在于:所述时钟信号沿所述通信总线分配给每一个功能块和总线节点。
14.一种把时钟信号分配给集成电路上的多个区域的方法,所述区域通过总线连接,每一个区域包括功能块和至少一个总线节点,所述方法包括以下步骤:
允许所述时钟在所述集成电路上的各区域之间时滞;以及
使所述时钟在所述集成电路上的各个区域范围内同步。
15.如权利要求14所述的方法,其特征在于:把所述时钟信号分配给每一个功能块和总线节点;以及所述方法还包括在每一个功能块和总线节点中插入预定的时钟插入延时的步骤。
16.如权利要求14或15所述的方法,其特征在于还包括以下步骤:
将两个或两个以上总线节点连接到至少一个区域中的功能块;以及
这样设置所述区域中的所述总线节点、使得第一个所述总线节点直接连接到所述功能块而其余所述总线节点经延时装置连接到所述功能块。
17.如权利要求16所述的方法,其特征在于:所述延时装置包括设置在所述各个总线节点和功能块之间的时钟桥。
18.如权利要求17所述的方法,其特征在于:所述时钟桥构成所述功能块的一部分。
19.如权利要求16至18中任何一项所述的方法,其特征在于:所述第一总线节点连接到所述功能块的中央部分。
20.如权利要求15至19中任何一项所述的方法,其特征在于:每一个功能块和总线节点的所述时钟插入延时基本上相等。
21.如权利要求15至19中任何一项所述的方法,其特征在于:一个功能块或总线节点的所述时钟插入延时按照预定量偏离另一个功能块或总线节点的所述时钟插入延时。
22.如权利要求21所述的方法,其特征在于:所述预定量与所述时钟插入延时加上或减去N个时钟周期有关,其中N为零或正整数。
23.如权利要求14至22中任何一项所述的方法,其特征在于:根据连接到所述通信总线的所述最大功能块的大小来选择所述时钟插入延时。
24.如权利要求14至23中任何一项所述的方法,其特征在于还包括以下步骤:
将两个或两个以上总线节点(53b、53c、53d)连接到至少一个区域中的功能块(50b),每一个所述总线节点(53b、53c、53d)具有基本上相等的时钟插入延时(55b、55c、55d),所述总线节点的所述时钟插入延时(55b、55c、55d)不同于所述功能块(50b)的所述时钟插入延时(57);以及
为所述区域中的所述时钟信号选择经延时缓冲器(65)到达每一个所述总线节点(53b、53c、53d)的路由。
25.如权利要求24所述的方法,其特征在于:所述功能块(50b)的所述时钟插入延时基本上等于由所述延时缓冲器(65)提供的所述延时与由各个总线节点提供的所述时钟插入延时(55b、55c、55d)之和。
26.如权利要求14至25中任何一项所述的方法,其特征在于:所述时钟信号沿所述通信总线分配给每一个功能块和总线节点。
27.一种集成电路,它包括:
多个区域;
用于连接所述多个区域的总线;
时钟分配网络,它配置成为时钟信号选择到达所述多个区域的路由;
其特征在于:所述时钟分配网络配置成在各个区域中以同步方式为所述时钟信号选择路由、而在各个区域之间以时滞方式为所述时钟信号选择路由。
28.如权利要求27所述的集成电路,其特征在于:每一个区域包括功能块和至少一个总线节点,把所述时钟信号分配给所述每一个区域的所述功能块及总线节点;以及每一个功能块和总线节点被赋予预定的时钟插入延时。
29.如权利要求27或28所述的集成电路,其特征在于:至少一个区域具有连接到功能块的两个或两个以上总线节点,第一个所述总线节点直接连接到所述功能块、而其余所述总线节点经延时装置连接到所述功能块。
30.如权利要求29所述的集成电路,其特征在于:所述延时装置包括设置在所述各个总线节点和功能块之间的时钟桥。
31.如权利要求30所述的集成电路,其特征在于:所述时钟桥构成所述功能块的一部分。
32.如权利要求29至31中任何一项所述的集成电路,其特征在于:所述第一总线节点连接到所述功能块的中央部分。
33.如权利要求28至32中任何一项所述的集成电路,其特征在于:每一个功能块和总线节点的所述时钟插入延时基本上相等。
34.如权利要求28至32中任何一项所述的集成电路,其特征在于:一个功能块或总线节点的所述时钟插入延时按照预定量偏离另一个功能块或总线节点的所述时钟插入延时。
35.如权利要求34所述的集成电路,其特征在于:所述预定量与所述时钟插入延时加上或减去N个时钟周期有关,其中N为零或正整数。
36.如权利要求27至35中任何一项所述的集成电路,其特征在于:根据连接到所述通信总线的所述最大功能块的大小来选择所述时钟插入延时。
37.如权利要求27至36中任何一项所述的集成电路,其特征在于:所述区域中至少一个具有连接到功能块(50b)的两个或两个以上总线节点(53b、53c、53d),每一个所述总线节点(53b、53c、53d)具有基本上相等的时钟插入延时(55b、55c、55d),所述总线节点的所述时钟插入延时(55b、55c、55d)不同于所述功能块(50b)的所述时钟插入延时(57);以及为所述区域中的所述时钟信号选择经延时缓冲器(65)到达每一个所述总线节点(53b、53c、53d)的路由。
38.如权利要求37所述的集成电路,其特征在于:所述功能块(50b)的所述时钟插入延时基本上等于由所述延时缓冲器(65)提供的所述延时与由各个总线节点提供的所述时钟插入延时(55b、55c、55d)之和。
39.如权利要求27至38中任何一项所述的集成电路,其特征在于:所述时钟信号沿所述通信总线分配给每一个功能块和总线节点。
40.一种降低集成电路中的峰值功率的方法,所述方法包括以下步骤:将所述集成电路分为多个功能块;向所述各个功能块这样分配时钟信号、使得至少两个功能块采用彼此时滞的时钟信号进行工作、从而降低所述集成电路中的峰值功率。
41.一种设计集成电路的方法,所述方法包括以下步骤:
将所述集成电路分为多个区域,每一个区域具有功能块和至少一个总线节点;
利用通信总线连接所述区域;
沿所述通信总线向所述各个功能块分配时钟信号;以及
把预定的时钟插入延时赋予每一个功能块和总线节点。
CNA02808148XA 2001-02-14 2002-02-14 时钟分配系统 Pending CN1613041A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
GB0103687A GB0103687D0 (en) 2001-02-14 2001-02-14 Network processing-architecture II
GB0103678A GB0103678D0 (en) 2001-02-14 2001-02-14 Network processing
GB0103678.9 2001-02-14
GB0103687.0 2001-02-14
GB0121790.0 2001-09-10
GB0121790A GB0121790D0 (en) 2001-02-14 2001-09-10 Network processing systems

Publications (1)

Publication Number Publication Date
CN1613041A true CN1613041A (zh) 2005-05-04

Family

ID=27256074

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB028081242A Expired - Fee Related CN100367730C (zh) 2001-02-14 2002-02-14 一种互连系统
CNA02808148XA Pending CN1613041A (zh) 2001-02-14 2002-02-14 时钟分配系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB028081242A Expired - Fee Related CN100367730C (zh) 2001-02-14 2002-02-14 一种互连系统

Country Status (6)

Country Link
US (10) US7290162B2 (zh)
JP (2) JP2004524617A (zh)
CN (2) CN100367730C (zh)
AU (1) AU2002233500A1 (zh)
GB (5) GB2377519B (zh)
WO (2) WO2002065700A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1909418B (zh) * 2006-08-01 2010-05-12 华为技术有限公司 通用无线接口的时钟分配装置及实现速率切换的方法
CN101355482B (zh) * 2008-09-04 2011-09-21 中兴通讯股份有限公司 实现嵌入式设备地址顺序识别的设备、方法和系统
CN103631315A (zh) * 2012-08-22 2014-03-12 上海华虹集成电路有限责任公司 便于时序修复的时钟设计方法
CN107831824A (zh) * 2017-10-16 2018-03-23 算丰科技(北京)有限公司 时钟信号传递方法、装置、复用芯片和电子设备
CN109124767A (zh) * 2017-06-27 2019-01-04 韦伯斯特生物官能(以色列)有限公司 用于在无线系统中的时钟之间进行同步的系统和方法
WO2022105252A1 (zh) * 2020-11-23 2022-05-27 深圳比特微电子科技有限公司 运算核、计算芯片和数据处理设备

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7549056B2 (en) 1999-03-19 2009-06-16 Broadcom Corporation System and method for processing and protecting content
WO2002060150A2 (en) * 2001-01-24 2002-08-01 Broadcom Corporation Method for processing multiple security policies applied to a data packet structure
JP2004524617A (ja) * 2001-02-14 2004-08-12 クリアスピード・テクノロジー・リミテッド クロック分配システム
US7107478B2 (en) * 2002-12-05 2006-09-12 Connex Technology, Inc. Data processing system having a Cartesian Controller
US7383421B2 (en) * 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US20030078997A1 (en) * 2001-10-22 2003-04-24 Franzel Kenneth S. Module and unified network backplane interface for local networks
FI113113B (fi) 2001-11-20 2004-02-27 Nokia Corp Menetelmä ja laite integroitujen piirien ajan synkronoimiseksi
US7055123B1 (en) * 2001-12-31 2006-05-30 Richard S. Norman High-performance interconnect arrangement for an array of discrete functional modules
US6836808B2 (en) * 2002-02-25 2004-12-28 International Business Machines Corporation Pipelined packet processing
US7944920B2 (en) * 2002-06-11 2011-05-17 Pandya Ashish A Data processing system using internet protocols and RDMA
US7415723B2 (en) * 2002-06-11 2008-08-19 Pandya Ashish A Distributed network security system and a hardware processor therefor
US7408957B2 (en) * 2002-06-13 2008-08-05 International Business Machines Corporation Selective header field dispatch in a network processing system
US8015303B2 (en) * 2002-08-02 2011-09-06 Astute Networks Inc. High data rate stateful protocol processing
US7684400B2 (en) * 2002-08-08 2010-03-23 Intel Corporation Logarithmic time range-based multifield-correlation packet classification
US7360007B2 (en) * 2002-08-30 2008-04-15 Intel Corporation System including a segmentable, shared bus
US20040066779A1 (en) * 2002-10-04 2004-04-08 Craig Barrack Method and implementation for context switchover
US7961723B2 (en) * 2002-10-08 2011-06-14 Netlogic Microsystems, Inc. Advanced processor with mechanism for enforcing ordering between information sent on two independent networks
US7984268B2 (en) 2002-10-08 2011-07-19 Netlogic Microsystems, Inc. Advanced processor scheduling in a multithreaded system
US7627721B2 (en) 2002-10-08 2009-12-01 Rmi Corporation Advanced processor with cache coherency
US20050044324A1 (en) * 2002-10-08 2005-02-24 Abbas Rashid Advanced processor with mechanism for maximizing resource usage in an in-order pipeline with multiple threads
US8015567B2 (en) 2002-10-08 2011-09-06 Netlogic Microsystems, Inc. Advanced processor with mechanism for packet distribution at high line rate
US8037224B2 (en) 2002-10-08 2011-10-11 Netlogic Microsystems, Inc. Delegating network processor operations to star topology serial bus interfaces
US7924828B2 (en) * 2002-10-08 2011-04-12 Netlogic Microsystems, Inc. Advanced processor with mechanism for fast packet queuing operations
US8478811B2 (en) 2002-10-08 2013-07-02 Netlogic Microsystems, Inc. Advanced processor with credit based scheme for optimal packet flow in a multi-processor system on a chip
US7346757B2 (en) 2002-10-08 2008-03-18 Rmi Corporation Advanced processor translation lookaside buffer management in a multithreaded system
US9088474B2 (en) * 2002-10-08 2015-07-21 Broadcom Corporation Advanced processor with interfacing messaging network to a CPU
US8176298B2 (en) 2002-10-08 2012-05-08 Netlogic Microsystems, Inc. Multi-core multi-threaded processing systems with instruction reordering in an in-order pipeline
US20050033831A1 (en) * 2002-10-08 2005-02-10 Abbas Rashid Advanced processor with a thread aware return address stack optimally used across active threads
US7334086B2 (en) * 2002-10-08 2008-02-19 Rmi Corporation Advanced processor with system on a chip interconnect technology
US7596621B1 (en) * 2002-10-17 2009-09-29 Astute Networks, Inc. System and method for managing shared state using multiple programmed processors
US8151278B1 (en) 2002-10-17 2012-04-03 Astute Networks, Inc. System and method for timer management in a stateful protocol processing system
US7814218B1 (en) 2002-10-17 2010-10-12 Astute Networks, Inc. Multi-protocol and multi-format stateful processing
ATE438242T1 (de) * 2002-10-31 2009-08-15 Alcatel Lucent Verfahren zur bearbeitung von datenpaketen auf schicht drei in einer telekommunikationsvorrichtung
US7715392B2 (en) * 2002-12-12 2010-05-11 Stmicroelectronics, Inc. System and method for path compression optimization in a pipelined hardware bitmapped multi-bit trie algorithmic network search engine
JP4157403B2 (ja) * 2003-03-19 2008-10-01 株式会社日立製作所 パケット通信装置
US8477780B2 (en) * 2003-03-26 2013-07-02 Alcatel Lucent Processing packet information using an array of processing elements
US8539089B2 (en) * 2003-04-23 2013-09-17 Oracle America, Inc. System and method for vertical perimeter protection
JP2006525585A (ja) 2003-05-07 2006-11-09 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ データを伝送する処理システム及び方法
US7558268B2 (en) * 2003-05-08 2009-07-07 Samsung Electronics Co., Ltd. Apparatus and method for combining forwarding tables in a distributed architecture router
US7500239B2 (en) * 2003-05-23 2009-03-03 Intel Corporation Packet processing system
US20050108518A1 (en) * 2003-06-10 2005-05-19 Pandya Ashish A. Runtime adaptable security processor
US7349958B2 (en) * 2003-06-25 2008-03-25 International Business Machines Corporation Method for improving performance in a computer storage system by regulating resource requests from clients
US7174398B2 (en) * 2003-06-26 2007-02-06 International Business Machines Corporation Method and apparatus for implementing data mapping with shuffle algorithm
US7702882B2 (en) * 2003-09-10 2010-04-20 Samsung Electronics Co., Ltd. Apparatus and method for performing high-speed lookups in a routing table
CA2442803A1 (en) * 2003-09-26 2005-03-26 Ibm Canada Limited - Ibm Canada Limitee Structure and method for managing workshares in a parallel region
US7886307B1 (en) * 2003-09-26 2011-02-08 The Mathworks, Inc. Object-oriented data transfer system for data sharing
US7120815B2 (en) * 2003-10-31 2006-10-10 Hewlett-Packard Development Company, L.P. Clock circuitry on plural integrated circuits
US7634500B1 (en) * 2003-11-03 2009-12-15 Netlogic Microsystems, Inc. Multiple string searching using content addressable memory
WO2005057964A1 (en) * 2003-11-26 2005-06-23 Cisco Technology, Inc. Method and apparatus to inline encryption and decryption for a wireless station
US6954450B2 (en) * 2003-11-26 2005-10-11 Cisco Technology, Inc. Method and apparatus to provide data streaming over a network connection in a wireless MAC processor
US7340548B2 (en) 2003-12-17 2008-03-04 Microsoft Corporation On-chip bus
US7058424B2 (en) * 2004-01-20 2006-06-06 Lucent Technologies Inc. Method and apparatus for interconnecting wireless and wireline networks
GB0403237D0 (en) * 2004-02-13 2004-03-17 Imec Inter Uni Micro Electr A method for realizing ground bounce reduction in digital circuits adapted according to said method
US7903777B1 (en) 2004-03-03 2011-03-08 Marvell International Ltd. System and method for reducing electromagnetic interference and ground bounce in an information communication system by controlling phase of clock signals among a plurality of information communication devices
US20050216625A1 (en) * 2004-03-09 2005-09-29 Smith Zachary S Suppressing production of bus transactions by a virtual-bus interface
US7478109B1 (en) * 2004-03-15 2009-01-13 Cisco Technology, Inc. Identification of a longest matching prefix based on a search of intervals corresponding to the prefixes
KR100990484B1 (ko) * 2004-03-29 2010-10-29 삼성전자주식회사 직렬 버스 통신을 위한 송신 클럭 신호 발생기
US20050254486A1 (en) * 2004-05-13 2005-11-17 Ittiam Systems (P) Ltd. Multi processor implementation for signals requiring fast processing
DE102004035843B4 (de) * 2004-07-23 2010-04-15 Infineon Technologies Ag Router-Netzwerkprozessor
GB2417105B (en) 2004-08-13 2008-04-09 Clearspeed Technology Plc Processor memory system
US7913206B1 (en) * 2004-09-16 2011-03-22 Cadence Design Systems, Inc. Method and mechanism for performing partitioning of DRC operations
US7508397B1 (en) * 2004-11-10 2009-03-24 Nvidia Corporation Rendering of disjoint and overlapping blits
US8170019B2 (en) * 2004-11-30 2012-05-01 Broadcom Corporation CPU transmission of unmodified packets
US20060156316A1 (en) * 2004-12-18 2006-07-13 Gray Area Technologies System and method for application specific array processing
US20060212426A1 (en) * 2004-12-21 2006-09-21 Udaya Shakara Efficient CAM-based techniques to perform string searches in packet payloads
US7769858B2 (en) * 2005-02-23 2010-08-03 International Business Machines Corporation Method for efficiently hashing packet keys into a firewall connection table
US7818705B1 (en) 2005-04-08 2010-10-19 Altera Corporation Method and apparatus for implementing a field programmable gate array architecture with programmable clock skew
WO2006127596A2 (en) 2005-05-20 2006-11-30 Hillcrest Laboratories, Inc. Dynamic hyperlinking approach
WO2006128459A1 (en) * 2005-06-01 2006-12-07 Teklatech A/S A method and an apparatus for providing timing signals to a number of circuits, an integrated circuit and a node
US7373475B2 (en) * 2005-06-21 2008-05-13 Intel Corporation Methods for optimizing memory unit usage to maximize packet throughput for multi-processor multi-threaded architectures
JP4797482B2 (ja) * 2005-07-20 2011-10-19 ブラザー工業株式会社 配線基板及び配線基板の製造方法
US20070086456A1 (en) * 2005-08-12 2007-04-19 Electronics And Telecommunications Research Institute Integrated layer frame processing device including variable protocol header
US8325768B2 (en) 2005-08-24 2012-12-04 Intel Corporation Interleaving data packets in a packet-based communication system
US7904852B1 (en) 2005-09-12 2011-03-08 Cadence Design Systems, Inc. Method and system for implementing parallel processing of electronic design automation tools
US8218770B2 (en) * 2005-09-13 2012-07-10 Agere Systems Inc. Method and apparatus for secure key management and protection
US7353332B2 (en) * 2005-10-11 2008-04-01 Integrated Device Technology, Inc. Switching circuit implementing variable string matching
US7451293B2 (en) * 2005-10-21 2008-11-11 Brightscale Inc. Array of Boolean logic controlled processing elements with concurrent I/O processing and instruction sequencing
US7551609B2 (en) * 2005-10-21 2009-06-23 Cisco Technology, Inc. Data structure for storing and accessing multiple independent sets of forwarding information
US7835359B2 (en) * 2005-12-08 2010-11-16 International Business Machines Corporation Method and apparatus for striping message payload data over a network
TW200806039A (en) * 2006-01-10 2008-01-16 Brightscale Inc Method and apparatus for processing algorithm steps of multimedia data in parallel processing systems
US20070162531A1 (en) * 2006-01-12 2007-07-12 Bhaskar Kota Flow transform for integrated circuit design and simulation having combined data flow, control flow, and memory flow views
JP2009524952A (ja) * 2006-01-27 2009-07-02 エフテーエス コンピューターテヒニク ゲゼルシャフト ミット ベシュレンクテル ハフツング 時間制御型のセキュアな通信
KR20070088190A (ko) * 2006-02-24 2007-08-29 삼성전자주식회사 멀티미디어 데이터 처리를 위한 서브워드 병렬 처리 방법
KR20080100380A (ko) * 2006-03-30 2008-11-17 닛본 덴끼 가부시끼가이샤 병렬 화상 처리 시스템과 병렬 화상 처리 시스템의 제어 방법 및 그 장치
US7617409B2 (en) * 2006-05-01 2009-11-10 Arm Limited System for checking clock-signal correspondence
US8390354B2 (en) * 2006-05-17 2013-03-05 Freescale Semiconductor, Inc. Delay configurable device and methods thereof
US8041929B2 (en) * 2006-06-16 2011-10-18 Cisco Technology, Inc. Techniques for hardware-assisted multi-threaded processing
JP2008004046A (ja) * 2006-06-26 2008-01-10 Toshiba Corp リソース管理装置及びそのためのプログラム
US7584286B2 (en) * 2006-06-28 2009-09-01 Intel Corporation Flexible and extensible receive side scaling
US8448096B1 (en) 2006-06-30 2013-05-21 Cadence Design Systems, Inc. Method and system for parallel processing of IC design layouts
US7516437B1 (en) * 2006-07-20 2009-04-07 Xilinx, Inc. Skew-driven routing for networks
US20080040214A1 (en) * 2006-08-10 2008-02-14 Ip Commerce System and method for subsidizing payment transaction costs through online advertising
JP4846486B2 (ja) * 2006-08-18 2011-12-28 富士通株式会社 情報処理装置およびその制御方法
CA2557343C (en) * 2006-08-28 2015-09-22 Ibm Canada Limited-Ibm Canada Limitee Runtime code modification in a multi-threaded environment
US20080059762A1 (en) * 2006-09-01 2008-03-06 Bogdan Mitu Multi-sequence control for a data parallel system
WO2008027567A2 (en) * 2006-09-01 2008-03-06 Brightscale, Inc. Integral parallel machine
US20080244238A1 (en) * 2006-09-01 2008-10-02 Bogdan Mitu Stream processing accelerator
US20080059763A1 (en) * 2006-09-01 2008-03-06 Lazar Bivolarski System and method for fine-grain instruction parallelism for increased efficiency of processing compressed multimedia data
US9563433B1 (en) 2006-09-01 2017-02-07 Allsearch Semi Llc System and method for class-based execution of an instruction broadcasted to an array of processing elements
US20080055307A1 (en) * 2006-09-01 2008-03-06 Lazar Bivolarski Graphics rendering pipeline
US20080059467A1 (en) * 2006-09-05 2008-03-06 Lazar Bivolarski Near full motion search algorithm
US7657856B1 (en) 2006-09-12 2010-02-02 Cadence Design Systems, Inc. Method and system for parallel processing of IC design layouts
US7783654B1 (en) 2006-09-19 2010-08-24 Netlogic Microsystems, Inc. Multiple string searching using content addressable memory
JP4377899B2 (ja) * 2006-09-20 2009-12-02 株式会社東芝 リソース管理装置及びプログラム
US8010966B2 (en) * 2006-09-27 2011-08-30 Cisco Technology, Inc. Multi-threaded processing using path locks
US8179896B2 (en) * 2006-11-09 2012-05-15 Justin Mark Sobaje Network processors and pipeline optimization methods
US7996348B2 (en) 2006-12-08 2011-08-09 Pandya Ashish A 100GBPS security and search architecture using programmable intelligent search memory (PRISM) that comprises one or more bit interval counters
US9141557B2 (en) 2006-12-08 2015-09-22 Ashish A. Pandya Dynamic random access memory (DRAM) that comprises a programmable intelligent search memory (PRISM) and a cryptography processing engine
JP4249780B2 (ja) * 2006-12-26 2009-04-08 株式会社東芝 リソースを管理する装置、およびプログラム
US7676444B1 (en) 2007-01-18 2010-03-09 Netlogic Microsystems, Inc. Iterative compare operations using next success size bitmap
JP5158091B2 (ja) * 2007-03-06 2013-03-06 日本電気株式会社 自律または共通制御されるpeアレイを有するシステムのためのデータ転送ネットワークおよび制御装置
JP2009086733A (ja) * 2007-09-27 2009-04-23 Toshiba Corp 情報処理装置、情報処理装置の制御方法および情報処理装置の制御プログラム
US20090089029A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Enhanced execution speed to improve simulation performance
US8548777B2 (en) * 2007-09-28 2013-10-01 Rockwell Automation Technologies, Inc. Automated recommendations from simulation
US20090089031A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Integrated simulation of controllers and devices
US8069021B2 (en) * 2007-09-28 2011-11-29 Rockwell Automation Technologies, Inc. Distributed simulation and synchronization
US7801710B2 (en) * 2007-09-28 2010-09-21 Rockwell Automation Technologies, Inc. Simulation controls for model variability and randomness
US20090089234A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Automated code generation for simulators
US7995618B1 (en) * 2007-10-01 2011-08-09 Teklatech A/S System and a method of transmitting data from a first device to a second device
US8515052B2 (en) * 2007-12-17 2013-08-20 Wai Wu Parallel signal processing system and method
US9596324B2 (en) 2008-02-08 2017-03-14 Broadcom Corporation System and method for parsing and allocating a plurality of packets to processor core threads
US8726289B2 (en) * 2008-02-22 2014-05-13 International Business Machines Corporation Streaming attachment of hardware accelerators to computer systems
US8250578B2 (en) * 2008-02-22 2012-08-21 International Business Machines Corporation Pipelining hardware accelerators to computer systems
US20090268736A1 (en) * 2008-04-24 2009-10-29 Allison Brian D Early header CRC in data response packets with variable gap count
US20090268727A1 (en) * 2008-04-24 2009-10-29 Allison Brian D Early header CRC in data response packets with variable gap count
US20090271532A1 (en) * 2008-04-24 2009-10-29 Allison Brian D Early header CRC in data response packets with variable gap count
US8543005B2 (en) * 2008-04-30 2013-09-24 Hewlett-Packard Development Company, L.P. Intentionally skewed optical clock signal distribution
JP2009271724A (ja) * 2008-05-07 2009-11-19 Toshiba Corp ハードウェアエンジン制御装置
EP3413206B1 (en) * 2008-05-30 2020-10-28 Advanced Micro Devices, Inc. Local and global data share
US8958419B2 (en) * 2008-06-16 2015-02-17 Intel Corporation Switch fabric primitives
US8566487B2 (en) 2008-06-24 2013-10-22 Hartvig Ekner System and method for creating a scalable monolithic packet processing engine
US7949007B1 (en) 2008-08-05 2011-05-24 Xilinx, Inc. Methods of clustering actions for manipulating packets of a communication protocol
US7804844B1 (en) * 2008-08-05 2010-09-28 Xilinx, Inc. Dataflow pipeline implementing actions for manipulating packets of a communication protocol
US8311057B1 (en) 2008-08-05 2012-11-13 Xilinx, Inc. Managing formatting of packets of a communication protocol
US8160092B1 (en) 2008-08-05 2012-04-17 Xilinx, Inc. Transforming a declarative description of a packet processor
CN102112983A (zh) * 2008-08-06 2011-06-29 Nxp股份有限公司 Simd并行处理器架构
US8493979B2 (en) 2008-12-30 2013-07-23 Intel Corporation Single instruction processing of network packets
JP5238525B2 (ja) * 2009-01-13 2013-07-17 株式会社東芝 リソースを管理する装置、およびプログラム
KR101553652B1 (ko) * 2009-02-18 2015-09-16 삼성전자 주식회사 이종 프로세서에 대한 명령어 컴파일링 장치 및 방법
US8140792B2 (en) * 2009-02-25 2012-03-20 International Business Machines Corporation Indirectly-accessed, hardware-affine channel storage in transaction-oriented DMA-intensive environments
US9461930B2 (en) 2009-04-27 2016-10-04 Intel Corporation Modifying data streams without reordering in a multi-thread, multi-flow network processor
US8874878B2 (en) * 2010-05-18 2014-10-28 Lsi Corporation Thread synchronization in a multi-thread, multi-flow network communications processor architecture
US8170062B2 (en) * 2009-04-29 2012-05-01 Intel Corporation Packetized interface for coupling agents
US8743877B2 (en) * 2009-12-21 2014-06-03 Steven L. Pope Header processing engine
CN101807288B (zh) * 2010-03-12 2014-09-10 中兴通讯股份有限公司 一种景点导游系统及其实现方法
US8332460B2 (en) * 2010-04-14 2012-12-11 International Business Machines Corporation Performing a local reduction operation on a parallel computer
US20120017062A1 (en) * 2010-07-19 2012-01-19 Advanced Micro Devices, Inc. Data Processing Using On-Chip Memory In Multiple Processing Units
US8880507B2 (en) * 2010-07-22 2014-11-04 Brocade Communications Systems, Inc. Longest prefix match using binary search tree
US8904115B2 (en) * 2010-09-28 2014-12-02 Texas Instruments Incorporated Cache with multiple access pipelines
RU2436151C1 (ru) * 2010-11-01 2011-12-10 Федеральное государственное унитарное предприятие "Российский Федеральный ядерный центр - Всероссийский научно-исследовательский институт экспериментальной физики" (ФГУП "РФЯЦ-ВНИИЭФ") Способ определения структуры гибридной вычислительной системы
US9667539B2 (en) * 2011-01-17 2017-05-30 Alcatel Lucent Method and apparatus for providing transport of customer QoS information via PBB networks
US8869162B2 (en) 2011-04-26 2014-10-21 Microsoft Corporation Stream processing on heterogeneous hardware devices
US9020892B2 (en) * 2011-07-08 2015-04-28 Microsoft Technology Licensing, Llc Efficient metadata storage
US8880494B2 (en) 2011-07-28 2014-11-04 Brocade Communications Systems, Inc. Longest prefix match scheme
WO2013020001A1 (en) 2011-08-02 2013-02-07 Cavium, Inc. Lookup front end output processor
US8923306B2 (en) 2011-08-02 2014-12-30 Cavium, Inc. Phased bucket pre-fetch in a network processor
US8910178B2 (en) 2011-08-10 2014-12-09 International Business Machines Corporation Performing a global barrier operation in a parallel computer
US9154335B2 (en) * 2011-11-08 2015-10-06 Marvell Israel (M.I.S.L) Ltd. Method and apparatus for transmitting data on a network
US9542236B2 (en) 2011-12-29 2017-01-10 Oracle International Corporation Efficiency sequencer for multiple concurrently-executing threads of execution
WO2013100783A1 (en) 2011-12-29 2013-07-04 Intel Corporation Method and system for control signalling in a data path module
US9495135B2 (en) 2012-02-09 2016-11-15 International Business Machines Corporation Developing collective operations for a parallel computer
WO2013124713A1 (en) * 2012-02-24 2013-08-29 Freescale Semiconductor, Inc. Clock distribution module, synchronous digital system and method therefor
US20130229290A1 (en) * 2012-03-01 2013-09-05 Eaton Corporation Instrument panel bus interface
JP6353359B2 (ja) * 2012-03-23 2018-07-04 株式会社Mush−A データ処理装置、データ処理システム、データ構造、記録媒体、記憶装置およびデータ処理方法
JP2013222364A (ja) * 2012-04-18 2013-10-28 Renesas Electronics Corp 信号処理回路
US8775727B2 (en) 2012-08-31 2014-07-08 Lsi Corporation Lookup engine with pipelined access, speculative add and lock-in-hit function
US9082078B2 (en) 2012-07-27 2015-07-14 The Intellisis Corporation Neural processing engine and architecture using the same
US9185057B2 (en) * 2012-12-05 2015-11-10 The Intellisis Corporation Smart memory
US9639371B2 (en) 2013-01-29 2017-05-02 Advanced Micro Devices, Inc. Solution to divergent branches in a SIMD core using hardware pointers
US9391893B2 (en) 2013-02-26 2016-07-12 Dell Products L.P. Lookup engine for an information handling system
US20140269690A1 (en) * 2013-03-13 2014-09-18 Qualcomm Incorporated Network element with distributed flow tables
US9185003B1 (en) * 2013-05-02 2015-11-10 Amazon Technologies, Inc. Distributed clock network with time synchronization and activity tracing between nodes
US20150012679A1 (en) * 2013-07-03 2015-01-08 Iii Holdings 2, Llc Implementing remote transaction functionalities between data processing nodes of a switched interconnect fabric
US10331583B2 (en) 2013-09-26 2019-06-25 Intel Corporation Executing distributed memory operations using processing elements connected by distributed channels
DK3055127T3 (da) * 2013-10-11 2017-11-27 Wpt Gmbh Elastisk gulvbelægning i form af en metervare, der kan rulles på
US20150120224A1 (en) 2013-10-29 2015-04-30 C3 Energy, Inc. Systems and methods for processing data relating to energy usage
JP2017504236A (ja) * 2013-11-29 2017-02-02 日本電気株式会社 Mtcのための装置、システム、及び方法
US9547553B1 (en) 2014-03-10 2017-01-17 Parallel Machines Ltd. Data resiliency in a shared memory pool
US9372724B2 (en) * 2014-04-01 2016-06-21 Freescale Semiconductor, Inc. System and method for conditional task switching during ordering scope transitions
US9372723B2 (en) * 2014-04-01 2016-06-21 Freescale Semiconductor, Inc. System and method for conditional task switching during ordering scope transitions
US9781027B1 (en) 2014-04-06 2017-10-03 Parallel Machines Ltd. Systems and methods to communicate with external destinations via a memory network
US9594688B1 (en) 2014-12-09 2017-03-14 Parallel Machines Ltd. Systems and methods for executing actions using cached data
US9690713B1 (en) 2014-04-22 2017-06-27 Parallel Machines Ltd. Systems and methods for effectively interacting with a flash memory
US9529622B1 (en) 2014-12-09 2016-12-27 Parallel Machines Ltd. Systems and methods for automatic generation of task-splitting code
US9733981B2 (en) 2014-06-10 2017-08-15 Nxp Usa, Inc. System and method for conditional task switching during ordering scope transitions
US9753873B1 (en) 2014-12-09 2017-09-05 Parallel Machines Ltd. Systems and methods for key-value transactions
US9639473B1 (en) 2014-12-09 2017-05-02 Parallel Machines Ltd. Utilizing a cache mechanism by copying a data set from a cache-disabled memory location to a cache-enabled memory location
US9781225B1 (en) 2014-12-09 2017-10-03 Parallel Machines Ltd. Systems and methods for cache streams
US9632936B1 (en) 2014-12-09 2017-04-25 Parallel Machines Ltd. Two-tier distributed memory
US10061531B2 (en) 2015-01-29 2018-08-28 Knuedge Incorporated Uniform system wide addressing for a computing system
US9552327B2 (en) 2015-01-29 2017-01-24 Knuedge Incorporated Memory controller for a network on a chip device
US9749225B2 (en) * 2015-04-17 2017-08-29 Huawei Technologies Co., Ltd. Software defined network (SDN) control signaling for traffic engineering to enable multi-type transport in a data plane
EP3278213A4 (en) 2015-06-05 2019-01-30 C3 IoT, Inc. SYSTEMS, METHODS AND DEVICES FOR AN APPLICATION DEVELOPMENT PLATFORM OF AN INTERNET OF THE THINGS OF A COMPANY
US20160381136A1 (en) * 2015-06-24 2016-12-29 Futurewei Technologies, Inc. System, method, and computer program for providing rest services to fine-grained resources based on a resource-oriented network
CN106326967B (zh) * 2015-06-29 2023-05-05 四川谦泰仁投资管理有限公司 一种带互动开关输入端口的rfid芯片
US10063407B1 (en) 2016-02-08 2018-08-28 Barefoot Networks, Inc. Identifying and marking failed egress links in data plane
US10313231B1 (en) * 2016-02-08 2019-06-04 Barefoot Networks, Inc. Resilient hashing for forwarding packets
US10027583B2 (en) 2016-03-22 2018-07-17 Knuedge Incorporated Chained packet sequences in a network on a chip architecture
US9595308B1 (en) 2016-03-31 2017-03-14 Altera Corporation Multiple-die synchronous insertion delay measurement circuit and methods
US10346049B2 (en) 2016-04-29 2019-07-09 Friday Harbor Llc Distributed contiguous reads in a network on a chip architecture
US10402168B2 (en) 2016-10-01 2019-09-03 Intel Corporation Low energy consumption mantissa multiplication for floating point multiply-add operations
US10664942B2 (en) * 2016-10-21 2020-05-26 Advanced Micro Devices, Inc. Reconfigurable virtual graphics and compute processor pipeline
US10084687B1 (en) 2016-11-17 2018-09-25 Barefoot Networks, Inc. Weighted-cost multi-pathing using range lookups
US10416999B2 (en) 2016-12-30 2019-09-17 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10572376B2 (en) 2016-12-30 2020-02-25 Intel Corporation Memory ordering in acceleration hardware
US10474375B2 (en) 2016-12-30 2019-11-12 Intel Corporation Runtime address disambiguation in acceleration hardware
US10558575B2 (en) 2016-12-30 2020-02-11 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10404619B1 (en) 2017-03-05 2019-09-03 Barefoot Networks, Inc. Link aggregation group failover for multicast
US10237206B1 (en) 2017-03-05 2019-03-19 Barefoot Networks, Inc. Equal cost multiple path group failover for multicast
US10296351B1 (en) * 2017-03-15 2019-05-21 Ambarella, Inc. Computer vision processing in hardware data paths
US10243882B1 (en) 2017-04-13 2019-03-26 Xilinx, Inc. Network on chip switch interconnect
CN107679620B (zh) * 2017-04-19 2020-05-26 赛灵思公司 人工神经网络处理装置
CN107704922B (zh) * 2017-04-19 2020-12-08 赛灵思公司 人工神经网络处理装置
CN107679621B (zh) * 2017-04-19 2020-12-08 赛灵思公司 人工神经网络处理装置
US10387319B2 (en) 2017-07-01 2019-08-20 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with memory system performance, power reduction, and atomics support features
US10445234B2 (en) 2017-07-01 2019-10-15 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with transactional and replay features
US10515046B2 (en) 2017-07-01 2019-12-24 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator
US10445451B2 (en) 2017-07-01 2019-10-15 Intel Corporation Processors, methods, and systems for a configurable spatial accelerator with performance, correctness, and power reduction features
US10515049B1 (en) 2017-07-01 2019-12-24 Intel Corporation Memory circuits and methods for distributed memory hazard detection and error recovery
US10469397B2 (en) 2017-07-01 2019-11-05 Intel Corporation Processors and methods with configurable network-based dataflow operator circuits
US10467183B2 (en) 2017-07-01 2019-11-05 Intel Corporation Processors and methods for pipelined runtime services in a spatial array
US10496574B2 (en) 2017-09-28 2019-12-03 Intel Corporation Processors, methods, and systems for a memory fence in a configurable spatial accelerator
US11086816B2 (en) 2017-09-28 2021-08-10 Intel Corporation Processors, methods, and systems for debugging a configurable spatial accelerator
US10380063B2 (en) 2017-09-30 2019-08-13 Intel Corporation Processors, methods, and systems with a configurable spatial accelerator having a sequencer dataflow operator
US10445098B2 (en) 2017-09-30 2019-10-15 Intel Corporation Processors and methods for privileged configuration in a spatial array
GB2568087B (en) * 2017-11-03 2022-07-20 Imagination Tech Ltd Activation functions for deep neural networks
US10445250B2 (en) 2017-12-30 2019-10-15 Intel Corporation Apparatus, methods, and systems with a configurable spatial accelerator
US10565134B2 (en) 2017-12-30 2020-02-18 Intel Corporation Apparatus, methods, and systems for multicast in a configurable spatial accelerator
US10417175B2 (en) 2017-12-30 2019-09-17 Intel Corporation Apparatus, methods, and systems for memory consistency in a configurable spatial accelerator
US10673745B2 (en) 2018-02-01 2020-06-02 Xilinx, Inc. End-to-end quality-of-service in a network-on-chip
US10503690B2 (en) 2018-02-23 2019-12-10 Xilinx, Inc. Programmable NOC compatible with multiple interface communication protocol
JP2019153909A (ja) * 2018-03-02 2019-09-12 株式会社リコー 半導体集積回路およびクロック供給方法
US10621129B2 (en) 2018-03-27 2020-04-14 Xilinx, Inc. Peripheral interconnect for configurable slave endpoint circuits
US10564980B2 (en) 2018-04-03 2020-02-18 Intel Corporation Apparatus, methods, and systems for conditional queues in a configurable spatial accelerator
US11307873B2 (en) 2018-04-03 2022-04-19 Intel Corporation Apparatus, methods, and systems for unstructured data flow in a configurable spatial accelerator with predicate propagation and merging
US10505548B1 (en) 2018-05-25 2019-12-10 Xilinx, Inc. Multi-chip structure having configurable network-on-chip
US10853073B2 (en) 2018-06-30 2020-12-01 Intel Corporation Apparatuses, methods, and systems for conditional operations in a configurable spatial accelerator
US10891240B2 (en) 2018-06-30 2021-01-12 Intel Corporation Apparatus, methods, and systems for low latency communication in a configurable spatial accelerator
US10459866B1 (en) 2018-06-30 2019-10-29 Intel Corporation Apparatuses, methods, and systems for integrated control and data processing in a configurable spatial accelerator
US11200186B2 (en) 2018-06-30 2021-12-14 Intel Corporation Apparatuses, methods, and systems for operations in a configurable spatial accelerator
US10838908B2 (en) 2018-07-20 2020-11-17 Xilinx, Inc. Configurable network-on-chip for a programmable device
US10824505B1 (en) 2018-08-21 2020-11-03 Xilinx, Inc. ECC proxy extension and byte organization for multi-master systems
US11176281B2 (en) * 2018-10-08 2021-11-16 Micron Technology, Inc. Security managers and methods for implementing security protocols in a reconfigurable fabric
US10963460B2 (en) 2018-12-06 2021-03-30 Xilinx, Inc. Integrated circuits and methods to accelerate data queries
US10678724B1 (en) 2018-12-29 2020-06-09 Intel Corporation Apparatuses, methods, and systems for in-network storage in a configurable spatial accelerator
US10796040B2 (en) * 2019-02-05 2020-10-06 Arm Limited Integrated circuit design and fabrication
US10936486B1 (en) 2019-02-21 2021-03-02 Xilinx, Inc. Address interleave support in a programmable device
US10680615B1 (en) 2019-03-27 2020-06-09 Xilinx, Inc. Circuit for and method of configuring and partially reconfiguring function blocks of an integrated circuit device
US10965536B2 (en) 2019-03-30 2021-03-30 Intel Corporation Methods and apparatus to insert buffers in a dataflow graph
US10915471B2 (en) 2019-03-30 2021-02-09 Intel Corporation Apparatuses, methods, and systems for memory interface circuit allocation in a configurable spatial accelerator
US10817291B2 (en) 2019-03-30 2020-10-27 Intel Corporation Apparatuses, methods, and systems for swizzle operations in a configurable spatial accelerator
US11029927B2 (en) 2019-03-30 2021-06-08 Intel Corporation Methods and apparatus to detect and annotate backedges in a dataflow graph
US11386038B2 (en) * 2019-05-09 2022-07-12 SambaNova Systems, Inc. Control flow barrier and reconfigurable data processor
US11188312B2 (en) 2019-05-23 2021-11-30 Xilinx, Inc. Hardware-software design flow with high-level synthesis for heterogeneous and programmable devices
US10891132B2 (en) 2019-05-23 2021-01-12 Xilinx, Inc. Flow convergence during hardware-software design for heterogeneous and programmable devices
US10891414B2 (en) 2019-05-23 2021-01-12 Xilinx, Inc. Hardware-software design flow for heterogeneous and programmable devices
US11301295B1 (en) 2019-05-23 2022-04-12 Xilinx, Inc. Implementing an application specified as a data flow graph in an array of data processing engines
US11288244B2 (en) * 2019-06-10 2022-03-29 Akamai Technologies, Inc. Tree deduplication
US11037050B2 (en) 2019-06-29 2021-06-15 Intel Corporation Apparatuses, methods, and systems for memory interface circuit arbitration in a configurable spatial accelerator
US10977018B1 (en) 2019-12-05 2021-04-13 Xilinx, Inc. Development environment for heterogeneous devices
US11907713B2 (en) 2019-12-28 2024-02-20 Intel Corporation Apparatuses, methods, and systems for fused operations using sign modification in a processing element of a configurable spatial accelerator
US11320885B2 (en) 2020-05-26 2022-05-03 Dell Products L.P. Wide range power mechanism for over-speed memory design
US11496418B1 (en) 2020-08-25 2022-11-08 Xilinx, Inc. Packet-based and time-multiplexed network-on-chip
US11336287B1 (en) 2021-03-09 2022-05-17 Xilinx, Inc. Data processing engine array architecture with memory tiles
US11520717B1 (en) 2021-03-09 2022-12-06 Xilinx, Inc. Memory tiles in data processing engine array
US11768714B2 (en) 2021-06-22 2023-09-26 Microsoft Technology Licensing, Llc On-chip hardware semaphore array supporting multiple conditionals
US11797480B2 (en) * 2021-12-31 2023-10-24 Tsx Inc. Storage of order books with persistent data structures
US11848670B2 (en) 2022-04-15 2023-12-19 Xilinx, Inc. Multiple partitions in a data processing array

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1061921B (it) * 1976-06-23 1983-04-30 Lolli & C Spa Perfezionamento nei diffusori per impianti di condizionamento d aria
USD259208S (en) * 1979-04-23 1981-05-12 Mccullough John R Roof vent
GB8401805D0 (en) * 1984-01-24 1984-02-29 Int Computers Ltd Data processing apparatus
JPS61156338A (ja) * 1984-12-27 1986-07-16 Toshiba Corp マルチプロセツサシステム
US4641571A (en) * 1985-07-15 1987-02-10 Enamel Products & Plating Co. Turbo fan vent
US4850027A (en) * 1985-07-26 1989-07-18 International Business Machines Corporation Configurable parallel pipeline image processing system
JP2564805B2 (ja) * 1985-08-08 1996-12-18 日本電気株式会社 情報処理装置
US4755986A (en) * 1985-09-13 1988-07-05 Nec Corporation Packet switching system
US5021947A (en) * 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
GB8618943D0 (en) * 1986-08-02 1986-09-10 Int Computers Ltd Data processing apparatus
EP0258740B1 (en) * 1986-09-02 1995-07-19 Fuji Photo Film Co., Ltd. Method of and apparatus for processing an image with gradation correction of video signal
US5418970A (en) * 1986-12-17 1995-05-23 Massachusetts Institute Of Technology Parallel processing system with processor array with processing elements addressing associated memories using host supplied address value and base register content
GB8723203D0 (en) * 1987-10-02 1987-11-04 Crosfield Electronics Ltd Interactive image modification
DE3742941A1 (de) * 1987-12-18 1989-07-06 Standard Elektrik Lorenz Ag Einrichtungen zur paketvermittlung
JP2559262B2 (ja) * 1988-10-13 1996-12-04 富士写真フイルム株式会社 磁気デイスク
JPH02105910A (ja) * 1988-10-14 1990-04-18 Hitachi Ltd 論理集積回路
AU620994B2 (en) * 1989-07-12 1992-02-27 Digital Equipment Corporation Compressed prefix matching database searching
US5212777A (en) * 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
US5218709A (en) * 1989-12-28 1993-06-08 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Special purpose parallel computer architecture for real-time control and simulation in robotic applications
US5426610A (en) * 1990-03-01 1995-06-20 Texas Instruments Incorporated Storage circuitry using sense amplifier with temporary pause for voltage supply isolation
JPH04219859A (ja) * 1990-03-12 1992-08-10 Hewlett Packard Co <Hp> 並列プロセッサに直列命令ストリームデータを分散するハードウェアディストリビュータ
US5327159A (en) * 1990-06-27 1994-07-05 Texas Instruments Incorporated Packed bus selection of multiple pixel depths in palette devices, systems and methods
US5121198A (en) * 1990-06-28 1992-06-09 Eastman Kodak Company Method of setting the contrast of a color video picture in a computer controlled photographic film analyzing system
US5765011A (en) 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5590345A (en) * 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5963746A (en) 1990-11-13 1999-10-05 International Business Machines Corporation Fully distributed processing memory element
US5752067A (en) 1990-11-13 1998-05-12 International Business Machines Corporation Fully scalable parallel processing system having asynchronous SIMD processing
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
US5367643A (en) * 1991-02-06 1994-11-22 International Business Machines Corporation Generic high bandwidth adapter having data packet memory configured in three level hierarchy for temporary storage of variable length data packets
US5285528A (en) * 1991-02-22 1994-02-08 International Business Machines Corporation Data structures and algorithms for managing lock states of addressable element ranges
WO1992015960A1 (en) * 1991-03-05 1992-09-17 Hajime Seki Electronic computer system and processor elements used for this system
US5313582A (en) * 1991-04-30 1994-05-17 Standard Microsystems Corporation Method and apparatus for buffering data within stations of a communication network
US5224100A (en) 1991-05-09 1993-06-29 David Sarnoff Research Center, Inc. Routing technique for a hierarchical interprocessor-communication network between massively-parallel processors
WO1993001669A1 (en) * 1991-07-01 1993-01-21 Australian And Overseas Telecommunications Corporation Limited High speed switching architecture
US5404550A (en) * 1991-07-25 1995-04-04 Tandem Computers Incorporated Method and apparatus for executing tasks by following a linked list of memory packets
US5155484A (en) * 1991-09-13 1992-10-13 Salient Software, Inc. Fast data compressor with direct lookup table indexing into history buffer
JP2750968B2 (ja) * 1991-11-18 1998-05-18 シャープ株式会社 データ駆動型情報処理装置
US5307381A (en) * 1991-12-27 1994-04-26 Intel Corporation Skew-free clock signal distribution network in a microprocessor
US5603028A (en) * 1992-03-02 1997-02-11 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for data distribution
JPH0696035A (ja) 1992-09-16 1994-04-08 Sanyo Electric Co Ltd 処理要素とそれを用いる並列処理計算機
EP0601715A1 (en) * 1992-12-11 1994-06-15 National Semiconductor Corporation Bus of CPU core optimized for accessing on-chip memory devices
US5579223A (en) * 1992-12-24 1996-11-26 Microsoft Corporation Method and system for incorporating modifications made to a computer program into a translated version of the computer program
US5640551A (en) * 1993-04-14 1997-06-17 Apple Computer, Inc. Efficient high speed trie search process
GB2277235B (en) * 1993-04-14 1998-01-07 Plessey Telecomm Apparatus and method for the digital transmission of data
US5420858A (en) * 1993-05-05 1995-05-30 Synoptics Communications, Inc. Method and apparatus for communications from a non-ATM communication medium to an ATM communication medium
JP2629568B2 (ja) * 1993-07-30 1997-07-09 日本電気株式会社 Atmセル交換方式
US5918061A (en) * 1993-12-29 1999-06-29 Intel Corporation Enhanced power managing unit (PMU) in a multiprocessor chip
US5524223A (en) 1994-01-31 1996-06-04 Motorola, Inc. Instruction accelerator for processing loop instructions with address generator using multiple stored increment values
US5423003A (en) * 1994-03-03 1995-06-06 Geonet Limited L.P. System for managing network computer applications
DE69428186T2 (de) * 1994-04-28 2002-03-28 Hewlett Packard Co Mehrfachsendeeinrichtung
DE69519449T2 (de) * 1994-05-05 2001-06-21 Conexant Systems Inc Raumzeigersdatenpfad
WO1995031078A1 (en) 1994-05-06 1995-11-16 Motorola Inc. Call routing system for a wireless data device
US5463732A (en) * 1994-05-13 1995-10-31 David Sarnoff Research Center, Inc. Method and apparatus for accessing a distributed data buffer
US5659781A (en) * 1994-06-29 1997-08-19 Larson; Noble G. Bidirectional systolic ring network
US5682480A (en) * 1994-08-15 1997-10-28 Hitachi, Ltd. Parallel computer system for performing barrier synchronization by transferring the synchronization packet through a path which bypasses the packet buffer in response to an interrupt
US5586119A (en) * 1994-08-31 1996-12-17 Motorola, Inc. Method and apparatus for packet alignment in a communication system
US5949781A (en) * 1994-08-31 1999-09-07 Brooktree Corporation Controller for ATM segmentation and reassembly
US5754584A (en) * 1994-09-09 1998-05-19 Omnipoint Corporation Non-coherent spread-spectrum continuous-phase modulation communication system
WO1996014617A1 (en) * 1994-11-07 1996-05-17 Temple University - Of The Commonwealth System Higher Education Multicomputer system and method
US5651099A (en) * 1995-01-26 1997-07-22 Hewlett-Packard Company Use of a genetic algorithm to optimize memory space
JPH08249306A (ja) * 1995-03-09 1996-09-27 Sharp Corp データ駆動型情報処理装置
US5634068A (en) * 1995-03-31 1997-05-27 Sun Microsystems, Inc. Packet switched cache coherent multiprocessor system
US5835095A (en) * 1995-05-08 1998-11-10 Intergraph Corporation Visible line processor
JP3515263B2 (ja) * 1995-05-18 2004-04-05 株式会社東芝 ルータ装置、データ通信ネットワークシステム、ノード装置、データ転送方法及びネットワーク接続方法
US5689677A (en) 1995-06-05 1997-11-18 Macmillan; David C. Circuit for enhancing performance of a computer for personal use
US6147996A (en) * 1995-08-04 2000-11-14 Cisco Technology, Inc. Pipelined multiple issue packet switch
US6115802A (en) * 1995-10-13 2000-09-05 Sun Mircrosystems, Inc. Efficient hash table for use in multi-threaded environments
US5612956A (en) * 1995-12-15 1997-03-18 General Instrument Corporation Of Delaware Reformatting of variable rate data for fixed rate communication
US5822606A (en) * 1996-01-11 1998-10-13 Morton; Steven G. DSP having a plurality of like processors controlled in parallel by an instruction word, and a control processor also controlled by the instruction word
IL116989A (en) * 1996-01-31 1999-10-28 Galileo Technology Ltd Switching ethernet controller
WO1997029613A1 (en) 1996-02-06 1997-08-14 International Business Machines Corporation Parallel on-the-fly processing of fixed length cells
US5781549A (en) * 1996-02-23 1998-07-14 Allied Telesyn International Corp. Method and apparatus for switching data packets in a data network
US6035193A (en) 1996-06-28 2000-03-07 At&T Wireless Services Inc. Telephone system having land-line-supported private base station switchable into cellular network
US6101176A (en) 1996-07-24 2000-08-08 Nokia Mobile Phones Method and apparatus for operating an indoor CDMA telecommunications system
US5828858A (en) * 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6088355A (en) * 1996-10-11 2000-07-11 C-Cube Microsystems, Inc. Processing system with pointer-based ATM segmentation and reassembly
US6791947B2 (en) * 1996-12-16 2004-09-14 Juniper Networks In-line packet processing
JPH10271132A (ja) * 1997-03-27 1998-10-09 Toshiba Corp パケット交換網におけるフロー制御方式
JP3000961B2 (ja) * 1997-06-06 2000-01-17 日本電気株式会社 半導体集積回路
US5969559A (en) * 1997-06-09 1999-10-19 Schwartz; David M. Method and apparatus for using a power grid for clock distribution in semiconductor integrated circuits
US5828870A (en) * 1997-06-30 1998-10-27 Adaptec, Inc. Method and apparatus for controlling clock skew in an integrated circuit
JP3469046B2 (ja) * 1997-07-08 2003-11-25 株式会社東芝 機能ブロック、および半導体集積回路装置
US6047304A (en) * 1997-07-29 2000-04-04 Nortel Networks Corporation Method and apparatus for performing lane arithmetic to perform network processing
TW400473B (en) * 1997-09-17 2000-08-01 Sony Electronics Inc Triplet architecture in a multi-port bridge for a local area network including method and apparatus for periodically updating entries in a content addressable memory
JPH11194850A (ja) * 1997-09-19 1999-07-21 Lsi Logic Corp 集積回路用クロック分配ネットワークおよびクロック分配方法
US6009488A (en) * 1997-11-07 1999-12-28 Microlinc, Llc Computer having packet-based interconnect channel
US5872993A (en) * 1997-12-01 1999-02-16 Advanced Micro Devices, Inc. Communications system with multiple, simultaneous accesses to a memory
US6081523A (en) * 1997-12-05 2000-06-27 Advanced Micro Devices, Inc. Arrangement for transmitting packet data segments from a media access controller across multiple physical links
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
US6301603B1 (en) * 1998-02-17 2001-10-09 Euphonics Incorporated Scalable audio processing on a heterogeneous processor array
JP3490286B2 (ja) 1998-03-13 2004-01-26 株式会社東芝 ルータ装置及びフレーム転送方法
JPH11272629A (ja) * 1998-03-19 1999-10-08 Hitachi Ltd データ処理装置
US6052769A (en) * 1998-03-31 2000-04-18 Intel Corporation Method and apparatus for moving select non-contiguous bytes of packed data in a single instruction
US6275508B1 (en) * 1998-04-21 2001-08-14 Nexabit Networks, Llc Method of and system for processing datagram headers for high speed computer network interfaces at low clock speeds, utilizing scalable algorithms for performing such network header adaptation (SAPNA)
CA2331820A1 (en) * 1998-05-07 1999-11-11 Cabletron Systems, Inc. Multiple priority buffering in a computer network
US6131102A (en) * 1998-06-15 2000-10-10 Microsoft Corporation Method and system for cost computation of spelling suggestions and automatic replacement
US6305001B1 (en) * 1998-06-18 2001-10-16 Lsi Logic Corporation Clock distribution network planning and method therefor
DE69840947D1 (de) 1998-09-10 2009-08-13 Ibm Paketvermittlunganpassungseinrichtung für Datenpaketen mit variabler Länge
US6393026B1 (en) * 1998-09-17 2002-05-21 Nortel Networks Limited Data packet processing system and method for a router
EP0992895A1 (en) * 1998-10-06 2000-04-12 Texas Instruments Inc. Hardware accelerator for data processing systems
JP3504510B2 (ja) * 1998-10-12 2004-03-08 日本電信電話株式会社 パケットスイッチ
JP3866425B2 (ja) * 1998-11-12 2007-01-10 株式会社日立コミュニケーションテクノロジー パケットスイッチ
US6272522B1 (en) * 1998-11-17 2001-08-07 Sun Microsystems, Incorporated Computer data packet switching and load balancing system using a general-purpose multiprocessor architecture
US6256421B1 (en) * 1998-12-07 2001-07-03 Xerox Corporation Method and apparatus for simulating JPEG compression
JP3704438B2 (ja) * 1998-12-09 2005-10-12 株式会社日立製作所 可変長パケット通信装置
US6338078B1 (en) * 1998-12-17 2002-01-08 International Business Machines Corporation System and method for sequencing packets for multiprocessor parallelization in a computer network system
US6366584B1 (en) * 1999-02-06 2002-04-02 Triton Network Systems, Inc. Commercial network based on point to point radios
JP3587076B2 (ja) 1999-03-05 2004-11-10 松下電器産業株式会社 パケット受信装置
US6605001B1 (en) * 1999-04-23 2003-08-12 Elia Rocco Tarantino Dice game in which categories are filled and scores awarded
GB2352536A (en) * 1999-07-21 2001-01-31 Element 14 Ltd Conditional instruction execution
GB2352595B (en) * 1999-07-27 2003-10-01 Sgs Thomson Microelectronics Data processing device
USD428484S (en) * 1999-08-03 2000-07-18 Zirk Todd A Copper roof vent cover
US6631422B1 (en) 1999-08-26 2003-10-07 International Business Machines Corporation Network adapter utilizing a hashing function for distributing packets to multiple processors for parallel processing
US6404752B1 (en) * 1999-08-27 2002-06-11 International Business Machines Corporation Network switch using network processor and methods
US6631419B1 (en) * 1999-09-22 2003-10-07 Juniper Networks, Inc. Method and apparatus for high-speed longest prefix and masked prefix table search
US6963572B1 (en) * 1999-10-22 2005-11-08 Alcatel Canada Inc. Method and apparatus for segmentation and reassembly of data packets in a communication switch
WO2001046777A2 (en) * 1999-10-26 2001-06-28 Pyxsys Corporation Mimd arrangement of simd machines
JP2001177574A (ja) * 1999-12-20 2001-06-29 Kddi Corp パケット交換網における伝送制御装置
GB2357601B (en) * 1999-12-23 2004-03-31 Ibm Remote power control
US6661794B1 (en) 1999-12-29 2003-12-09 Intel Corporation Method and apparatus for gigabit packet assignment for multithreaded packet processing
HUP0203823A2 (en) * 2000-01-07 2003-05-28 Ibm Method and system for frame and protocol classification
US20030093613A1 (en) * 2000-01-14 2003-05-15 David Sherman Compressed ternary mask system and method
JP2001202345A (ja) * 2000-01-21 2001-07-27 Hitachi Ltd 並列プロセッサ
ATE319249T1 (de) * 2000-01-27 2006-03-15 Ibm Verfahren und vorrichtung für klassifizierung von datenpaketen
US20020107903A1 (en) * 2000-11-07 2002-08-08 Richter Roger K. Methods and systems for the order serialization of information in a network processing environment
US6704794B1 (en) * 2000-03-03 2004-03-09 Nokia Intelligent Edge Routers Inc. Cell reassembly for packet based networks
JP2001251349A (ja) * 2000-03-06 2001-09-14 Fujitsu Ltd パケットプロセッサ
US7139282B1 (en) * 2000-03-24 2006-11-21 Juniper Networks, Inc. Bandwidth division for packet processing
US7089240B2 (en) * 2000-04-06 2006-08-08 International Business Machines Corporation Longest prefix match lookup using hash function
US7107265B1 (en) * 2000-04-06 2006-09-12 International Business Machines Corporation Software management tree implementation for a network processor
US6718326B2 (en) * 2000-08-17 2004-04-06 Nippon Telegraph And Telephone Corporation Packet classification search device and method
DE10059026A1 (de) 2000-11-28 2002-06-13 Infineon Technologies Ag Einheit zur Verteilung und Verarbeitung von Datenpaketen
GB2370381B (en) * 2000-12-19 2003-12-24 Picochip Designs Ltd Processor architecture
USD453960S1 (en) * 2001-01-30 2002-02-26 Molded Products Company Shroud for a fan assembly
US6832261B1 (en) 2001-02-04 2004-12-14 Cisco Technology, Inc. Method and apparatus for distributed resequencing and reassembly of subdivided packets
GB2407673B (en) 2001-02-14 2005-08-24 Clearspeed Technology Plc Lookup engine
JP2004524617A (ja) 2001-02-14 2004-08-12 クリアスピード・テクノロジー・リミテッド クロック分配システム
JP4475835B2 (ja) * 2001-03-05 2010-06-09 富士通株式会社 入力回線インタフェース装置及びパケット通信装置
CA97495S (en) * 2001-03-20 2003-05-07 Flettner Ventilator Ltd Rotor
USD471971S1 (en) * 2001-03-20 2003-03-18 Flettner Ventilator Limited Ventilation cover
US6687715B2 (en) * 2001-06-28 2004-02-03 Intel Corporation Parallel lookups that keep order
US6922716B2 (en) 2001-07-13 2005-07-26 Motorola, Inc. Method and apparatus for vector processing
EP1423957A1 (en) * 2001-08-29 2004-06-02 Nokia Corporation Method and system for classifying binary strings
US7283538B2 (en) * 2001-10-12 2007-10-16 Vormetric, Inc. Load balanced scalable network gateway processor architecture
US7317730B1 (en) * 2001-10-13 2008-01-08 Greenfield Networks, Inc. Queueing architecture and load balancing for parallel packet processing in communication networks
US6941446B2 (en) 2002-01-21 2005-09-06 Analog Devices, Inc. Single instruction multiple data array cell
US7382782B1 (en) 2002-04-12 2008-06-03 Juniper Networks, Inc. Packet spraying for load balancing across multiple packet processors
US20030231627A1 (en) * 2002-06-04 2003-12-18 Rajesh John Arbitration logic for assigning input packet to available thread of a multi-threaded multi-engine network processor
US7200137B2 (en) * 2002-07-29 2007-04-03 Freescale Semiconductor, Inc. On chip network that maximizes interconnect utilization between processing elements
US8015567B2 (en) 2002-10-08 2011-09-06 Netlogic Microsystems, Inc. Advanced processor with mechanism for packet distribution at high line rate
GB0226249D0 (en) * 2002-11-11 2002-12-18 Clearspeed Technology Ltd Traffic handling system
US7656799B2 (en) 2003-07-29 2010-02-02 Citrix Systems, Inc. Flow control system architecture
US7620050B2 (en) 2004-09-10 2009-11-17 Canon Kabushiki Kaisha Communication control device and communication control method
US7787454B1 (en) 2007-10-31 2010-08-31 Gigamon Llc. Creating and/or managing meta-data for data storage devices using a packet switch appliance
JP5231926B2 (ja) 2008-10-06 2013-07-10 キヤノン株式会社 情報処理装置、その制御方法、及びコンピュータプログラム
US8493979B2 (en) * 2008-12-30 2013-07-23 Intel Corporation Single instruction processing of network packets
US8014295B2 (en) 2009-07-14 2011-09-06 Ixia Parallel packet processor with session active checker

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1909418B (zh) * 2006-08-01 2010-05-12 华为技术有限公司 通用无线接口的时钟分配装置及实现速率切换的方法
CN101355482B (zh) * 2008-09-04 2011-09-21 中兴通讯股份有限公司 实现嵌入式设备地址顺序识别的设备、方法和系统
CN103631315A (zh) * 2012-08-22 2014-03-12 上海华虹集成电路有限责任公司 便于时序修复的时钟设计方法
CN109124767A (zh) * 2017-06-27 2019-01-04 韦伯斯特生物官能(以色列)有限公司 用于在无线系统中的时钟之间进行同步的系统和方法
CN109124767B (zh) * 2017-06-27 2023-11-07 韦伯斯特生物官能(以色列)有限公司 用于在无线系统中的时钟之间进行同步的系统和方法
CN107831824A (zh) * 2017-10-16 2018-03-23 算丰科技(北京)有限公司 时钟信号传递方法、装置、复用芯片和电子设备
WO2022105252A1 (zh) * 2020-11-23 2022-05-27 深圳比特微电子科技有限公司 运算核、计算芯片和数据处理设备

Also Published As

Publication number Publication date
US8127112B2 (en) 2012-02-28
GB0203634D0 (en) 2002-04-03
GB2390506B (en) 2005-03-23
GB2374442B (en) 2005-03-23
GB2389689B (en) 2005-06-08
US8200686B2 (en) 2012-06-12
CN100367730C (zh) 2008-02-06
US7856543B2 (en) 2010-12-21
GB2374442A (en) 2002-10-16
US20030041163A1 (en) 2003-02-27
JP2004524617A (ja) 2004-08-12
US20020161926A1 (en) 2002-10-31
GB2377519B (en) 2005-06-15
AU2002233500A1 (en) 2002-08-28
US20040130367A1 (en) 2004-07-08
US20040114609A1 (en) 2004-06-17
US7917727B2 (en) 2011-03-29
US20020159466A1 (en) 2002-10-31
GB0203633D0 (en) 2002-04-03
GB2377519A (en) 2003-01-15
GB2390506A (en) 2004-01-07
GB0203632D0 (en) 2002-04-03
US20070217453A1 (en) 2007-09-20
GB2374443A (en) 2002-10-16
US20050243827A1 (en) 2005-11-03
US20070220232A1 (en) 2007-09-20
US7818541B2 (en) 2010-10-19
US20110083000A1 (en) 2011-04-07
GB0319801D0 (en) 2003-09-24
WO2002065700A3 (en) 2002-11-21
JP2004525449A (ja) 2004-08-19
CN1504035A (zh) 2004-06-09
US7290162B2 (en) 2007-10-30
GB2374443B (en) 2005-06-08
US20050242976A1 (en) 2005-11-03
WO2002065700A2 (en) 2002-08-22
GB2389689A (en) 2003-12-17
WO2002065259A1 (en) 2002-08-22
GB0321186D0 (en) 2003-10-08

Similar Documents

Publication Publication Date Title
CN1613041A (zh) 时钟分配系统
Ajima et al. Tofu interconnect 2: System-on-chip integration of high-performance interconnect
US5854752A (en) Circuit partitioning technique for use with multiplexed inter-connections
US7039891B2 (en) Method of clock driven cell placement and clock tree synthesis for integrated circuit design
CN1870435A (zh) 可编程逻辑器件串行接口中的多数据速率
US8484596B1 (en) Method and apparatus for performing fast incremental resynthesis
JPH0766718A (ja) プログラム可能論理用ウェファ・スケール構造
JP2002544700A (ja) 異種のプログラマブルゲートアレイ
US7978693B2 (en) Integrated circuit and method for packet switching control
CN1618060A (zh) 可配置的同步或异步接口
US6480996B1 (en) System and method for transposing wires in a circuit design
US9342640B1 (en) Method and apparatus for protecting, optimizing, and reporting synchronizers
EP1011039A1 (en) Gap-coupling bus system
US20050243531A1 (en) Interposer device
CN115292238A (zh) 基于fpga的片间并行接口相位对齐实现方法
Sathe et al. Design of a switching node (router) for on-chip networks
US7620925B1 (en) Method and apparatus for performing post-placement routability optimization
CN1573642A (zh) 在收到控制信号后可编程时钟管理部件的重新配置
Svantesson et al. A methodology and algorithms for efficient interprocess communication synthesis from system description in SDL
JP3198999B2 (ja) スキャンパス回路のクロックツリー形成方法
Seifi et al. A clustered NoC in group communication
US6609240B2 (en) Method of designing conductive pattern layout of LSI
US6466050B1 (en) Method to improve routability in programmable logic devices via prioritized augmented flows
Hwang et al. Automatic protocol translation and template based interface synthesis for IP reuse in SoC
US8468487B1 (en) Method and apparatus for implementing cross-talk based booster wires in a system on a field programmable gate array

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication