CN1656425A - 在晶片处理中低电介质材料的钝化方法 - Google Patents

在晶片处理中低电介质材料的钝化方法 Download PDF

Info

Publication number
CN1656425A
CN1656425A CNA038052350A CN03805235A CN1656425A CN 1656425 A CN1656425 A CN 1656425A CN A038052350 A CNA038052350 A CN A038052350A CN 03805235 A CN03805235 A CN 03805235A CN 1656425 A CN1656425 A CN 1656425A
Authority
CN
China
Prior art keywords
described method
low
supercritical
overcritical
carbon dioxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038052350A
Other languages
English (en)
Other versions
CN1296771C (zh
Inventor
D·I·托马
P·施林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Supercritical Systems Inc
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1656425A publication Critical patent/CN1656425A/zh
Application granted granted Critical
Publication of CN1296771C publication Critical patent/CN1296771C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Abstract

公开了一种使用超临界二氧化碳钝化溶液来钝化二氧化硅基低k材料的方法,所述钝化溶液包括甲硅烷基化剂。所述甲硅烷基化剂优选是有机硅化合物,其包括具有五个碳原子的有机基团,例如六甲基二硅氮烷(HMDS)和氯三甲基硅烷(TMCS)以及它们的组合。依照本发明的实施方案,当所述二氧化硅基低k材料被暴露到所述超临界处理溶液时,其被保持在40到200摄氏度范围内的温度,优选在大约150摄氏度,和保持在1,070到9,000psi范围内的压力,优选在大约3,000psi的压力。依照本发明进一步的实施方案,用超临界二氧化碳清洗溶液同时清洗和钝化二氧化硅基低k材料。

Description

在晶片处理中低电介质材料的钝化方法
相关申请
该专利申请根据35U.S.C.119(e)要求2002年3月4日提出的,序列号为60/361,917,题目为“钝化多孔低k电介质薄膜的方法”的共同未决美国临时专利申请和2002年3月29日提出的,序列号60/369,052,题目为“用于ULK电介质的集成和构成的超临界CO2处理的应用”的共同未决美国临时专利申请的优先权。所述2002年3月4日提出的,序列号60/361,917,题目为“钝化多孔的低k电介质膜的方法”的共同未决美国临时专利申请和2002年3月29日提出的,序列号为60/369,052,题目为“用于ULK电介质的集成和构成的超临界CO2处理的应用”的共同未决美国临时专利申请也在这里结合作为参考文献。
发明领域
本发明涉及微装置处理领域。更特别地,本发明涉及用超临界处理溶液钝化低电介质材料。
发明背景
半导体制作在蚀刻和其他处理步骤中一般使用光刻胶。在所述蚀刻步骤中,光刻胶遮掩住不进行蚀刻的半导体基板区域。所述其他处理步骤的例子包括在离子植入步骤中使用光刻胶遮掩住半导体基板区域或用所述光刻胶作为被处理的晶片的覆盖保护涂料或用所述光刻胶作为MEMS(微电子机械系统)装置的覆盖保护涂料。
集成电路的现状是可以包含高达6百万个晶体管和超过800米的配线。仍不断推动提高晶片集成电路上晶体管的数量。由于提高了晶体管的数量,为了保持高性能要求,就需要减小紧密挤压的配线之间的串扰。半导体行业正不断地寻找能帮助提高晶片集成电路性能的新的处理方法和新的材料。
展现出在3.5-2.5之间的低介电常数的材料一般称作低k材料,具有2.5和以下介电常数的多孔材料一般称作超低k(ULK)材料。为了本申请的目的,低k材料指低k和超低k材料两者。低k材料已经显示出减小串扰和提供了制造甚至更小集成电路几何图案的飞跃。也已经证明低k材料对于低温处理有用。例如,旋涂玻璃材料(SOG)和聚合物可以被涂布到基板上,用相对低的温度处理或固化以制造多孔二氧化硅基低k层。这里的二氧化硅基并不严格指二氧化硅材料。实际上有许多低k材料,其具有二氧化硅和碳氢化合物成分和/或碳,其中分子式为SiOxCxHz,这里称作混合材料,在这里指MSQ材料。然而应注意,MSQ经常指甲基倍半硅氧烷,其是上面描述的混合低k材料的一个例子。一些低k材料如掺碳氧化物(carbon doped oxide)(COD)或加氟化硅玻璃(FSG)使用化学气相沉积技术来沉积,而其他低k材料如MSQ,多孔MSQ和多孔硅石使用旋转处理来沉积。
虽然低k材料对于制作先进的微电路来说是有希望的材料,但它们也具有若干问题,它们相对于更传统的电介质层往往是不坚固的,且能被在晶片处理中的图案化电介质层中一般使用的蚀刻和等离子灰化(plasma ashing)工序所损坏,尤其在如上所述的混合低k材料的情况下。而且,二氧化硅基低k材料在图案化步骤后往往是高反应性的。所述二氧化硅基低k材料的亲水表面容易吸收水和/或与其他蒸气和/或处理污染物反应,其能改变所述电介质层本身的电特性和/减小进一步处理晶片的能力。
所需要的是钝化低k层的方法,尤其在图案化步骤之后。优选地,钝化低k层的方法与其他晶片处理步骤,如用于移除污染物和/或在图案化步骤之后的蚀刻后残渣,是相容的。
发明概要
本发明涉及使用超临界钝化溶液钝化二氧化硅基低k材料。低k材料经常是多孔氧化物基材料,可以包括有机的或碳氢化合物成分。低k材料的例子包括,但不限于此,掺碳氧化物(COD),旋涂玻璃(SOG)和加氟化硅玻璃(FSG)材料。依照本发明的实施方案,超临界钝化溶液包括超临界二氧化碳和相当数量的钝化剂,优选为甲硅烷基化剂。所述甲硅烷基化剂纯净地或同载体溶剂被引入超临界二氧化碳,所述载体溶剂例如N,N-二甲基乙酰胺(DMAC),γ-丁内丙酮(BLO),二甲基亚砜(DMSO),碳酸亚乙酯(EC)N-甲基吡咯烷酮(NMP),二甲基哌啶酮,碳酸亚丙酯,醇或它们的混合物,以产生超临界钝化溶液。依照本发明优选的实施方案,所述甲硅烷基化剂是有机硅化合物,在钝化步骤过程中甲硅烷基基团(Si(CR3)3)攻击二氧化硅基低k电介质材料表面上的和/或二氧化硅基低k电介质材料主体内的硅烷醇(Si-OH)基团,以形成覆盖有有机甲硅烷基基团的表面。
依照本发明进一步的实施方案,用超临界钝化溶液钝化二氧化硅基低k材料,所述超临界钝化溶液包括超临界二氧化碳和有机硅化合物,所述有机硅化合物包括具有5个碳原子或更少的有机基团。依照本发明优选的实施方案,所述有机基团或它的部分是甲基基团。例如,在本发明中适合作为甲硅烷基化剂的有机硅化合物包括,但并不限于此,六甲基二硅氮烷(HMDS),氯三甲基硅烷(TMCS),三氯甲基硅烷(TCMS)和它们的组合。可选择地,(CH3)基团的来源(source)可以用作甲硅烷基化剂。
在超临界钝化步骤过程中,依照本发明的实施方案,当超临界钝化溶液如,如上所述的超临界钝化溶液在二氧化硅基低k材料的表面上循环时,二氧化硅基低k材料被保持在40到200摄氏度范围内的温度,优选在大约150摄氏度,和保持在1,070到9,000psi范围内的压力,优选在大约3,000psi的压力。
依照本发明进一步的实施方案,所述二氧化硅基低k材料在所述钝化步骤之前被干燥或再处理。依照本发明的这个实施方案,所述二氧化硅基低k材料通过将所述低k材料暴露到超临界二氧化碳或带有一种或更多溶剂的超临界二氧化碳的超临界溶液而被干燥,或再处理,所述溶剂包括,但不限于此,乙醇,甲醇,正己烷和它们的组合。带有甲醇和乙醇的超临界处理溶液主要从低k材料移除水,而带有正己烷的超临界处理溶液被认为能从低k材料移除羟基基团,并在所述钝化处理步骤中促进甲硅烷基化剂甲硅烷基化所述低k材料的能力。
依照本发明的进一步实施方案,在清洗处理步骤过程中钝化电介质表面,其中用包含钝化剂如,如上所述钝化剂的超临界清洗溶液从所述电介质表面同时移除蚀刻后残渣。所述蚀刻后残渣可以包括光刻胶聚合物或带有抗反射染料和/抗反射层的光刻胶聚合物。
依照本发明的方法,通过沉积低k电介质材料的连续层,蚀刻在低k材料中的图案,并用包含有超临界二氧化碳和硅基钝化剂的超临界溶液移除蚀刻后残渣,形成图案化的低k电介质层。
在通过蚀刻和/或灰化处理低k材料而将低k材料图案化后,在低k混合材料的情况下,作为所述材料恶化和/或所述有机成分被移除的结果,所述低k材料显示出显著增加的k值;已经发现k值的增加大于1.0。依照本发明,所述钝化方法具有恢复或复原在所述图案化步骤中损失的部分k值。实际上,依照本发明的实施方案,已经发现被钝化的低k材料可以被恢复到展现出接近或是所述最初的未被图案化的材料的k值。
用超临界处理溶液来处理晶片基板的合适的超临界系统的进一步详细资料描述在1999年9月3日提出的,序列号为09/389,788,题目为“从用超临界二氧化碳处理法的半导体中移除光刻胶和光刻胶残渣”的美国专利申请和2000年10月25日提出的,序列号为09/697,222,题目为“从用超临界二氧化碳处理法的基板中移除光刻胶和残渣”的美国专利申请中,其两者在这里引入作为参考。
附图简述
图1A-C显示了依照本发明实施方案在超临界处理步骤中用作甲硅烷基化剂的有机硅结构的示意性表示。
图1D显示了依照本发明实施方案使甲硅烷基化剂与低k材料中的硅烷醇基团反应的的示意性表示。
图1E图解了低k材料表面上的硅烷醇基团与甲硅烷基基团之间的空间位阻,其能导致表面的甲硅烷基化不完全。
图2显示了依照本发明实施方案的超临界晶片处理装置的简单示意图。
图3显示了依照本发明实施方案的超临界处理装置的详细示意图。
图4是依照本发明方法,用于超临界清洗,冲洗或固化步骤的压力对时间的图。
图5是概要说明依照本发明实施方案用于处理二氧化硅基低k层步骤的示意性方块图。
图6显示了依照本发明实施方案在用钝化剂处理之前和之后的硅基低k材料的红外吸收光谱。
优选实施方案的详细描述
在半导体制作中,在一个或多个蚀刻和灰化步骤中一般使用光刻胶掩模将电介质层图案化。一般地,为了获得高的清晰线宽和高的特征纵横比,需要抗反射涂料。在早期的处理中,氮化钛(TiN)抗反射涂料(ARC)气相沉积在电介质层上,TiN抗反射涂料在图案化后不会移除而是留在制作的装置的一部分上。对于被制成非常薄的新型低电介质层,不优选TiN抗反射涂料,因为抗反射涂料能控制电介质层的电特性。因此,优选具有在图案化步骤之后可以被移除的抗反射染料的聚合物旋涂抗反射涂料。不管在图案化步骤中使用什么材料,在将电介质层图案化之后,这些材料优选在图案化处理完成之后从电介质层上移除。
多孔低k材料最通常是如上所述的具有硅烷醇(Si-OH)基团和/或有机成分的二氧化硅基材料。这些低k材料被活性化和/或被损坏,其部分地认为是由于在蚀刻和/或灰化步骤过程中有机成分被损耗。在活性化和/或损坏任一种情况下,附加的硅烷醇基团被暴露,其可以很容易地吸收水和/或污染物和/或在其它处理步骤过程中存在的化学物质。因而,具有暴露的低k材料层的部分装置结构很难处理和保持不含污染物,尤其在图案化步骤之后。而且,活性化和/或损坏低k材料的主体能导致增加的k值。已经观察到被活性化和/或被损坏的低k材料可以展现出1.0或更大的k值。
本发明关注用于钝化多孔低k材料的方法和系统。本发明的方法优选通过将在表面上和/或低k材料主体内的硅烷醇基团端封而钝化图案化的低k层的层,以制造图案化的低k材料,其是更加疏水性的,对污染物更加有抵抗力和/或较小活性。依照本发明实施方案,钝化处理步骤与超临界蚀刻后清洗步骤分开执行,或可选择地,与超临界蚀刻后清洗步骤同时执行。
现在参照图1A,依照本发明实施方案,超临界钝化溶液包含硅烷结构10,其具有所有有机基团,例如六甲基二硅氮烷(HMDS)的情况,或被连接到位置1-4任意一个上的有机基团与卤化物基团(F,Cl,Br等)组合的情况。
现在参照图1B,依照本发明进一步的实施方案,超临界钝化溶液包含五价的有机硅化合物20,其中以tiganolbipyramidal构造,硅原子在位置1,2,3,4和5处与5个配位体配位。典型地,这种化合物20是1-5中的一个或多个位置与卤原子配位的阴离子,例如二氟三甲基硅酸根阴离子的情况。当结构20是阴离子时,化合物20还包括相配的阳离子,例如钠、钾或其它无机或有机阳离子(没有示出)。
现在参照图1C,依照本发明进一步的实施方案,超临界钝化溶液包含硅氮烷结构30,其可以被描述为具有两个被配位到胺的氮上的有机甲硅烷基基团的胺结构,例如六甲基二硅氮烷(HMDS)的情况。
图1D显示了六甲基二硅氮烷(HMDS)与低k材料表面上的硅烷醇基团以反应序列(1)反应和六甲基二硅氮烷(HMDS)与低k材料表面上的硅烷醇基团以反应序列(2)反应的示意性表示。注意到三甲基二硅氮烷(TMDS)是反应序列(1)中的产物,其然后可以进一步与低k材料表面上的硅烷醇基团依照反应序列(2)反应。因此,六甲基二硅氮烷(HMDS)提供了用于本发明的方法的出色的甲硅烷基化剂。
图1E图解了低k材料表面51上的硅烷醇基团53与甲硅烷基基团55之间的空间位阻。注意到硅烷醇基团53非常地大,实际上为硅烷醇基团53提供了保护隔离。因此,一般不可能完全地甲硅烷基化低k材料的整个表面或块。然而,当低k材料用包含超临界二氧化碳和正己烷的超临界处理溶液预处理时,认为在表面51上较大百分比的硅烷醇基团53被甲硅烷基基团55所取代。
具有任意数量的甲硅烷基化剂和甲硅烷基化剂的组合都在本发明的范围内,这对于本领域熟练技术人员来说是很清楚的。而且使用的一种或多种甲硅烷基化剂可以纯净地或随同载体溶剂被引入超临界二氧化碳,所述载体溶剂例如如N,N-二甲基乙酰胺(DMAC),γ-丁内丙酮(BLO),二甲基亚砜(DMSO),碳酸亚乙酯(EC)N-甲基吡咯烷酮(NMP),二甲基哌啶酮,碳酸亚丙酯,乙醇或它们的混合物,以产生超临界钝化溶液。如先前解释的,在本发明中使用的一种或多种钝化剂还可以用在超临界清洗处理中,以从图案化的低k材料的表面上移除蚀刻后残渣。
本发明尤其适合用于从晶片材料移除蚀刻后光敏聚合物,甚至更特别地适合从低k二氧化硅基层,包括由多孔MSQ和多孔SiO2(如Honeywell的NANOGLASS)构成的低k层移除蚀刻后光敏聚合物和/或聚合物抗反射涂料,同时钝化二氧化硅基层。为简单起见,超临界处理溶液在这里被称作超临界清洗和/或超临界钝化溶液。
图2显示了超临界处理装置200的简单示意图。装置200包括二氧化碳源221,其通过源阀223与进口管226相连,所述源阀223能被打开和关闭以开始和停止二氧化碳流从二氧化碳源221流到进口管226。进口管226优选装配有一个或多个由盒220示意性显示的回流阀,泵和加热器,用于产生和/或保持超临界二氧化碳流。进口管226还优选具有进口阀225,其被设定成打开和关闭来允许或阻止超临界二氧化碳流流进处理室201。
仍参照图2,处理室201优选装配有一个或多个压力阀209,用于排空处理室201和/或用于调节处理室201内的压力。另外,依照本发明,处理室201被连接到用于加压和/或抽空处理室201的泵和/或真空装置211。
再参照图2,在装置200的处理室201内,优选具有用于固定和/或支撑晶片结构213的卡盘233。依照本发明进一步的实施方案,卡盘233和/或处理室201具有一个或多个加热器231,用于调节晶片结构213的温度和/或在处理室201内的超临界处理溶液的温度。
装置200还优选具有连接到处理室201的循环管或回路203。循环管203优选装配有一个或多个阀215和215’,用于调节通过循环管203和通过处理室201的超临界处理溶液的流动。循环管203优选还装配有由盒205示意性表示的任意数量的回流阀,泵和/或加热器,用于保持超临界处理溶液,以及通过循环管203和通过处理室201流动所述超临界处理溶液。依照本发明优选的实施方案,循环管203具有注入端口207,用于将化学物质,如钝化剂和溶剂引入循环管203来就地(in situ)产生超临界处理溶液。
图3显示了比上面描述的图2更具体的超临界处理装置76。超临界处理装置76被设定成用于产生和用于用超临界清洗,冲洗和固化溶液来处理晶片。所述超临界处理装置76包括二氧化碳供给容器332,二氧化碳泵334,处理室336,化学物质供给容器338,循环泵340和废气收集容器344。所述二氧化碳供给容器332通过所述二氧化碳泵334和二氧化碳管道346被连接到所述处理室336。所述二氧化碳管道346包括位于所述二氧化碳泵334与所述处理室336之间的二氧化碳加热器348。所述处理室336包括处理室加热器350。所述循环泵340定位在循环管352上,所述循环管在循环进口354处和在循环出口356处与所述处理室336相连。所述化学物质供给容器338通过化学物质供给管358被连接到循环管352,所述化学物质供给管包括第一注入泵359。冲洗剂供给容器360通过冲洗供给管362被连接到所述循环管352,所述冲洗供给管362包括第二注入泵363。所述废气收集容器344通过废气管道364被连接到所述处理室336。
所述二氧化碳供给容器332,二氧化碳泵334,和二氧化碳加热器348构成了二氧化碳供给装置349。所述化学物质供给容器338,第一注入泵359,冲洗剂供给容器360,和第二注入泵363构成了化学物质和冲洗剂供给装置365。
所述超临界处理装置76包括阀,控制电子装置,过滤器,和多用连接装置,其是典型的超临界液体处理系统,这对于本领域熟练技术人员来说是显而易见的。
仍参照图3,在操作中,其上有残渣的晶片(没有示出)被插入所述处理室336的晶片腔312中,所述处理室336通过109关闭门阀306被密封。所述处理室336通过二氧化碳泵334用来自所述二氧化碳供给容器332的二氧化碳加压,在所述处理室336被处理室加热器350加热同时,所述二氧化碳被所述二氧化碳加热器348加热,以确保处理室336中二氧化碳的温度在临界温度以上。所述二氧化碳的临界温度是31℃。优选地,在超临界钝化步骤过程中,处理室336中二氧化碳的温度在40℃到大约200℃的范围内,优选在或接近150℃。
在马上到达初始超临界条件时,所述第一注入泵359通过所述循环管352将所述处理化学物质,例如甲硅烷基化剂从所述化学物质供给容器338泵入处理室336中,同时所述二氧化碳泵进一步加压所述超临界二氧化碳。在将所述处理化学物质添加到处理室336的初期,处理室336内的压力优选为大约1,070到9,000psi,优选在或接近3,000psi。一旦希望数量的所述处理化学物质已经被泵入处理室336中,且达到了希望的超临界条件,所述二氧化碳泵334就停止加压处理室336,所述第一注入泵359停止将处理化学物质泵入处理室336中,且所述循环泵340开始循环所述超临界清洗溶液,所述清洗溶液包含所述超临界二氧化碳和所述处理化学物质。优选地,在该点处理室336内的压力是大约3000psi。通过循环所述超临界处理溶液,在所述晶片的表面处超临界处理溶液被快速补充,因此提高了钝化晶片上所述低k电介质层表面的速度。
当具有低k层的晶片(没有示出)在压力室336内正被处理时,用机械卡盘,真空卡盘或其他合适的固定或保护工具固定所述晶片。依照本发明实施方案,在超临界处理步骤过程中,所述晶片在处理室336内是固定的,或可选择地,是可转动的,可旋转的或另外可摇晃的。
在所述超临界处理溶液通过循环管352和处理室336循环后,为了使处理室336中的条件返回到接近初始超临界条件,通过将一些超临界处理溶液排进所述废气收集容器334中,使所述处理室336被部分地减压。优选地,在所述超临界处理溶液完全排出所述处理室336以使废气进入所述收集容器334中之前,所述处理室336通过至少一个这样的减压和加压循环被循环。在排空所述压力室336之后,执行第二个超临界处理步骤或通过所述门阀306从处理室336移出所述晶片,所述晶片处理继以第二个处理装置或模块(没有示出)。
图4图解了依照本发明的方法,超临界处理步骤,如超临界清洗/钝化步骤的压力对时间的示范性图400。现在参照图3和4,在最初时间T0之前,其上具有蚀刻后残渣的所述晶片结构通过所述门阀306被放置在处理室336内,处理室336被密封。从所述最初时间T0经过第一持续时间T1,所述处理室336被加压。当所述处理室到达临界压力Pc(1,070psi)时,然后包括甲硅烷基化剂的处理化学物质被注入进处理室236,优选通过所述循环管352,如前面解释的。所述处理化学物质优选包括六甲基二硅氮烷(HMDS),氯三甲基硅烷(TMCS),三氯甲基硅烷(TMCS)和它们的混合物,其被注入进系统。在持续时间T1内可以执行几次所述处理化学物质的注入,以产生具有希望化学物质浓度的超临界处理溶液。依照本发明,所述处理化学物质还可以包括一种或多种载体溶剂,氨盐,氟化氢和/或其他氟化物源。优选地,在到达大约1100-1200psi时开始所述处理化学物质的注入,如拐点405指示的。可选择地,所述处理化学物质在第二时间T2左右或在第二时间T2之后被注入进处理室336。
在处理室336到达第二时间T2处的操作压力Pop之后,所述超临界处理溶液在所述晶片上面和/或周围,使用所述循环管352通过处理室336循环,如上面所描述的,所述操作压力优选为大约3,000psi,但可以是任意值,只要所述操作压力足够获得超临界条件。然后增加处理室336内的压力,在所述持续时间内所述超临界处理溶液继续在所述晶片上面和/或周围,使用所述循环管352通过处理室336循环,和或通过推进处理(push through process)来调节处理室内超临界处理溶液的浓度,如下面所述。
仍参照图4,在推进处理中,在持续时间T3内超临界二氧化碳的新料被供应给所述处理室336,同时所述超临界清洗溶液连同悬浮或溶解在其中的处理残渣通过出口管364被同时从所述处理室336转移。在所述推进步骤完成之后,然后在持续时间T4内,处理室336通过多个减压和加压循环而被循环。优选地,其通过以下来完成:在第一排气中将所述处理室336排空到所述处理压力Pop降到大约1,100-1,200psi,然后用第一再加压将处理室336内的压力从1,100-1,200psi升高到所述处理压力Pop或以上。完成所述减压和加压循环之后,然后所述处理室完全被排出或排空到大气压。对于晶片处理,下一个晶片处理步骤开始或所述晶片从所述处理室移除,并被移到第二处理装置或模块以继续处理。
提供图400仅仅用于示范性的目的。本领域熟练人员会理解到,在不脱离本发明的范围的情况下,超临界处理步骤可以具有任意数量不同的时间/压力或温度曲线图。而且可以预见到任意数量的清洗和冲洗处理序列,每个步骤具有任意数量的加压和减压循环。另外,如前面陈述,超临界处理溶液内各种化学物质和种类(species)的浓度可以容易地被裁减以用于手头的应用和在超临界处理步骤内的任何时间处被改变。依照本发明优选的实施方案,在大约3分钟的循环内,低k层被处理1到10个钝化步骤,如上面参照图3-4描述的。
图5是概要说明使用超临界清洗和钝化溶液处理基板结构的步骤的方块图,所述基板结构包含被图案化的低k层和其上的蚀刻后残渣。在步骤502中,所述包含蚀刻后残渣的基板结构被放置并密封在处理室内。在步骤502中将所述基板放置进且被密封在处理室内之后,在步骤504中,所述处理室用超临界CO2加压,并将处理化学物质添加到所述超临界CO2中,以产生超临界清洗和钝化溶液。优选地,所述清洗和钝化化学物质包括至少一种有机硅化合物。
在步骤504中产生所述超临界清洗和钝化溶液之后,在步骤506中,将所述基板结构保持在所述超临界处理溶液中足够的一段时间,以从所述基板结构移除至少一部分所述残渣,在所述残渣移除后钝化表面被暴露。在步骤506过程中,所述超临界清洗和钝化溶液优选通过所述处理室进行循环和/或被搅动,以将所述超临界清洗溶液移到所述基板结构的表面上。
仍参照图5,在步骤506中将至少一部分所述残渣从基板结构移除之后,在步骤508中将所述处理室部分地排气。包括步骤504和506的所述清洗处理被重复任意次数,如连接步骤508到504的箭头所指示的,以便从所述基板结构和暴露的钝化表面移除所述残渣。依照本发明实施方案,包括步骤504和506的所述处理使用新的超临界二氧化碳,新的化学物质或它们两者都用。可选择地,通过用超临界二氧化碳稀释所述处理室,通过额外添加清洗化学物质或两种方式的组合来改变所述清洗化学物质的浓度。
仍参照图5,在处理步骤504,506和508完成之后,在步骤510中,所述基板结构优选被超临界冲洗溶液所处理。所述超临界冲洗溶液优选包括超临界CO2和一种或多种有机溶剂,但可以是纯超临界CO2
仍参照图5,在步骤504,506和508中将所述基板结构清洗并在步骤510中冲洗之后,在步骤512中,所述处理室被减压,并将所述基板结构从所述处理室移除。可选择地,通过一个或多个额外的、包括由连接步骤510和504的箭头所指示的步骤504,506,508和510的清洗/冲洗处理循环所述基板结构。可选择地,或除通过一个或多个额外的清洗/冲洗循环循环所述基板结构以外,在步骤512中将所述基板结构从所述室移除之前,所述基板结构被几个冲洗循环处理,如连接步骤510和508的箭头所指示的。
如前面所描述的,在通过使用超临界溶液钝化其上的低k层之前,可以干燥和/预处理所述基板结构,所述超临界溶液包括超临界二氧化碳和一种或多种溶剂,如乙醇,正己烷和/或它们的组合。如前面提到的,用包括超临界二氧化碳和正己烷的超临界溶液预处理所述低k层提高了所述低k层表面上的甲硅烷基基团的覆盖。另外,包含有蚀刻后残渣的晶片和/或被图案化的低k电介质层可以被任意数量的清洗/钝化步骤和/或顺序所处理,这对于本领域熟练技术人员是很清楚的。
本领域技术人员应理解到,尽管在这里参照蚀刻后处理和/或蚀刻清洗处理主要描述了钝化低k材料的方法,但本发明的方法还可以用于直接钝化低k材料。而且值得注意的是当处理低k材料时,依照本发明的方法,超临界冲洗步骤不总是必需的,在用超临界钝化溶液处理所述低k材料之前,简单干燥所述低k材料对于一些应用也是适当的。
                      试验结果
使用超临界处理系统,如上面参照图2和3详细描述的,在几个条件下用甲硅烷基化剂处理由MSQ材料构成的低k层的样品。在第一组条件下,用己烷和大约6%TMCS的溶液处理所述低k层材料层的样品。所述样品然后在大约100℃时被退火大约1.0小时。在第二组条件下,用具有大约1.0%TMCS的超临界二氧化碳钝化溶液在大约3,000psi时处理所述低k材料层的样品。在第三组条件下,用具有大约1.0%TMCS的超临界二氧化碳钝化溶液在大约3,000psi,100℃时处理所述低k材料层的样品。在上面所述条件下处理所述样品之后,收集未被处理的样品和每个被处理的样品的傅立叶变换红外光谱。所述收集的傅立叶变换红外光谱的对比图显示在图6A-B中。
图6A图示了从大约0到4,000波数的红外光谱区域。峰值611对应于Si(CH3)3基团的C-H拉伸,其对于用甲硅烷基化剂处理的所有样品已经相当大地提高。峰值661对应于Si(CH3)3基团的C-H弯曲,其对于用甲硅烷基化剂处理的所有样品已经相当大地提高。图6B显示了图6A中示出的红外光谱从大约2,800波数到3,100波数的延伸区域的对比图,更清楚地图解了在被处理的样品峰值661中的提高。
仍参照图6A,宽峰663对应于O-H拉伸,其在所述被处理的样品中是可忽略的,但在未被处理的样品中是显著的。从图6A-B示出的光谱可以明白,在湿台(wet bench)条件下和在超临界处理条件下,TMCS对于低k材料表面的钝化是有效的甲硅烷基化剂。
本发明的优点在于能使低k表面钝化和能与其它处理步骤,如在超临界处理环境中移除图案化的低k层的蚀刻后残渣(包括,但并不限于此,旋涂聚合物抗反射涂料和光敏聚合物)兼容。本发明也注意到恢复或部分恢复了在图案化步骤后丧失的材料的k值,并已经显示出制造出了随时间稳定的低k材料。
尽管已经按照特定实施方案结合细节描述了本发明,使本发明的构成和操作原理容易理解,但这里对特定实施方案及其细节的参考并不意在限定所附权利要求的范围。在不脱离本发明的精神和范围的情况下,在选出作为举例说明的实施方案中可以做修改,这对于本领域熟练技术人员将是显而易见的。具体地说,尽管超临界CO2是用于清洗的优选介质,但其它单独超临界介质或其与超临界CO2的组合和与氟化氢加合物的组合也是可预期的。

Claims (32)

1.一种处理低k表面的方法,包括:
a.用超临界钝化溶液处理所述低k表面,所述超临界溶液包括超临界CO2和相当数量的包括有机基团的甲硅烷基化剂;和
b.移除所述超临界溶液,其中所述低k表面至少部分地用所述有机基团钝化。
2.权利要求1所述的方法,其中所述有机基团包括烷基基团。
3.权利要求1所述的方法,其中所述有机基团包括5个碳原子或更少。
4.权利要求1所述的方法,其中所述有机硅化合物从由下列物质构成的组中选出:六甲基二硅氮烷(HMDS),氯三甲基硅烷(TMCS),三氧甲基硅烷(TCMS)。
5.权利要求1所述的方法,其中所述超临界钝化溶液进一步包括载体溶剂。
6.权利要求5所述的方法,其中所述载体溶剂从由下列物质构成的组中选出:N,N-二甲基乙酰胺(DMAC),γ-丁内丙酮(BLO),二甲基亚砜(DMSO),碳酸亚乙酯(EC),N-甲基吡咯烷酮(NMP),二甲基哌啶酮,碳酸亚丙酯和醇。
7.权利要求1所述的方法,其中所述低k表面保持在40到200摄氏度范围内的温度。
8.权利要求1所述的方法,其中用超临界钝化溶液处理所述低k表面包括在所述低k表面上循环所述超临界钝化溶液。
9.权利要求1所述的方法,其中所述超临界钝化溶液保持在1,000到9,000psi范围内的压力。
10.权利要求1所述的方法,进一步包括在用超临界溶液处理所述低k表面之前干燥所述低k表面。
11.权利要求10所述的方法,其中干燥所述低k表面包括用含有超临界二氧化碳的超临界干燥溶液处理所述低k表面。
12.权利要求1所述的方法,其中所述低k表面包括二氧化硅。
13.权利要求1所述的方法,其中所述低k表面包括从由下列物质构成的组中选出的材料:掺碳氧化物(COD),旋涂玻璃(SOG)和加氟化硅玻璃(FSG)。
14.一种处理电介质表面的方法,包括:
a)用超临界清洗溶液从所述电介质表面移除蚀刻后残渣;和
b)在所述超临界清洗溶液中用钝化剂处理所述电介质表面,以形成钝化的电介质表面。
15.权利要求14所述的方法,其中所述残渣包括聚合物。
16.权利要求15所述的方法,其中所述聚合物是光刻胶聚合物。
17.权利要求16所述的方法,其中所述光刻胶聚合物是抗反射染料。
18.权利要求14所述的方法,其中所述电介质表面包括二氧化硅。
19.权利要求14所述的方法,其中所述电介质表面包括从由下列物质构成的组中选出的材料:掺碳氧化物(COD),旋涂玻璃(SOG)和加氟化硅玻璃(FSG)。
20.权利要求14所述的方法,所述蚀刻后残渣包括抗反射涂料。
21.权利要求20所述的方法,其中所述抗反射涂料包括有机旋涂抗反射材料。
22.权利要求14所述的方法,其中所述钝化剂包括有机硅化合物。
23.权利要求22所述的方法,其中所述有机硅化合物从由下列物质构成的组中选出:六甲基二硅氮烷(HMDS),氯三甲基硅烷(TMCS),三氯甲基硅烷(TCMS)。
24.一种形成图案化的低k电介质层的方法,所述方法包括:
a.沉积低k电介质材料的连续层;
b.在所述低k电介质材料的连续层上形成光刻胶掩模;
c.通过所述光刻胶掩模将所述低k电介质材料的连续层图案化,由此形成蚀刻后残渣;和
d.用含有超临界二氧化碳和硅基钝化剂的超临界溶液移除所述蚀刻后残渣。
25.权利要求24所述的方法,其中所述超临界处理溶液包括超临界二氧化碳。
26.权利要求24所述的方法,其中所述硅基钝化剂包括有机硅化合物。
27.一种形成具有减小的k值的电介质层的方法,所述方法包括
a.将所述电介质材料的层图案化,以形成具有k值的图案化的电介质层;和
b.用钝化剂钝化所述具有k值的图案化的电介质层,以形成具有减小的k值的图案化的低k电介质层。
28.权利要求27所述的方法,其中所述k值大于3.0。
29.权利要求28所述的方法,其中所述减小的k值小于3.0。
30.权利要求29所述的方法,其中k值和所述减小的k值相差1.0或更多。
31.权利要求27所述的方法,其中所述电介质材料包括二氧化硅成分和碳氢化合物成分。
32.权利要求31所述的方法,其中所述钝化剂是含有有机基团的甲硅烷基化剂。
CNB038052350A 2002-03-04 2003-03-04 在晶片处理中低电介质材料的钝化方法 Expired - Fee Related CN1296771C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US36191702P 2002-03-04 2002-03-04
US60/361,917 2002-03-04
US36905202P 2002-03-29 2002-03-29
US60/369,052 2002-03-29

Publications (2)

Publication Number Publication Date
CN1656425A true CN1656425A (zh) 2005-08-17
CN1296771C CN1296771C (zh) 2007-01-24

Family

ID=27807950

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038052350A Expired - Fee Related CN1296771C (zh) 2002-03-04 2003-03-04 在晶片处理中低电介质材料的钝化方法

Country Status (6)

Country Link
US (1) US7270941B2 (zh)
EP (1) EP1481284A4 (zh)
JP (1) JP4246640B2 (zh)
CN (1) CN1296771C (zh)
AU (1) AU2003220039A1 (zh)
WO (1) WO2003077032A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103145346A (zh) * 2011-12-06 2013-06-12 中国科学院过程工程研究所 一种改变光滑玻璃表面润湿性能的方法及其对玻璃微通道表面改性的应用
CN108690621A (zh) * 2017-04-06 2018-10-23 (株)涛星 氮化硅膜刻蚀用混合物

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064070B2 (en) * 1998-09-28 2006-06-20 Tokyo Electron Limited Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
DE10162443A1 (de) * 2001-12-19 2003-07-03 Bayer Ag Verfahren zur Herstellung von dielektrischen Schichten unter Verwendung multifunktioneller Carbosilane
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
SG102047A1 (en) * 2002-05-02 2004-02-27 Dso Nat Lab Ladder-like silicone polymers
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
KR101040687B1 (ko) * 2003-01-25 2011-06-10 허니웰 인터내셔널 인코포레이티드 손상된 유전체 물질 및 막의 보상 및 회복
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US7138333B2 (en) * 2003-09-05 2006-11-21 Infineon Technologies Ag Process for sealing plasma-damaged, porous low-k materials
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
JP4903373B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
SG141441A1 (en) * 2004-09-15 2008-04-28 Honeywell Int Inc Treating agent materials
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
JP4594988B2 (ja) * 2004-10-27 2010-12-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復
US7163900B2 (en) * 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
JP2008538013A (ja) * 2005-04-15 2008-10-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 溶媒系中の自己組織化単分子膜を用いた高線量イオン注入フォトレジストの除去
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
TWI291714B (en) * 2005-12-09 2007-12-21 Ind Tech Res Inst Supercritical fluid washing method and system thereof
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP5208405B2 (ja) * 2005-12-27 2013-06-12 東京エレクトロン株式会社 基板の処理方法及びプログラム
US7972954B2 (en) * 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
WO2007087831A1 (en) * 2006-02-03 2007-08-09 Freescale Semiconductor, Inc. 'universal' barrier cmp slurry for use with low dielectric constant interlayer dielectrics
US7803719B2 (en) * 2006-02-24 2010-09-28 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device
WO2007095973A1 (en) * 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Integrated system for semiconductor substrate processing using liquid phase metal deposition
US7576851B2 (en) * 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7623978B2 (en) * 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7619731B2 (en) * 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
JP5138291B2 (ja) * 2006-07-05 2013-02-06 東京エレクトロン株式会社 アモルファスカーボン膜の後処理方法およびそれを用いた半導体装置の製造方法
JP4977508B2 (ja) 2007-03-26 2012-07-18 アイメック ダメージの入った多孔性誘電体の処理方法
US20110076416A1 (en) * 2008-05-26 2011-03-31 Basf Se Method of making porous materials and porous materials prepared thereof
JP5764788B2 (ja) * 2008-08-07 2015-08-19 株式会社ユーテック 反応装置及び反応方法
JP5663160B2 (ja) * 2009-09-28 2015-02-04 東京応化工業株式会社 表面処理剤及び表面処理方法
JP5782279B2 (ja) * 2011-01-20 2015-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5611884B2 (ja) * 2011-04-14 2014-10-22 東京エレクトロン株式会社 エッチング方法、エッチング装置および記憶媒体
US9460997B2 (en) 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
KR20200015279A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 나노결정질 그래핀의 형성방법 및 이를 포함하는 소자
JP2021086857A (ja) * 2019-11-25 2021-06-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) * 1948-04-13 Method of rendering glass
US2617719A (en) * 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2993449A (en) * 1959-03-09 1961-07-25 Hydratomic Engineering Corp Motor-pump
US3135211A (en) * 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
DE1965723B2 (de) * 1969-01-06 1972-12-07 The Hobart Mfg Co , Troy, Ohio (V St A) Hydraulische steuereinrichtung fuer waschmaschinen
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
FR2128426B1 (zh) * 1971-03-02 1980-03-07 Cnen
US3890176A (en) * 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4219333A (en) * 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) * 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
US4475993A (en) * 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
US4877530A (en) * 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) * 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US4730630A (en) * 1986-10-27 1988-03-15 White Consolidated Industries, Inc. Dishwasher with power filtered rinse
US4879004A (en) * 1987-05-07 1989-11-07 Micafil Ag Process for the extraction of oil or polychlorinated biphenyl from electrical parts through the use of solvents and for distillation of the solvents
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) * 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
DE3887681T2 (de) * 1987-11-27 1994-05-11 Battelle Memorial Institute Überkritische mizellentrennung in der flüssig-umkehrphase.
US5266205A (en) * 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (ja) * 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
EP0409972B1 (en) * 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5269850A (en) * 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
US5196134A (en) * 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5071485A (en) * 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (ja) * 1990-12-12 1998-08-06 富士写真フイルム株式会社 安定化処理液及びハロゲン化銀カラー写真感光材料の処理方法
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
DE69231971T2 (de) * 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) * 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
EP0514337B1 (de) * 1991-05-17 1995-11-22 Ciba-Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2
US5274129A (en) * 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) * 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5356538A (en) * 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5174917A (en) * 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5320742A (en) * 1991-08-15 1994-06-14 Mobil Oil Corporation Gasoline upgrading process
US5431843A (en) 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) * 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
EP0543779A1 (de) * 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
KR930019861A (ko) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5550211A (en) 1991-12-18 1996-08-27 Schering Corporation Method for removing residual additives from elastomeric articles
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5339539A (en) * 1992-04-16 1994-08-23 Tokyo Electron Limited Spindrier
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5352327A (en) * 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5370742A (en) * 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5261965A (en) * 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
EP0591595A1 (en) 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
WO1994014240A1 (en) 1992-12-11 1994-06-23 The Regents Of The University Of California Microelectromechanical signal processors
JP3356480B2 (ja) * 1993-03-18 2002-12-16 株式会社日本触媒 無漏洩ポンプ
US5403665A (en) 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) * 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (ja) 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5364497A (en) * 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
US5370740A (en) * 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW274630B (zh) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
US5641887A (en) 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
DE69523208T2 (de) 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
JP3320549B2 (ja) 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3277114B2 (ja) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 陰画調レジスト像の作製方法
DE19506404C1 (de) 1995-02-23 1996-03-14 Siemens Ag Verfahren zum Freiätzen (Separieren) und Trocknen mikromechanischer Komponenten
US5676705A (en) 1995-03-06 1997-10-14 Lever Brothers Company, Division Of Conopco, Inc. Method of dry cleaning fabrics using densified carbon dioxide
US5683977A (en) 1995-03-06 1997-11-04 Lever Brothers Company, Division Of Conopco, Inc. Dry cleaning system using densified carbon dioxide and a surfactant adjunct
US5738976A (en) * 1995-03-16 1998-04-14 Shin-Etsu Chemical Co., Ltd. Photo-curable organopolysiloxane composition and a method for producing a (meth) acryloyloxyl group-containing organopolysiloxane used therein
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (ja) 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US6380105B1 (en) * 1996-11-14 2002-04-30 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US5679169A (en) 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6085762A (en) * 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6465370B1 (en) * 1998-06-26 2002-10-15 Infineon Technologies Ag Low leakage, low capacitance isolation material
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6712081B1 (en) * 1999-08-31 2004-03-30 Kobe Steel, Ltd. Pressure processing device
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
JP2001291713A (ja) * 2000-04-07 2001-10-19 Canon Sales Co Inc 成膜方法及び半導体装置
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
US6319858B1 (en) * 2000-07-11 2001-11-20 Nano-Architect Research Corporation Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
US6486078B1 (en) * 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6583067B2 (en) * 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
JP3978023B2 (ja) * 2001-12-03 2007-09-19 株式会社神戸製鋼所 高圧処理方法
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103145346A (zh) * 2011-12-06 2013-06-12 中国科学院过程工程研究所 一种改变光滑玻璃表面润湿性能的方法及其对玻璃微通道表面改性的应用
CN108690621A (zh) * 2017-04-06 2018-10-23 (株)涛星 氮化硅膜刻蚀用混合物
CN108690621B (zh) * 2017-04-06 2020-10-09 (株)涛星 氮化硅膜刻蚀用混合物

Also Published As

Publication number Publication date
AU2003220039A1 (en) 2003-09-22
JP4246640B2 (ja) 2009-04-02
WO2003077032A1 (en) 2003-09-18
EP1481284A4 (en) 2006-10-25
CN1296771C (zh) 2007-01-24
US20030198895A1 (en) 2003-10-23
EP1481284A1 (en) 2004-12-01
US7270941B2 (en) 2007-09-18
JP2005519481A (ja) 2005-06-30

Similar Documents

Publication Publication Date Title
CN1296771C (zh) 在晶片处理中低电介质材料的钝化方法
US7553769B2 (en) Method for treating a dielectric film
US7345000B2 (en) Method and system for treating a dielectric film
US7387868B2 (en) Treatment of a dielectric layer using supercritical CO2
US7179758B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
KR101063591B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
CN101312129A (zh) 提高介电膜的材料性能的活化化学方法
CN100335969C (zh) 减少多孔介电薄膜清洗期间损伤的处理方法
TW200305213A (en) Method of passivating of low dielectric materials in wafer processing
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070124

Termination date: 20140304