CN1672236A - 具有织构化的涂层的处理室部件的评估 - Google Patents

具有织构化的涂层的处理室部件的评估 Download PDF

Info

Publication number
CN1672236A
CN1672236A CNA038007827A CN03800782A CN1672236A CN 1672236 A CN1672236 A CN 1672236A CN A038007827 A CNA038007827 A CN A038007827A CN 03800782 A CN03800782 A CN 03800782A CN 1672236 A CN1672236 A CN 1672236A
Authority
CN
China
Prior art keywords
parts
process chamber
textured coating
coating
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038007827A
Other languages
English (en)
Other versions
CN100390922C (zh
Inventor
S-N·林
M·D·孟西
N·孙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1672236A publication Critical patent/CN1672236A/zh
Application granted granted Critical
Publication of CN100390922C publication Critical patent/CN100390922C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/203Measuring back scattering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes

Abstract

一种用于基片处理室的部件,其包括具有表面颗粒的织构化的涂层的结构。通过向该部件的织构化的涂层上照射一束电子以便引起一部分电子背散射来评价该部件。检测背散射的电子和产生信号图像。在信号图像表明表面颗粒尺寸为约0.1-约5微米时选择该部件。在一种形式中,当颗粒基本上为花朵形状时选择该部件。

Description

具有织构化的涂层的处理室部件的评估
技术领域
本发明的实施例涉及用于基片处理室的具有织构化的涂层的处理室部件的评估。
背景技术
基片处理室可用于通过激励处理气体如等离子体对基片进行处理。通常,处理室包括封闭处理区的室壁、用于激励气体的气体激励器、和用于排放气体的排气装置,其中气体可引入处理区中。处理室例如可用于在基片上沉积材料或从基片上刻蚀材料。例如,处理室可用于从基片溅射-刻蚀材料或者向基片上溅射-沉积材料。
暴露于激励气体的处理室部件例如处理室的侧壁或顶板、或者衬垫(liner)或者基片周围的聚焦环通常用织构化的涂层涂覆,以便增强溅射残余物在部件上的粘接性。例如,合适的处理室可由铝或石英构成并用氧化铝或铝硅酸盐的等离子体喷射涂层涂覆,例如在授予Lin等人的、在2001年6月27日申请的、名称为“Chamber havingComponents with Textured Surfaces and Method of Manufacture”美国专利申请系列号No.09/895862中所述的,这里引证该文献供参考。该涂层增强了在处理室中形成的残余物例如在处理室中使用该部件时形成的溅射残余物的粘接性,在该处理室中靶被溅射,以便向基片上沉积材料。在没有涂层的情况下,经过多次处理循环之后在部件上积累的残余物最后将剥落和掉下来并污染基片。
具有被涂覆部件的处理室一般提供较好的基片产量并且不需要频繁清洗处理室,有时,积累在被涂覆部件上的溅射残余物可能引起整个下层剥离和剥落该部件。这种部件是不希望的,因为由于剥离涂层碎片而污染了基片使得它们降低了在处理室中处理的基片的最终产量。然而,目前的部件制造和评估方法通常不能识别或分离能够在不使它们从那些部件上剥离下来的情况下承受溅射残余物的积累,这些部件不能承受过量积累沉积物而使其剥离。
因此,希望具有一种制造和选择具有希望涂层特性的处理室部件的方法。还希望该部件能提供在基片处理室中的处理残余物如溅射残余物的优异粘接性。
发明内容
本发明提供一种选择用于基片处理室的部件的方法,该方法包括:
(a)提供具有织构化的(textured)涂层的结构,该织构化的涂层具有表面颗粒;和
(b)通过以下步骤评估该结构上的织构化的涂层:
(i)向该织构化的涂层的表面颗粒上引入一束电子,由此使至少一部分电子背散射,
(ii)检测背散射电子和产生信号图像,
(iii)评估该信号图像以便确定织构化的涂层的表面颗粒的尺寸,和
(iv)当织构化的涂层的表面颗粒的尺寸为约0.1-约5微米时选择该部件。
提供一种选择用于基片处理室的部件的方法,该方法包括:
(a)提供一种结构,该结构具有:
(i)适合于室封闭壁(chamber enclosure wall)、气体屏蔽件(gasshield)、盖环(cover ring)或沉积环(deposition ring)的形状,该结构由不锈钢、铝、钛、铜、铜合金、石英或氧化铝制成;和
(ii)在该形状上的等离子体喷射涂层或热喷射织构化的涂层,该织构化的涂层具有包括铝、硅、氧化铝、碳化硼和氧化钛中的一种或多种的表面颗粒;和
(b)通过以下步骤评估该织构化的涂层:
(i)向该织构化的涂层的表面颗粒上引入一束电子,由此使至少一部分电子背散射,
(ii)检测背散射电子和产生信号图像,
(iii)评估该信号图像以便确定织构化的涂层的表面颗粒的尺寸,和
(iv)当织构化的涂层的表面颗粒的尺寸为约0.1-约5微米和基本上为花朵形状时选择该部件。
一种基片处理室部件包括一种结构和在该结构上的织构化的涂层,该织构化的涂层主要由尺寸为约0.1-约5微米的表面颗粒构成。该结构可成形为处理室封闭壁、气体屏蔽件、盖环或沉积环,该结构由不锈钢、铝、钛、铜、铜合金、石英或氧化铝制成。该织构化的涂层可由铝、硅、氧化铝、碳化硼或氧化钛制成。具有该部件的基片处理室可包括向处理室中提供处理气体的气体输送装置、用于在处理室中形成处理气体的等离子体以处理基片的等离子体发生器、和排放处理气体的排气装置。
附图说明
本发明的这些特征、方案和优点将通过下面的说明、所附权利要求书以及附图更易被理解,其中附图示出了本发明的实施例。然而,应该理解这些特征的每个通常可在本发明中使用,而不仅是在特定附图中所示的那样,并且本发明包括这些特征的任何组合,其中:
图1是具有包括颗粒的表面的部件的剖面图;
图2a和2b是织构化的涂层的颗粒的薄片图形的示意图;
图3是具有向内弯曲表面粗糙度的表面的部件的部分剖面图,并表示出溅射到该表面的颗粒的粘接性;
图4a是部件的粗糙表面的剖面图;
图4b是在该表面已经被粗糙化之后同时给其施加涂层时的图4a的部件的剖面图;
图4c是图4b的部件的最后表面的剖面图;
图5是向部件结构的粗糙表面上喷射涂层的双线电弧热喷射器的剖面图;
图6是在部件结构的粗糙表面上沉积涂层材料的等离子体喷灯(torch)的剖面图;
图7a是根据本发明实施例的处理室;
图7b是围绕基片支架的气体屏蔽件和圆环的实施例的部分剖面图;
图8是对于在具有常规部件的处理室和具有根据本发明的部件的处理室中处理的基片、在不同颗粒尺寸范围、关于每个基片测量的再沉积颗粒的标准数量(normalized number)的对比棒条曲线;和
图9是在具有常规部件和根据本发明的部件的处理室中的每个循环获得的大于0.5微米的再沉积颗粒的标准数量的对比棒条曲线。
具体实施方式
根据本发明的方法可制造适于用在基片处理环境如等离子体溅射环境中的部件410。在该制造方法中,电子从部件410的表面422背散射产生信号图像,以便允许选择呈现特殊性能的部件410,该特殊性能能在处理基片时提供处理残余物如溅射材料423b对部件410的表面422的改进粘接性和保持力。在该方法中,电子束418被引向表面422,至少一些入射电子从表面422反射或背散射,例如,如图1所示。背散射电子419包括由于表面422上的原子和入射电子之间的弹性或非弹性电子相互作用而从表面422散射掉的电子。入射电子束418可通过例如扫描电子显微镜415产生,该扫描电子显微镜415还可用于检测背散射电子。关于该检测背散射电子419产生信号图像,对应表面422的外形结构和允许分析表面422。例如,该信号图像可包括对应表面形貌的图像对比度的变化。相应地,可以评估该信号图像以便选择包括希望表面性能的部件410,如希望的表面形貌。
在一个形式中,可以评估信号图像以便选择具有表面422的部件410,其中该表面422包括具有希望尺寸范围的表面颗粒417。表面颗粒417是在表面422的表面上的颗粒,该表面422可能具有在涂层内部的其它颗粒层。表面颗粒417的尺寸影响溅射颗粒对表面422的粘接性和保持力,由此影响使用该部件的处理室100的性能和在处理室100中处理的基片110的产量。例如,具有较大表面颗粒417的表面422可提供包括在颗粒417之间的凹处424和凹陷处,如图3中所示的表面形貌,这允许在织构化的暴露表面422上积累溅射材料423,并且减少了溅射材料从表面422的剥落。另一方面,由较小表面颗粒417限定的表面422可具有更少或更小的凹处424,它们不能容纳溅射材料423。而且,表面颗粒417的尺寸可能影响表面在热膨胀应力下的行为,并具有提供在颗粒417和下面部件410之间的良好粘接性的优化尺寸范围。因而,在一个形式中,通过评估信号图像以便确定表面422是否具有在尺寸范围内的表面颗粒417,由此选择部件410。例如,可以评估信号图像以便选择包括具有尺寸为约0.1微米到约5微米范围内的表面颗粒417的表面422的部件410。在一个形式中,甚至可以评估信号图像以便选择包括主要由尺寸为约0.1微米到约5微米范围内的表面颗粒417构成的表面422的部件410。
还可评估信号图像以选择具有包括表面颗粒417的表面422的部件410,其中表面颗粒417具有所希望的形状。还发现包括具有不同表面形貌的表面颗粒417的表面422对处理室100中的溅射材料具有变化程度的粘接性和保持力。例如,包括具有如图2b所示的基本花朵形状的表面颗粒417的表面422提供对包括具有如图2a所示薄饼状(pancake type shape)的颗粒417的表面442上的溅射材料的改进粘接性。花朵形状颗粒417一般包括被小颗粒环109环绕的一簇花朵瓣411,该小颗粒环109提供在花朵瓣411和小颗粒109之间的凹处424和裂缝,这些凹处424和裂缝适用于积累溅射材料。相对照,薄饼状颗粒417一般包括具有向外辐射脊414的大径向对称中心部分413,因此提供更少和更小的合适凹处424和裂缝。因而,在一个形式中,关于检测背散射电子产生的信号图像可以被评估以选择包括具有基本上为花朵形状的颗粒417的表面422的部件410,如图2b所示。
还可以通过评估表面轮廓参数如表面422的平均表面粗糙度和内凹度,选择部件410。例如,如果它具有内凹度的粗糙度的表面422,其中这种粗糙度和内凹度显著地和意想不到地提高了溅射材料423在织构化的暴露表面422上的粘接性和保持力,则选择部件410,如图3所示。内凹度(skewness)(Rsk)使采用下列公式确定的:
R sk = 1 R q 3 1 N Σ j = 1 N Z j 3
其中 Rq = Σ i = 1 N Z i 2 N 是表面422的均方根粗糙度,N是用于构成每个内凹度量度的表面422的采样点的数量,Z1、Z2、…ZN是在采样点测量的偏离平均线的高度偏差。内凹度是关于平均线的表面轮廓的非对称的量度。如图3所示,具有负内凹度的表面422具有延伸到表面422内的凹处424,如凹坑或凹陷,并且还具有主要在凹处424之间分布的基本上平坦的区域428。在一个形式中,内凹度应该足够负以允许溅射材料或在处理室中形成的其它材料接触并粘接到表面422上。合适的内凹度值可从约-0.7到约0.1,或者从-0.5到约0。
在一个实施例中,选择该部件使其具有表面422的平均内凹度,表示跨过表面422的大量测量内凹度值的平均值,这是负值(小于零),如小于约-0.1。表面422的负平均内凹度提供一种表面形貌,已经发现该表面形貌可以意想不到地提高溅射刻蚀颗粒和在使用该部件410的处理室中形成的其它颗粒的粘接性和保持力,由此提高处理室性能和基片产量。在希望平均内凹度值为负时,应该理解单独测量的内凹度值可以是负的或者可以是正的,只要大量这种独立值的平均值为负值即可。应该相信,通过允许在这种表面422中存在槽和凹陷以具有在颗粒上的较好粘接力,使得具有负平均内凹度的表面422促进在处理室100中形成的颗粒物质如溅射刻蚀颗粒423的粘接性。
可用于评估表面422的性能是表面422的表面平均粗糙度(Ra),它是沿着表面422相对于粗糙度特征的峰值和谷值的中值线(meanline)的位移的绝对值的平均值。平均粗糙度、内凹度或其它性能可通过经过表面422上的记录笔尖和产生表面422上的粗糙度的波动的轨迹的表面光度仪(profilometer)确定,或者通过检测从表面422背散射的电子以产生表面422的图像的扫描电子显微镜来确定。在一个形式中,部件410被切割成试样(coupons)(未示出),并在每个试样上进行一次或多次测量以确定每个试样的内凹度。然后对这些内凹度测量值进行平均以确定表面422的平均内凹度。发现平均内凹度为负值的表面422显著提高了溅射材料的粘接性和保持力。在一个形式中,合适的粗糙度平均值为从约150微英寸(~3.8微米)到约450微英寸(~11.4微米),或者从约300微英寸(~7.6微米)到约450微英寸(~11.4微米)。在另一形式中,合适的粗糙度平均值可从约700微英寸(~17.8微米)到约900微英寸(~23微米)。在另一形式中,合适的粗糙度平均值可从约1100微英寸(~28微米)到约1450微英寸(~36.8微米)。
可评估以选择部件410的表面422的另一性能是表面粗糙度的平均峰值倾斜值(average peak slope value)(Rda)。在一个实施例中,表面422的平均峰值倾斜值可从约20到约25进行选择。另一评估性能可以是在所有粗糙度峰值和谷值中超过平均中值线的表面峰值的数量的测量值(Rpc)。例如,在表面422的中值线上的峰值可从约175到约225进行选择。另一性能可以是表面外形的锐度的测量值(Rku)。例如,表面外形的锐度值可以从约2.5到约4.0。
在测量表面422的性能时,如平均粗糙度、内凹度或其它特性,可以使用国际标准ANSI/ASME B.46.1-1995,以便确定合适的终止长度和评估长度。下列表1示出了由该标准定义的平均粗糙度的值、合适的终止长度(cut-off length)和最小和典型评估长度之间的对应关系:
                              表1
 平均粗糙度   终止长度     最小评估长度     典型评估长度
 0-0.8微英寸   0.003英寸     0.016英寸     0.016英寸
 0.8-4微英寸   0.010英寸     0.050英寸     0.050英寸
 4-80微英寸   0.030英寸     0.160英寸     0.160英寸
 80-400微英寸   0.100英寸     0.300英寸     0.500英寸
 400和以上微英寸   0.300英寸     0.900英寸     1.600英寸
表面422通常是形成在部件410的下层结构437上的织构化的涂层420的表面,如图3所示。织构化的涂层420通常至少部分地暴露于在处理室100中形成的被激励气体,因此可以被选择以提供改进的耐腐蚀性,以便保护下层结构437。在一个形式中,部件410包括气体屏蔽件150的一部分,如下屏蔽件151或上屏蔽件153,用于保护处理室100的壁不被腐蚀,如图7a和7b所示。在另一形式中,部件410包括围绕基片支架160的一个或多个环孔390,如盖环157和沉积环159,如图7b所示。在另一形式中,部件410包括用做处理室100的顶板140的圆顶壳壁120,如图7a所示。
部件410的下层结构437是通过形成介质材料或导电材料形成的。介质材料可以是对RF能量是可渗透的以便使来自等离子体发生器330的RF能量通过。介质材料还可以是陶瓷。在一种制造方法中,下层结构437是通过形成陶瓷粉末和粘接剂如有机粘接剂的混合物形成的。可通过例如浇铸(slip casting)、压铸(ram pressing)、或等静压(isostaticpressing)将陶瓷粉末和粘接剂填充到模具中,以便形成合适的陶瓷预制件,或者可以通过带铸(tape casting)形成。之后,将成形的预制件进行烧结以形成包括下层结构437的硬化陶瓷材料,然后通过加工进一步成形。合适的陶瓷材料包括石英和氧化铝。包括绝缘下层结构437的示例部件410是用做顶板140的圆顶壳壁120,其由如石英等材料制成。
下层结构437还可由金属形成。例如,可加热金属成分以形成熔融金属或金属合金,并将该熔融金属或金属合金灌入包括所希望的部件形状的模具中。允许该熔融金属在模具中冷却,以便形成金属下层结构437。适合于形成下层结构437的金属包括例如不锈钢、铝、钛、铜和铜合金中的一种或多种。包括金属下层结构437的示例部件410是由不锈钢等材料制成的气体屏蔽件150、沉积环159和盖环157中的一个或多个。
在一个形式中,将包括将被评估的表面422的织构化的涂层420应用于下层结构437,如图4a-4c所示。在应用织构化的涂层420之前,结构437的下表面436通常是平滑的,如图4a所示。然后例如通过喷珠法(bead blasting)对下表面436进行粗糙化。下表面436的粗糙化可提供用于下层织构化的涂层420的表面形貌的特性的基础,或者可用于激励下表面436以促进织构化的涂层420的较好粘接性。在喷珠法中,固体珠子442通过具有压力的空气向表面436发射,其中空气压力足够高以便适当地粗糙化表面436,例如每平方英寸(psi)的压力为约40到约50磅。而且,选择珠子442相对于表面436的入射角以便粗糙化表面436,由此促进最终织构化的涂层420粘接到表面436上。例如,合适的入射角可从约30度到约60度,或者甚至为约45度。
在一个实施例中,使用在封闭壳体中的喷珠器(未示出)使下层结构437的表面粗糙化。珠子可包括硬度比结构437的硬度高的材料,以便允许珠子侵蚀和粗糙化结构437的表面,由此形成粗糙表面436。合适的珠子材料例如包括氧化铝、玻璃、硅石或硬塑料。在一个实施例中,珠子包括氧化铝的砂粒,其目径(mesh size)被选择成使得砂粒合适地喷射表面436,例如具有目径36的氧化铝颗粒的砂粒。在一个形式中,陶瓷下层表面436可被粗糙化以具有例如从约150微英寸(~3.8微米)到约450微英寸(~11.4微米),或者从约300微英寸(~7.6微米)到约450微英寸(~11.4微米)的平均粗糙度。在另一形式中,金属下表面436可被粗糙化以具有约100微英寸(~2.5微米)到约300微英寸(~7.6微米)和甚至约200微英寸(~5微米)的平均粗糙度。另外,也可设置由珠子442从喷珠器到该部件所运行的投射距离(standoff distance)以便提供表面436的所希望的粗糙度。例如,从珠子喷射源到部件表面436的投射距离可从约4英寸到约6英寸。
喷珠处理之后,清洗表面436以提高涂层材料420的后来的粘接性和保持力。例如,可通过向表面436吹洁净干燥空气或氮气来清洗表面436。接着,在一个形式中,例如使用蒸馏水或在超声波漂洗中进一步化学地清洗表面436。另外,可在炉子中焙烧部件410以便烧掉例如来自清洗处理的任何残余物。例如,可在至少约100摄氏度的温度下焙烧部件表面436,以便提高涂层材料420后来向表面436的沉积。
清洗部件表面436之后,在表面436上形成织构化的涂层420,如图4b所示。织构化的涂层420可通过以下方法应用,该方法提供涂层304和下表面436之间的强粘接性。例如,可通过化学或物理沉积处理中的一种或多种、或者通过火焰喷射或热喷射法如双线电弧法、等离子体喷射法或氧化燃料气体火焰施加织构化的涂层420。织构化的涂层420可由材料425构成,如陶瓷材料,例如氧化铝、氧化钛和碳化硼中的一种或多种,或者可由金属制成,例如铝和硅中的一种或多种。
如图3所示,织构化的涂层420可具有合适的厚度,以便避免过量除气。在一个实施例中,织构化的涂层420的厚度小于约20密耳(~508微米),甚至小于约10密耳(~254微米),例如厚度为约1密耳(~25微米)到约8密耳(~203微米),或者厚度为从约3密耳(~76微米)到约5密耳(~127微米),或者甚至厚度为约6密耳(~152微米)到约9密耳(~229微米)。
涂层材料425还可制成为具有足够高的孔隙率,以便促进处理室产生的颗粒物质粘接到表面422上。涂层材料425的孔隙率是孔隙的体积与它的质量的比。例如,涂层材料425可具有从约5%到约10%的孔隙率,如约7%。在一个形式中,希望孔隙率不超过约7%,以便促进织构化的涂层420最佳地粘接到下表面436上。
在一个形式中,通过热喷射工艺如双线电弧喷射工艺向表面436施加织构化的涂层420,上述方法如在授予Lazarz等人并在2001年5月8日公布的美国专利US6227435B1、和授予Scruggs等人并在1997年12月9日公布的美国专利US5695825中有介绍,这里引证这两篇专利供参考。例如,在一个示例形式中,气体屏蔽件150、沉积环159和盖环157中的一个或多个包括使用双线电弧喷射工艺向包括不锈钢的下层结构437上喷射的铝织构化的涂层420。在双线电弧喷射工艺中,双线电弧喷射器497包括两个消耗性电极490、499,它们被成形和成角度以便允许在其间形成电弧498,如图5所示。例如,消耗性电极490、499可包括由将要涂覆在表面306上的金属形成的双线,它们互相成角度地面对以便允许在最近点附近放电。当给消耗性电极490、499施加电压同时在电极490、499之间流过载体气体如空气、氮气或氩气中的一种或多种时,在消耗性电极490、499之间产生电弧放电。在电极之间的电弧原子化和至少部分地在电极490、499上使金属液化,形成熔融涂层材料425,并且由放电电极激励的载体气体将熔融颗粒从热喷射器497喷出并射向部件410的表面436。熔融颗粒撞击在表面436上,在此它们冷却和凝聚形成保形的(conformal)织构化的涂层420,如图4c所示。当使用双线时,该双线可连续地馈送到热喷射器中,以便提供金属材料的连续供给。
在另一形式中,通过等离子体喷射工艺将织构化的涂层420施加于表面436。例如,在另一示例形式中,圆顶壳壁120包括在包括石英的下层结构437上通过氧化铝等离子体喷射的织构化的涂层420。在等离子体喷射中,形成等离子体以便原子化和至少部分地使通过等离子体注入的颗粒涂层材料425的喷雾液化。例如,通过将涂层材料加热到几千摄氏度的温度,等离子体可使涂层材料425液化。被液化的涂层材料425的液滴以高速度撞击在被粗糙化的下表面436上并快速固化,形成保形的织构化的涂层420,如图4c所示。在一个形式中,使用等离子体喷灯705向表面436进行涂层材料425的等离子体喷射,如图6所示。等离子体喷灯705可安装在可控自动臂(未示出)上,以便调节等离子体喷灯705到表面436的距离和与角度。而且,等离子体喷灯705可处于处理室(未示出)内部,以便控制在其中浸渍的等离子体喷灯705的气体环境。
在等离子体喷灯705中,载体气体在两个电极如阴极242和阳极244之间流过。载体气体适于形成高压等离子体,如氩、氮、氢或氦气。可使用氩气,因为它是化学惰性的,并且因为它的电离特性。添加两原子气体如氢气或氮气可增加气体的焓。阴极242和阳极244包括适于通过等离子体产生放电电弧的材料,如类似于钨或铜的金属。在一个实施例中,阴极242由钨制成,阳极244由铜制成。此外,在一个形式中,阳极被冷却,例如水冷,以便防止过热。阴极242和阳极244可相应地被成形,以便适当地在它们之间产生电弧。例如,阴极242可以成形为锥形,阳极244可成形为圆柱形。
AC高频放电在阴极242和阳极244之间启动电弧,并使用DC电源维持。该电弧使载体气体电离,产生高压等离子体。气体温度的最终增加使气体体积增加,因此增加了在气体射出喷头710时的气体的压力和速度。涂层材料425以粉末形式被引入气流715。粉末涂层材料425可以被引到等离子体喷灯705的外部或者喷头710的出射区域中。通过高温、高速等离子体流加热和加速涂层材料425。
合适地选择等离子体喷灯705或双线电弧喷射器497的工作参数,以便调整涂层材料施加的特性,如在涂层材料425穿过从等离子体喷灯705或双线电弧喷射器497到部件表面436的路径时涂层材料425的温度和速度。例如,气体流速、功率水平、粉末馈送速率、载体气体流量、从等离子体喷灯705或双线电弧喷射器497到表面436的投射距离、和相对于部件表面436的涂层材料425的沉积角度应适于提高涂层材料425的施加和织构化的涂层420对溅射材料的后来粘接性。例如,在等离子体喷灯705中,在约30V到约60V之间选择阴极242和阳极244之间的电压,如约45V。另外,可在约500安培到约700安培之间选择阴极242和阳极244之间流动的电流,如约600安培。等离子体喷灯705的功率值通常在约12到约120千瓦的范围内,如约80千瓦。在双线电弧喷射器497中,消耗性电极490、499之间的电压可选择为约30V到约32V,如31V。在消耗性电极490、499之间流动的电流可选择为约50安培到约200安培,如约100安培。流过双线电弧喷射器497的载体气体的压力可从约25到约75psi,如约50psi。
可选择沉积的投射距离和角度以调整涂层材料425在表面436上的沉积特性。可调整沉积的投射距离和角度,以便修正涂层材料425撞击表面436时的相、速度或液滴尺寸。在一个实施例中,等离子体喷灯705和表面436之间的投射距离从约2英寸到约4英寸,如约3英寸。涂层材料425向表面436上的沉积角度相对于表面436可从约75度到约105度,如约90度。在另一实施例中,双线电弧喷射器497和表面436之间的投射距离为约2英寸到约6英寸,如约4英寸。在这个实施例中,在表面436上沉积涂层材料425的角度相对于表面436可为约60度到约100度,如约90度。
涂层材料425的速度可调整以适于在表面436上沉积涂层材料425。在一个实施例中,来自等离子体喷灯705或双线电弧喷射器的涂层材料425的速度为约300到约550米/秒。而且,可以调整等离子体喷灯705或热喷射器497,以便在涂层材料425撞击部件表面436时涂层材料425的温度处于至少涂层材料425的熔化温度左右。熔化点以上的温度可产生高密度和粘接强度的织构化的涂层420。例如,等离子体的温度可超过30000℃。在一个实施例中,粘接强度从约29MPa到约75MPa。然而,关于放电的等离子体温度也可设置成足够低,以便通过与部件表面436撞击而使涂层材料425在一定时间内保持熔化。例如,合适的时间可以为至少约0.02秒或至少约0.1秒。涂层材料425的速率和温度还影响涂层表面形貌。例如,较高的涂层材料速率和温度可产生包括多个花朵形状颗粒的织构化的涂层420,而较低涂层材料温度和速率可产生包括扁平形状颗粒的织构化的涂层420。而且,也可以控制表面436的温度以提供所希望的涂层特性。
一旦已经施加织构化的涂层420,通过检测从表面422背散射的电子以便确定是否该表面包括例如具有合适尺寸和形状的颗粒417,由此来评估涂层的表面422。检测背散射电子以确定颗粒尺寸和形状还可以结合其它表面外形参数如平均表面粗糙度和表面内凹度来进行,以便提供部件表面422的改进的多参数分析。作为例子,下列表2示出了对于适用于基片处理室100中的两个不同被涂覆部件410的评估的表面性能的范围,该被涂覆部件410包括(1)部件410,其包括向包括石英的下层结构427上等离子体喷射的氧化铝织构化的涂层420,和(2)部件410,其包括使用双线电弧热喷射工艺向包括不锈钢的下层结构437上喷射的铝织构化的涂层420。
表2
 Ra   Rsk  Rda  Rku Rpc
(1)在石英上等离子体喷射Al2O3  300-450   -0.5-0  22.0-24.0  2.75-3.35 175-225
(2)在不锈钢上双线电弧喷射Al  1100-1450   0.30-0.60  30.0-32.0  2.75-3.25 55.0-75.0
表2表示提供所希望特性的表面外形值的最佳范围可从表面422的一种类型向另一种类型变化。例如,等离子体喷射氧化铝涂层可按希望地具有负内凹度,而双线电弧喷射Al涂层可按希望地具有例如约0.30-约0.60的正内凹度。这样,通过在表面422上进行多参数分析,包括检测从表面422背散射的电子,可提供表面422的更完全和彻底的特征,并且可选择具有所希望表面形貌和特性的部件410。
如此选择的部件410可以是用于基片处理的处理室100的部件410,所述基片处理例如包括沉积和刻蚀处理。例如,在一个形式中,部件410可以是溅射刻蚀处理室,它的实施例示于图7a中,其中气体被激励,以便通过用离子和中性颗粒撞击基片110而从处理室100中的基片110溅射刻蚀材料。在气体颗粒撞击基片110时,基片材料被溅射以刻蚀掉基片110,以便提供所希望的基片表面。一部分或所有处理室100可由金属或陶瓷材料制成。可用于制造处理室100的金属包括铝、被阳极化处理的铝、“HAYNES 242”、“Al-6061”、“SS 304”、“SS 316”和INCONEL,有时优选使用被阳极化处理的铝。合适的陶瓷材料包括石英或氧化铝。例如,一个形式中,处理室100包括由可基板上透过RF波长的陶瓷材料如石英制造的壳壁120。壳壁120可用做处理室100的侧壁130、底壁135或顶壁140,或者可以是内壁,如与侧壁130或顶壁140相邻设置的衬垫或屏蔽件150。壳壁120可成形为圆顶形以便用做钟罩型壳体,该钟罩型壳体是与处理室100的侧壁130和底壁135分离的结构。圆顶壳壁120可以是圆柱形圆顶、半圆形圆顶、或其它单个或多个半径的弓形圆顶,并且优选制造成整体结构。
处理室100包括用于在处理室100中支撑基片110的基片支架160。支架160可包括电极200,电极200被具有基片接收表面180的介质层170覆盖。电极电源240给电极200提供DC或AC偏置电压,例如RF偏置电压,以便激励气体。在电极200下面是绝缘板190,如石英板,以便电绝缘电极200与处理室100的其它壁120,其中处理室100的有些壁是电接地的或浮置的,或者可以相对于电极200进行电偏置。电偏置电极200允许通过激励和加速朝向基片100的溅射离子而刻蚀基片110。至少导电的一部分壁120优选接地,以便可相对于接地或浮置壳壁120在基片110上保持负电压。任选地,支架160还可包括静电夹具(未示出),它能静电地将基片100固定于支架160,或者DC电压可施加于电极200以产生静电吸引力。
电极200还可包括贯穿的一个或多个导管(未示出),例如提供气体导管(未示出)以便从热传输气体输送装置向表面180输送热传输气体。热传输气体通常为氦,它能促进基片100和支架160之间的热传输。其它导管(未示出)允许提升销钉(pins)(未示出)延伸穿过电极200,用于通过提升机构装载和卸载基片110。处理室100还可包括支架提升机构162以便在处理室100中升高或降低支架160,由此提高或改变基片110的处理性能。
处理室100的壁120还可用作气体屏蔽件150,以便屏蔽处理室100的部件不受激励处理气体影响。例如,气体屏蔽件150可以基本上将下室壁155和处理气体屏蔽开来。气体屏蔽件150还接收和收集来自基片110的溅射材料。气体屏蔽件150可以悬挂在处理室100内并包括一个或多个环孔390,当支架160在处理室100中向下缩回时,该环孔可悬挂在支架160上。处理室100还可包括电磁屏蔽件152,以便防止处理室100外部的电场或磁场干扰处理室100的工作。电磁屏蔽件152包括适于提供电或磁屏蔽的材料,如导电或磁性合金。
处理室100还包括将处理气体分配到处理室100中的气体输送装置260和从处理室100排出处理气体的排气装置270。在溅射刻蚀中,处理气体包括不与基片材料互相化学反应的惰性气体,如氩或氙。气体输送装置260可包括输送处理气体的处理气体输送装置280和经过气体入口263将处理气体引入处理室100的一个或多个气体导管262,在一个实施例中,这些气体入口设置在基片110的周边周围以便在基片110附近引入处理气体。例如,气体输送装置260可包括约1到约10个气体入口。任选地,气体输送装置260还可包括将处理气体流均匀分配到处理室100中的气体沟槽盖264。气体沟槽盖264可用保护涂层涂覆。气体入口263设置在气体沟槽盖264中,以便提供处理气体在处理室100中的均匀分散。
处理室100还包括用于控制进入处理室100的处理气体流的一个或多个质量流量控制器(未示出)。排气装置270可包括接收废处理气体的泵送通道(未示出)、控制处理室100中的处理气体压力的节流阀(未示出)、和一个或多个排气泵(未示出)。排气泵可包括例如机械泵或涡轮泵,如350l/s Leybold涡轮泵。排气装置270还可包含用于从处理气体中除去不希望的气体的系统。
处理室100中的气体成分和压力通常通过在用氩气回填处理室100到几毫乇之前对处理室100排气到至少约10-7乇来实现。在这些气体压力下,支架160可在处理室100中向上上升。在一个实施例中,处理室100包括旋扭,它可由操作者旋转以调整基片110在处理室100中的高度。
处理室100还包括用于将处理气体激励为等离子体的等离子体发生器330。等离子体发生器330将能量耦合给处理室100的处理区340(如图示)中的处理气体,或者在远离处理室100的上游的远处区域(未示出)中的处理气体。在一个形式中,等离子体发生器330包括天线350,天线350包括一个或多个感应线圈360。感应线圈360可具有关于处理室100的中心的圆形对称形状。通常,天线350包括一个或多个螺线管形状的并被定位以提供强感应磁通量,并将其耦合到处理气体。当天线350设置在处理室100的顶板140附近时,顶板140的相邻部分由介电材料构成,如二氧化硅,它对天线350发射的电磁辐射如RF能量是透明的。天线电源370例如以通常约为50KHz到约60MHz、更通常为约400KHz以上的频率的RF功率至天线350,其功率水平约为100到5000瓦。还可提供RF匹配网络(未示出),以便使RF功率与处理气体的阻挡匹配。在另一形式中,等离子体发生器330包括电极200以在处理区340中产生电场,激励处理气体。在该形式中,电极电源(未示出)例如以从约50KHZ到约60MHz、更通常为约13.56MHz的频率给电极200提供功率。可替换或额外地,等离子体发生器330可包括微波气体激励器(未示出)。
在另一形式中,根据当前方法选择的部件410可以是在其中激励气体的沉积室100的部件410,以便例如通过化学或物理蒸汽沉积装置在基片110上沉积材料。例如,部件410可包括处理室100的部件410,在其中可激励气体,以便从靶102向基片110上溅射材料。图7b示出了根据本方法选择的沉积室100的部件410的实施例。在一种形式中,部件410可包括在沉积室100中并围绕支架160的一个或多个环孔(annular rings)390,例如盖环157和沉积环159。沉积环159和盖环157至少可覆盖支架160的一部分,以便减少支架160暴露于处理室100中的激励气体,并减少溅射颗粒沉积到支架160上。沉积环159可至少部分地包围基片110,以便保护支架160的未被基片110覆盖的部分。盖环157可环绕沉积环159的至少一部分,并帮助减少颗粒沉积到沉积环159和下面的支架160上。在另一形式中,部件410可包括处理室100中的一部分壁120,例如一部分气体屏蔽件150。气体屏蔽件150可包括基本上将下室壁155与处理气体隔开的下部屏蔽件151和基本上将侧壁130或顶板140与处理气体隔开的上部屏蔽件153。
控制器480通过向各个处理室部件和系统发送电信号和从各个处理室部件和系统接收电信号来控制处理室100的操作。例如,通过处理室100中的处理监视系统测量的处理条件可作为电信号输送给控制器480,然后在信号到达阈值时改变处理条件。控制器480可以是互相连接的多个相互连接的控制器装置或连接到处理室100的不同部件410的多个控制器装置。
根据本发明选择的具有部件410的处理室100,它的一个实施例示于图4c,具有优于没有这种选择部件410的常规处理室(未示出)的显著优点。例如,通过检测背散射电子和产生信号图像选择的部件410可具有改进的表面特性,如希望的颗粒尺寸和形状。在一种形式中,可检测背散射电子以选择包括表面422的部件410,所述表面422具有颗粒417,颗粒417的尺寸足够大以便由溅射等离子体产生的溅射材料在表面422上累积至所希望的厚度,而基本上没有从下部结构437上剥落织构化的涂层420。这样,通过根据关于背散射电子产生的信号图像而选择部件410,可提供部件410,使其具有增强的粘接性能和在处理环境中的增加的耐久性。
作为另一例子,处理室100包括根据它们的表面性能如表面422上的颗粒的尺寸和形状,表面粗糙度和表面内凹度而选择的部件410,可将再沉积到基片110上的溅射材料减少5倍。图8的棒状图示出了每个基片110上的再沉积的颗粒的标准量,其作为处理室是否具有常规部件(左棒830)或根据本发明选择的部件410(右棒835)的函数,还作为以微米为单位的颗粒尺寸的再沉积的函数。数据点是以使用两组常规部件的11个基片和使用根据本发明选择的四组部件的50个基片的测量值为基础的。每对棒的左和右棒830、835分别对应于具有常规部件410的常规处理室中的基片(未示出)上和根据本发明选择的部件410的处理室100中的基片110上的再沉积量。通过在所有颗粒尺寸范围内比较左和右棒830、835,可以看到利用根据本方法选择的部件410,再沉积到基片110上的材料通常减少约5倍。
另外,根据本方法选择的部件410通常具有比其它常规部件(未示出)长的操作寿命。在一个实施例中,这些部件的寿命可比常规部件延长至少4倍,如图8的棒状图所示。每次操作大于0.5微米的再沉积颗粒的标准量被绘制成曲线,其中每个棒表示一个操作。所有棒从左向右依次按时间顺序排列,并且参考标记840表示替换部件410的时间。左边820上的棒表示在使用常规部件的处理室中的操作,右边825上的棒表示在具有根据本发明的部件410的处理室100中的操作。数据点是以使用具有两组常规部件的处理室的11个基片和使用具有根据本发明选择的四组部件的处理室的50个基片的测量值为基础的。棒815是不好的数据点,应该忽略,因为在相应相似操作中使用的常规部件也提供不好的数据。由左边的水平线805和右边的另一水平线810示出了左边和右边的棒高度的中值(median)。通过分别比较在左和右边820和825上的中值颗粒再沉积值,可以看到通过使用根据本发明选择的部件410将颗粒再沉积量通常减少了至少约4倍。大约与颗粒再沉积速率成比例的部件寿命通常也增加了至少约4倍。
虽然前面已经关于某些优选形式相当详细地介绍了本发明,但是其他形式也是可以的。例如,本发明可与其它处理室一起使用,如化学汽相沉积(CVD)处理室。处理室100还可包括其它等效结构,这对本领域技术人员来说是显而易见的。作为另一例子,处理室100的一个或多个部件410可包括多个不同涂层420。因此,所附权利要求书应该不限于前述优选形式的说明。

Claims (20)

1.一种选择用于基片处理室的部件的方法,该方法包括:
(a)提供具有织构化的涂层的结构,该织构化的涂层具有表面颗粒;和
(b)通过以下步骤评估该结构上的织构化的涂层:
(i)向该织构化的涂层的表面颗粒上照射一束电子,由此使至少一部分电子背散射,
(ii)检测背散射电子和产生信号图像,
(iii)评估该信号图像以便确定织构化的涂层的表面颗粒的尺寸,和
(iv)当织构化的涂层的表面颗粒的尺寸为约0.1-约5微米时选择该部件。
2.根据权利要求1的方法,其中(b)(iv)还包括当织构化的涂层的表面颗粒基本上为花朵形状时选择该部件。
3.根据权利要求1的方法,其中(b)(iv)包括在织构化的涂层主要由尺寸为约0.1到约5微米的表面颗粒构成时选择该部件。
4.根据权利要求1的方法,其中还包括评估织构化的涂层的平均粗糙度和平均内凹度。
5.根据权利要求1的方法,其中(a)包括形成适用于室壳壁、气体屏蔽件、盖环或沉积环的结构。
6.根据权利要求5的方法,包括形成由不锈钢、铝、钛、铜、铜合金、石英或氧化铝构成的结构。
7.根据权利要求5的方法,其中该结构包括织构化的涂层,该织构化的涂层包括铝、硅、氧化铝、碳化硼或氧化钛。
8.根据权利要求7的方法,其中该织构化的涂层是等离子体喷射涂层或热喷射涂层。
9.一种用于基片处理室的部件,该部件是通过权利要求1的方法制造的。
10.一种基片处理室,包括权利要求1的部件,该处理室还包括:
向处理室中提供处理气体的气体输送装置;
用于在处理室中形成处理气体的等离子体以处理基片的等离子体发生器;和
排出处理气体的排气装置。
11.一种选择用于基片处理室的部件的方法,该方法包括:
(a)提供一种结构,该结构具有:
(i)适合于室壁、气体屏蔽件、盖环或沉积环的形状,该结构由不锈钢、铝、钛、铜、铜合金、石英或氧化铝构成;和
(ii)在该形状上的等离子体喷射涂层或热喷射织构化的涂层,该织构化的涂层具有包括铝、硅、氧化铝、碳化硼和氧化钛中的一种或多种的表面颗粒;和
(b)通过以下步骤评估该织构化的涂层:
(i)向该织构化的涂层的表面颗粒上照射一束电子,由此使至少一部分电子背散射,
(ii)检测背散射电子和产生信号图像,
(iii)评估该信号图像以便确定织构化的涂层的表面颗粒的尺寸,和
(iv)当织构化的涂层的表面颗粒的尺寸为约0.1-约5微米和基本上为花朵形状时选择该部件。
12.一种用于基片处理室的部件,该部件是通过权利要求11的方法制造的。
13.一种基片处理室,其包括权利要求11的部件,该处理室还包括:
(1)向处理室中提供处理气体的气体输送装置;
(2)用于在处理室中形成处理气体等离子体以便处理基片的等离子体发生器;和
(3)排出处理气体的排气装置。
14.一种基片处理室部件,其包括:
(a)一种结构;和
(b)在该结构上的织构化的涂层,该织构化的涂层主要由尺寸为约0.1-约5微米的表面颗粒构成。
15.根据权利要求14的部件,其中织构化的涂层的表面颗粒基本上为花朵形状。
16.根据权利要求14的部件,其中该结构成形为室壳壁、气体屏蔽件、盖环或沉积环。
17.根据权利要求14的部件,其中该结构包括不锈钢、铝、钛、铜、铜合金、石英或氧化铝。
18.根据权利要求14的部件,其中该织构化的涂层包括铝、硅、氧化铝、碳化硼或氧化钛。
19.根据权利要求18的部件,其中织构化的涂层是等离子体喷射涂层或热喷射涂层。
20.一种基片处理室部件,其包括:
(a)成形为室壳壁、气体屏蔽件、盖环或沉积环的结构,该结构由不锈钢、铝、钛、铜、铜合金、石英或氧化铝构成;和
(b)在该结构上的该织构化的涂层,该织构化的涂层:
(i)由铝、硅、氧化铝、碳化硼或氧化钛制成;和
(ii)主要由基本为花朵形状的表面颗粒构成,所述表面颗粒的尺寸为约0.1-约5微米。
CNB038007827A 2002-03-27 2003-03-26 具有织构化的涂层的处理室部件的评估 Expired - Fee Related CN100390922C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/113,847 US7026009B2 (en) 2002-03-27 2002-03-27 Evaluation of chamber components having textured coatings
US10/113,847 2002-03-27

Publications (2)

Publication Number Publication Date
CN1672236A true CN1672236A (zh) 2005-09-21
CN100390922C CN100390922C (zh) 2008-05-28

Family

ID=28453691

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038007827A Expired - Fee Related CN100390922C (zh) 2002-03-27 2003-03-26 具有织构化的涂层的处理室部件的评估

Country Status (7)

Country Link
US (1) US7026009B2 (zh)
EP (1) EP1485515B1 (zh)
JP (1) JP2005521868A (zh)
KR (1) KR101095330B1 (zh)
CN (1) CN100390922C (zh)
TW (1) TWI309431B (zh)
WO (1) WO2003083160A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105388173A (zh) * 2014-08-25 2016-03-09 Fei公司 获取ebsp图样的方法
CN106574362A (zh) * 2014-08-08 2017-04-19 株式会社爱发科 靶组件

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
JP4298401B2 (ja) * 2003-06-27 2009-07-22 キヤノン株式会社 堆積膜形成装置、及び堆積膜形成方法
KR101084553B1 (ko) 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
JP4604640B2 (ja) * 2003-10-17 2011-01-05 東ソー株式会社 真空装置用部品及びその製造方法並びにそれを用いた装置
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7125308B2 (en) * 2003-12-18 2006-10-24 Nano-Proprietary, Inc. Bead blast activation of carbon nanotube cathode
US20050147742A1 (en) * 2004-01-07 2005-07-07 Tokyo Electron Limited Processing chamber components, particularly chamber shields, and method of controlling temperature thereof
JP4653406B2 (ja) * 2004-03-10 2011-03-16 株式会社アルバック 水崩壊性Al複合材料、水崩壊性Al溶射膜、及び水崩壊性Al粉の製造方法、並びに成膜室用構成部材及び成膜材料の回収方法
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
EP1657322B1 (en) * 2004-11-04 2013-10-30 United Technologies Corporation Plasma spray apparatus
US20060091117A1 (en) * 2004-11-04 2006-05-04 United Technologies Corporation Plasma spray apparatus
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
JP5089874B2 (ja) * 2005-09-12 2012-12-05 トーカロ株式会社 プラズマ処理装置用部材およびその製造方法
DE102005044991A1 (de) * 2005-09-21 2007-03-22 Mtu Aero Engines Gmbh Verfahren zur Herstellung einer Schutzschicht, Schutzschicht und Bauteil mit einer Schutzschicht
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070125646A1 (en) * 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
JP4988327B2 (ja) * 2006-02-23 2012-08-01 ルネサスエレクトロニクス株式会社 イオン注入装置
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
JP5004573B2 (ja) * 2006-12-25 2012-08-22 京セラ株式会社 半導体製造装置用耐食性部材およびその製造方法
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8062487B2 (en) * 2007-06-25 2011-11-22 United Microelectronics Corp. Wafer supporting device of a sputtering apparatus
JP2009048877A (ja) * 2007-08-21 2009-03-05 Nec Electronics Corp イオン注入装置
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
KR101571558B1 (ko) * 2008-04-16 2015-11-24 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
JP4811476B2 (ja) * 2009-03-05 2011-11-09 株式会社デンソー 撥水層を形成する撥水層の形成方法および撥水層を備えた燃料噴射弁
KR20120089647A (ko) * 2009-08-11 2012-08-13 어플라이드 머티어리얼스, 인코포레이티드 Rf 물리적 기상 증착을 위한 프로세스 키트
DE102010024521A1 (de) * 2010-06-21 2011-12-22 Innovent E.V. Verfahren zur Erhöhung der Transluzenz eines Substrats
GB201102447D0 (en) * 2011-02-11 2011-03-30 Spp Process Technology Systems Uk Ltd Composite shielding
JP5865625B2 (ja) 2011-08-05 2016-02-17 昭和電工株式会社 エピタキシャルウェハの製造装置及び製造方法
JP5933202B2 (ja) * 2011-08-05 2016-06-08 昭和電工株式会社 エピタキシャルウェハの製造装置及び製造方法
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
US8734907B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130277203A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Process kit shield and physical vapor deposition chamber having same
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
JP6218921B2 (ja) * 2013-04-10 2017-10-25 ピコサン オーワイPicosun Oy Aldコーティングによるターゲットポンプ内部の保護
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10096455B2 (en) * 2013-09-17 2018-10-09 Applied Materials, Inc. Extended dark space shield
US20150122643A1 (en) * 2013-11-06 2015-05-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Supporting member for magnetron sputtering anode bar and magnetron sputtering device including the same
US10053777B2 (en) * 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US20160168687A1 (en) * 2014-12-14 2016-06-16 Applied Materials, Inc. Particle reduction in a deposition chamber using thermal expansion coefficient compatible coating
CN106113280A (zh) * 2016-06-30 2016-11-16 禹州市远大塑料电器有限责任公司 一种石英石表面的不规则纹理生成结构
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11486042B2 (en) 2018-01-18 2022-11-01 Viavi Solutions Inc. Silicon coating on hard shields
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
CA3113952C (en) 2018-09-24 2023-09-19 Building Materials Investment Corporation Roofing membranes with improved adhesive bonding strength
US20200161100A1 (en) * 2018-10-31 2020-05-21 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for physical vapor deposition and method for forming a layer
WO2021025849A1 (en) 2019-08-05 2021-02-11 Applied Materials, Inc. Coating for chamber particle reduction
US11842890B2 (en) * 2019-08-16 2023-12-12 Applied Materials, Inc. Methods and apparatus for physical vapor deposition (PVD) dielectric deposition
CN115004351A (zh) * 2020-01-30 2022-09-02 朗姆研究公司 用于处理腔室的带有保护表面的组件

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPH0676652B2 (ja) * 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US5032469A (en) * 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
EP0406690B1 (en) * 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) * 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
EP0634756B1 (en) * 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US5914018A (en) * 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
SG54602A1 (en) * 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US5939146A (en) * 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
KR100418331B1 (ko) * 1999-12-28 2004-02-14 가부시끼가이샤 도시바 진공 성막 장치용 부품 및 그것을 이용한 진공 성막 장치,및 타깃 장치
JP2002181050A (ja) * 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6974640B2 (en) * 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106574362A (zh) * 2014-08-08 2017-04-19 株式会社爱发科 靶组件
CN106574362B (zh) * 2014-08-08 2019-06-11 株式会社爱发科 靶组件
CN105388173A (zh) * 2014-08-25 2016-03-09 Fei公司 获取ebsp图样的方法

Also Published As

Publication number Publication date
EP1485515B1 (en) 2011-09-21
WO2003083160A2 (en) 2003-10-09
JP2005521868A (ja) 2005-07-21
TW200305189A (en) 2003-10-16
WO2003083160A3 (en) 2004-02-05
EP1485515A2 (en) 2004-12-15
KR20040100837A (ko) 2004-12-02
US20030185965A1 (en) 2003-10-02
KR101095330B1 (ko) 2011-12-16
US7026009B2 (en) 2006-04-11
CN100390922C (zh) 2008-05-28
TWI309431B (en) 2009-05-01

Similar Documents

Publication Publication Date Title
CN100390922C (zh) 具有织构化的涂层的处理室部件的评估
EP1405330B1 (en) Process chamber components having textured internal surfaces and method of manufacture
US6444083B1 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP5058816B2 (ja) 層状にコーティングされたプロセスチャンバのコンポーネント及び方法
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
CN1285758C (zh) 低污染的等离子反应室部件及其制造方法
US7910218B2 (en) Cleaning and refurbishing chamber components having metal coatings

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080528

Termination date: 20170326

CF01 Termination of patent right due to non-payment of annual fee