CN1682339B - 用于等离子体工艺系统中的改进的挡板的方法和装置 - Google Patents

用于等离子体工艺系统中的改进的挡板的方法和装置 Download PDF

Info

Publication number
CN1682339B
CN1682339B CN038220792A CN03822079A CN1682339B CN 1682339 B CN1682339 B CN 1682339B CN 038220792 A CN038220792 A CN 038220792A CN 03822079 A CN03822079 A CN 03822079A CN 1682339 B CN1682339 B CN 1682339B
Authority
CN
China
Prior art keywords
baffle plate
barrier layer
path
improvement
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN038220792A
Other languages
English (en)
Other versions
CN1682339A (zh
Inventor
三枝秀仁
高濑均
三桥康至
中山博之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1682339A publication Critical patent/CN1682339A/zh
Application granted granted Critical
Publication of CN1682339B publication Critical patent/CN1682339B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor

Abstract

本发明提出了用于等离子体工艺系统的一种改进的挡板,其中挡板的设计和制作在工艺空间内方便地提供了一个均匀的工艺等离子体,以及基本上最小地腐蚀挡板。

Description

用于等离子体工艺系统中的改进的挡板的方法和装置
相关申请对照
该申请涉及共同未决美国专利申请系列No.10/259,858,题目“用于一个等离子体工艺系统中的一个改进上电极板与淀积屏蔽的方法和装置”,代理人摘要号226272US6YA,于此在相同日期公开;共同未决美国专利申请系列No.10/259,382,题目“用于一个等离子体工艺系统中的一个改进挡板的方法和装置”,代理人摘要号226274US6YA,于此在相同日期公开;共同未决美国专利申请系列No.10/259,757,题目“用于一个等离子体工艺系统中的一个改进上电极板的方法和装置”,代理人摘要号225277US6YA,于此在相同日期公开;共同未决美国专利申请系列No.10/259,353,题目“用于一个等离子体工艺系统中的一个改进淀积屏蔽的方法和装置”,代理人摘要号226275US6YA,于此在相同日期公开;共同未决美国专利申请系列No.10/259,352,题目“用于一个等离子体工艺系统中的一个改进光学窗口淀积屏蔽的方法和装置”,代理人摘要号226276US6YA,于此在相同日期公开;以及共同未决美国专利申请系列No.10/259,306,题目“用于一个等离子体工艺系统中的一个改进上电极板的方法和装置”,代理人摘要号226277US6YA,于此在相同日期公开。所有申请的全部内容在此完全引用作为参考。
技术领域
本发明涉及用于等离子体工艺系统的改进组件,以及更一般而言,涉及在等离子体工艺系统中围绕衬底支架使用的一种挡板。
背景技术
半导体工业中集成电路(IC)的制造典型地在一个等离子体反应器中使用等离子体来产生和辅助表面化学,这是从衬底去除材料以及淀积材料所必须的。一般,在等离子体反应器中在真空环境下通过加热电子到足够的能量,以维持与一种供给的工艺气体的电离碰撞,从而形成等离子体。此外,加热的电子能够具有足够的能量来维持分解碰撞,因此在预定条件下(例如腔室压强,气体流速,等)便选定了一特殊系列气体,以产生适合于在腔室中进行特定工艺(例如材料从衬底去除的刻蚀工艺或材料加在衬底上的淀积工艺)的带电粒子群和化学活性粒子群。
尽管在衬底表面形成带电粒子群(离子等)和化学活性粒子群对于完成等离子体工艺系统功能(例如材料刻蚀,材料淀积,等)是必须的,在工艺腔室内部的其它组件表面暴露于物理和化学活性等离子体,并且随着时间能够被腐蚀。在等离子体工艺系统中暴露组件的腐蚀能够导致等离子体工艺性能的逐步退化并且最终导致系统的完全失效。
为了尽量减小由于暴露在工艺等离子体中所遭受的损坏,已知遭受暴露于等离子体工艺系统中的组件都覆盖了一层保护阻挡层。例如由铝制成的组件可以阳极氧化,以产生一个氧化铝的表面层,它对等离子体更有抵抗性。在另一个实例中,一个可消耗或可替代组件,例如由硅,石英,氧化铝,碳,或碳化硅制成,可以插入工艺腔室中来保护更有价值的组件表面,频繁替换它们成本将更大。进一步,希望表面材料的选择尽量小地将不想要的污染,杂质等引入工艺等离子体中以及可能的在衬底上形成的器件中。
在这两种情况下,保护层不可避免地失效,或者由于保护阻挡层的完整性或者制造保护阻挡层的完整性,以及可替代组件的易消耗特性要求经常性地维护等离子工艺系统。这种经常性的维护能够产生与等离子体工艺故障时间联系的成本,以及新的等离子体工艺腔室组件,它们将是额外的。
发明内容
本发明对等离子体工艺系统提供了改进的挡板,其中挡板的设计和制作方便地针对了上面确定的缺点。
本发明的一个目标是提供了一种挡板,包括一个含有一个上表面,一个下表面,一个内径向边缘,以及一个外径向边缘的曲线环。上表面可以进一步包括与外径向边缘接近的第一配合表面。下表面可以进一步包括与外径向边缘接近的第二配合表面。挡板可以进一步包括至少一个耦合到上表面和下表面的通路,并且配置的允许气流通过它,其中至少一个通路可以包括一个内部通路表面。
本发明进一步的一个目标是挡板的暴露表面包括挡板除第一配合表面外的上表面;挡板除第二配合表面外的下表面;内边缘表面;以及与上表面和下表面邻近的内通路表面。
本发明进一步提供了一种在等离子体工艺系统中制造挡板的方法,包括步骤:制作挡板;阳极氧化挡板在挡板上形成一层表面阳极氧化层;切削挡板上暴露的表面以去除表面阳极氧化层;以及在暴露的表面上形成一层保护阻挡层。
本发明提供了另一种在等离子体工艺系统中制造挡板的方法,包括步骤:制作挡板;掩蔽挡板上暴露的表面以防止形成一层表面阳极氧化层;阳极氧化挡板在挡板上形成一层表面阳极氧化层;揭去暴露表面上的掩蔽;以及在暴露的表面上形成一层保护阻挡层。
本发明提供了另一种在等离子体工艺系统中制造挡板的方法,包括步骤:制作挡板;以及在多个暴露表面上形成一层保护阻挡层。
本发明还可以包括一种工艺,结合切削和掩蔽来制备暴露的表面以接受保护阻挡层,以及然后在暴露的表面上形成保护阻挡层。例如,在阳极氧化前可以掩蔽两个暴露的表面,阳极氧化后可以切削两个表面以产生四个暴露表面,在其上可以形成保护阻挡层。
上面的任何方法还可以任选地包括切削不是暴露表面的阳极氧化(或者另外覆盖)表面(例如获得一个裸露的金属连接,在其上切削的表面将与另一部分匹配)。
附图说明
从下面对本发明示例实施例的详细描述并结合附图,将会更明显和更容易理解本发明的这些和其它优点,其中
图1示出了根据本发明一个实施例,包括一个挡板的一个等离子体工艺系统的简化方框图;
图2示出了根据本发明一个实施例,用于一个等离子体工艺系统的一个挡板的平面图;
图3示出了根据本发明一个实施例,用于一个等离子体工艺系统的一个挡板的截面图;
图4示出了根据本发明一个实施例,用于一个等离子体工艺系统的在一个挡板中形成的一个通路的扩展截面图;
图5示出了根据本发明一个实施例,用于一个等离子体工艺系统的一个挡板的扩展截面图;
图6表示了根据本发明一个实施例,用于一个等离子体工艺系统的一个挡板的一个外径向边缘的扩展截面图;
图7表示了根据本发明一个实施例,制作用于一个等离子体工艺系统的一个挡板的方法;
图8表示了根据本发明另一个实施例,制作用于一个等离子体工艺系统的一个挡板的方法;以及
图9表示了根据本发明另一个实施例,制作用于一个等离子体工艺系统的一个挡板的方法。
具体实施方式
本发明对等离子体工艺系统提供了一种改进的挡板,其中挡板的设计和制作方便地减轻了上面确定的缺点。
根据本发明的一个实施例,一个等离子体工艺系统1描述于图1,包括一个等离子体工艺腔室10,一个上部件20,一个电极板24,一个用于支撑衬底35的衬底支架30,以及一个抽运管道40耦合到一个真空泵(未示出),用于在等离子体工艺腔室10中提供一个降低的压强环境11。等离子体工艺腔室10便于在邻近衬底35的工艺空间12内形成一种工艺等离子体。等离子体工艺系统1可以配置为处理200mm衬底,300mm衬底或更大的衬底。
在图示的实施例中,上部件20可以包括至少一个盖,一个气体注入部件,以及一个上电极阻抗匹配网络。例如,电极板24可以耦合到一个RF源,并便于用于等离子体工艺系统1的一个上电极。在另一个可选实施例中,上部件20包括一个盖和一个电极板24,其中电极板24维持在电势等于等离子体工艺腔室10的电势。例如,等离子体工艺腔室10,上部件20,和电极板24可以电学地连接到接地电势,并便于用于等离子体工艺系统1的一个上电极。
等离子体工艺腔室10可以例如进一步包括一个淀积屏蔽14,用于保护等离子体工艺腔室10免于工艺空间12中的工艺等离子体,以及一个光学观察口16。光学观察口16可以包括耦合到一个光学窗口淀积屏蔽18背面的一个光学窗口17,并且一个光学窗口凸缘19可以配置为使光学窗口17耦合到光学窗口淀积屏蔽18。密封部件,例如O环,可以在光学窗口凸缘19和光学窗口17之间,在光学窗口17和光学窗口淀积屏蔽18之间,以及在光学窗口淀积屏蔽18和等离子体工艺腔室10之间提供。光学观察口16可以例如允许监测从工艺空间12中的工艺等离子体的光发射。
衬底支架30可以例如进一步包括一个垂直平移设备50,由耦合到衬底支架30和等离子体工艺腔室10的一个波纹管52包围,并配置为密封垂直平移设备50避免等离子体工艺腔室10中的降低压强环境11。此外,一个波纹管屏蔽54可以例如耦合到衬底支架30,并配置为保护波纹管52避免工艺等离子体。衬底支架30可以例如进一步耦合到至少一个聚焦环60,以及一个屏蔽环62。进一步,一个挡板64可以延伸到约衬底支架30的外围。
衬底35可以例如传送进和传送出等离子体工艺腔室10,通过一个槽阀(未示出)以及通过机器人衬底传送系统的腔室馈通(未示出),它由安放在衬底支架30中的衬底起模顶杆(未示出)接收,并由位于此处的设备机械地平移。一旦衬底35由衬底传送系统接收,它降到衬底支架30的一个上表面。
衬底35可以例如通过一个静电吸附系统固定到衬底支架30上。进一步,衬底支架30可以例如进一步包括一个冷却系统,它包括一个再循环冷却液流,从衬底支架30接收热量并将热转移到一个热交换系统(未示出),或者当加热时,将热从热交换系统转移。此外,气体可以例如通过一个背面气体系统传送到衬底35的背面,以改进衬底35和衬底支架30之间的气隙的热传导。这样一个系统可以在当需要控制衬底的温度上升和下降时使用。在其它的实施例中,可以包括加热元件,例如电阻加热元件或热电加热器/冷却器。
在图示的实施例中,如图1所示,衬底支架30可以包括一个电极,通过它RF功率耦合到工艺空间12的工艺等离子体上。例如,衬底支架30可以电学上偏置在一个RF电压下,通过将RF功率从一个RF发生器(未示出)由一个阻抗匹配网络(未示出)传输到衬底支架30。RF偏压可以用作加热电子以形成并维持等离子体。在这种配置下,系统可以作为一个反应离子刻蚀(RIE)反应器工作,其中腔室和上气体注入电极用作接地表面。用于RF偏压的一个典型频率范围从1MHz到100MHz,优选13.56MHz。用于等离子体工艺的RF系统对该领域的技术人员是熟知的。
作为选择,工艺空间12中形成的工艺等离子体可以使用一个平行板,电容耦合等离子体(CCP)源,一个电感耦合等离子体(ICP)源,它们的任何组合,并有或没有磁场系统来形成。作为选择,工艺空间12中形成的工艺等离子体可以使用电子回旋共振(ECR)形成。仍然在另一个实施例中,工艺空间12中形成的工艺等离子体由发射一个螺旋波形成。仍然在另一个实施例中,工艺空间12中形成的工艺等离子体由一个传播表面波形成。
现在参考图2(平面图)和图3(截面图)描述的图示实施例,挡板64可以形成一个曲线环,包括一个上表面182,一个下表面184,一个内径向边缘186,以及一个外径向边缘188。挡板64可以进一步包括至少一个耦合到上表面182和下表面184的通路190,并配置的允许气流从它经过。
图4提供了通路190中一个的扩展图,其中扩展图提供了通路190的一个截面图。每个通路190包括一个与挡板64的上表面182和下表面184临近的内通路表面192。例如,内通路表面192可以包括至少一个平坦和/或弯曲表面。另外,例如,至少一个通路190可以包括一个最小的长度,它由最接近每个通路的上表面182和下表面184之间的距离规定,尺寸范围从1到50mm。希望最小长度包括尺寸范围从1到10mm,优选最小长度至少2mm。
图5提供了挡板64的一个示例截面图,以截面描绘了几个通路190。在图2和图5中示例的实施例中,通路190可以包括至少一个以径向排列的管口。最为选择,至少一个管口可以以方位角方向排列。在本发明的一个可选实施例中,该至少一个通路190可以倾斜,因此部分以径向排列以及部分以方位角方向排列。在一个可选的实施例中,该至少一个通路190可以包括它们的排列方法学的组合。最为选择,该至少一个通路190可以包括至少一个槽。
仍然参考图5,内径向边缘186包括一个与挡板64的上表面182和下表面184临近的内边缘表面212。例如,内边缘表面212可以包括一个弯曲和/或平坦表面。
仍然参考图5,挡板64可以包括表面182和184,其中至少上表面182和下表面184的一个以一个角度195倾斜。例如,对每个表面的倾斜角195可以与图5所示的角度相同。另外,例如角度195的范围可以从0到90度。希望角度195的范围从0到60度;以及优选地,角度195的范围从0到45度。
图6示出了挡板64的外径向边缘188的一个扩展截面图。如图6和图2所描述的,挡板64可以例如进一步包括多个紧固接受器200,每个紧固接受器200可以耦合到上表面182和下表面184,并配置的能接受紧固设备(未示出)(例如螺栓)以将挡板64耦合到等离子体工艺系统1。紧固接受器200可以包括一个进入腔202,一个出通孔204,以及一个内接受器表面206。例如,在挡板64内形成的紧固接受器200的数目范围可以从0到100。希望紧固接受器200的数目范围可以从5到20;以及优选地,紧固接受器200的数目等于8。
仍然参考图6,外径向边缘188可以进一步包括一个外边缘表面214,第一配合表面216,以及第二配合表面218。外边缘表面214可以耦合到挡板64的上表面182和下表面184。上表面182可以包括第一配合表面216,可以配置的与等离子体工艺系统1紧密配合。下表面184可以包括第二配合表面218,可以配置的与等离子体工艺系统1紧密配合。另外,例如外径向边缘188可以包括一个厚度,由最接近外边缘表面214的第一配合表面216和第二配合表面218之间的距离规定,它的尺寸范围从1-50mm。希望厚度包括一个尺寸范围从1-10mm,以及优选地,厚度至少5mm。
现在参考图2-图6,挡板64进一步包括一个保护阻挡层150,形成在挡板64的多个暴露表面220上。在本发明的一个实施例中,暴露表面220可以包括挡板64除第一配合表面216的上表面182;括挡板64除第二配合表面218的下表面184;内边缘表面212;以及耦合到上表面182和下表面184的内通路表面192。在一个实施例中,进入腔202表面和出通孔表面也是暴露的表面。最为选择,这些表面的一个或更多可以包括一层阻挡层。最为选择,暴露表面包括挡板64上的所有表面。
在本发明的一个实施例中,保护阻挡层150可以包括一种包括氧化物例如Al2O3的化合物。在本发明的另一个实施例中,保护阻挡层150可以包括Al2O3和Y2O3的混合物。在本发明的另一个实施例中,保护阻挡层150可以包括三族元素(周期表中的列三)和稀土元素中至少一种。在本发明的另一个实施例中,三族元素可以包括钇,钪,和镧中至少一种。在本发明的另一个实施例中,稀土元素可以包括铈,镝,和铕中至少一种。在本发明的另一个实施例中,形成保护阻挡层150的化合物可以包括氧化钇(Y2O3),Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和Dy2O3中的至少之一。
在本发明的一个实施例中,在挡板64上形成的保护阻挡层150包括一层含有最小厚度的热喷涂层,其中最小厚度可以允许沿多个暴露表面220变化。换句话说,沿暴露表面220可以改变特定的厚度。例如,在暴露表面220的第一部分最小厚度可以为常数,并沿暴露表面220的第二部分变化。例如,厚度的变化可以发生在一个弯曲表面上,在一个拐角上,或者在一个孔中。最小厚度范围从0-550微米。希望最小厚度范围从50-250微米另;以及优选地,最小厚度范围从150-250微米。
另外,如图2中所示,挡板64可以例如进一步包括多个安装通孔201。每个安装通孔201可以耦合到上表面182和下表面184,以及配置的能接受紧固设备(未示出)(例如螺栓)以将挡板64耦合到等离子体工艺腔室10和淀积屏蔽14的至少一个上。例如,在挡板64内形成的安装通孔201的数目范围可以从0到100。希望安装通孔201的数目范围可以从5到20;以及优选地,安装通孔201的数目至少为10。
图7表示了根据本发明一个实施例,在如图1描述的一个等离子体工艺系统中制作挡板64的方法。流程框图300开始于制作挡板64(例如,具有参考图2-6描述的平板特性的一个挡板)的310。制作挡板可以包括切削,铸造,抛光,锻打,以及研磨中至少一种。例如上面描述的每一个元件都可以根据机械绘图设置的规格加工,使用传统技术包括磨机,车床等。使用例如磨机或车床加工一个部件的技术对加工领域的技术人员来说是熟知的。挡板可以例如使用铝制作。
在320中,阳极氧化挡板以形成一层表面阳极氧化层。例如,当使用铝制作挡板时,表面阳极氧化层包括氧化铝(Al2O3)。阳极氧化铝部件的方法对表面阳极氧化领域的技术人员来说是熟知的。
在330中,使用标准的切削技术从暴露表面220上去除表面阳极氧化层。在同一个切削步骤中,或者在一个分立的切削步骤中,其他表面(例如上表面的第一配合表面,以及下表面的第二配合表面)也可以切削(例如制作一个平坦或裸露表面,以在切削的表面提供至少一种好的机械或电学接触)。
在340中,保护阻挡层150形成在暴露表面220上。一层保护阻挡层150包括例如氧化钇,可以使用(热)喷涂技术形成,这对陶瓷喷涂领域的技术人员来说是熟知的。在一个可选实施例中,形成保护阻挡层可以进一步包括抛光热喷涂层。例如抛光热喷涂层可以包括对喷涂的表面使用砂纸。
图8表示了根据本发明另一个实施例,在如图1描述的一个等离子体工艺系统中制作挡板的方法。流程框图400开始于制作挡板64(例如,具有参考图2-6描述的平板特性的一个挡板)的410。制作挡板可以包括切削,铸造,抛光,锻打,以及研磨中至少一种。例如上面描述的每一个元件都可以根据机械绘图设置的规格加工,使用传统技术包括磨机,车床等。使用例如磨机或车床加工一个部件的技术对加工领域的技术人员来说是熟知的。挡板可以例如使用铝制作。
在420中,掩蔽暴露表面220以防止在其上形成一层表面阳极氧化层。用于表面掩蔽和去遮蔽的技术对表面涂敷和表面阳极氧化领域的技术人员来说是熟知的。在相同掩蔽步骤中,或者在一个分立的掩蔽步骤中,其他表面(例如上表面的第一配合表面,以及下表面的第二配合表面)也可以被掩蔽(例如维持一个平坦或裸露表面,以在切削的表面提供至少一种好的机械或电学接触)。
在430中,阳极氧化挡板,以在剩下的未遮蔽的表面上形成一层表面阳极氧化层。例如,当使用铝制作挡板时,表面阳极氧化层包括氧化铝(Al2O3)。阳极氧化铝部件的方法对表面阳极氧化领域的技术人员来说是熟知的。
在440中,暴露表面220是去掉掩蔽的,以及将保护阻挡层150形成在暴露表面220上。一层保护阻挡层150包括例如氧化钇,可以使用(热)喷涂技术形成,这对陶瓷喷涂领域的技术人员来说是熟知的。在一个可选实施例中,形成保护阻挡层可以进一步包括抛光热喷涂层。例如抛光热喷涂层可以包括对喷涂的表面使用砂纸。
图9表示了根据本发明另一个实施例,在如图1描述的一个等离子体工艺系统中制作挡板的方法。流程框图500开始于制作挡板64(例如,具有参考图2-6描述的平板特性的一个挡板)的510。制作挡板可以包括切削,铸造,抛光,锻打,以及研磨中至少一种。例如上面描述的每一个元件都可以根据机械绘图设置的规格加工,使用传统技术包括磨机,车床等。使用例如磨机或车床加工一个部件的技术对加工领域的技术人员来说是熟知的。挡板可以例如使用铝制作。
在520中,一层保护阻挡层150形成在挡板64的暴露表面220上。一层保护阻挡层150包括例如氧化钇,可以使用(热)喷涂技术形成,这对陶瓷喷涂领域的技术人员来说是熟知的。在一个可选实施例中,形成保护阻挡层可以进一步包括抛光热喷涂层。例如抛光热喷涂层可以包括对喷涂的表面使用砂纸。
参考图7-9的描述,在暴露表面220上形成一层保护阻挡层150的过程可以使用切削和掩蔽的组合来修改。在这样一个修改的过程中,至少一个暴露表面被掩蔽以阻止在其上形成阳极氧化层,而其它暴露表面被阳极氧化。然后切削未掩蔽的暴露表面,以及掩蔽的暴露表面去掉掩蔽。保护阻挡层150然后可以形成在所有的暴露表面上。如上面所描述的,不是暴露表面的另外表面也可以在该方法中加工(例如,为了比将在阳极氧化层上形成的提供一个更好的机械和电学接触)。
尽管上面仅仅详细地描述了本发明的某些示例实施例,本领域的技术人员将很容易评价,在示例的实施例中许多修改是可能的,而不在材料上背离本发明的新示教和优点。因此,所有这样的修改都包括在本发明的范围内。

Claims (34)

1.一种在等离子体工艺系统中的改进的挡板,包括:
一个曲线环,包括一个上表面,一个下表面,一个耦合到所述上表面和所述下表面的内径向边缘,一个耦合到所述上表面和所述下表面的外径向边缘,以及耦合到所述上表面和所述下表面的至少一个通路,所述至少一个通路被配置为允许气流通过,其中所述上表面包括与外径向边缘接近的第一配合表面,所述下表面包括与所述外径向边缘接近的第二配合表面,所述内径向边缘包括一个内边缘表面,以及所述至少一个通路中的每一个包括一个内部通路表面,其中所述上表面和所述下表面以一个角度倾斜,其中所述曲线环进一步包括耦合到所述挡板的所述上表面和所述下表面且被配置为接受紧固设备以便将所述挡板耦合到所述等离子体工艺系统的多个紧固接受器和多个安装通孔,所述曲线环的所述上表面包括一凹陷,所述凹陷沿径向位于所述多个紧固接受器和所述至少一个通路之间,并且所述凹陷至少沿曲线环的周边的一部分具有部分深度;以及
一层保护阻挡层,耦合到所述挡板的多个暴露表面,其中所述暴露表面包括:除所述第一配合表面外的所述上表面、除所述第二配合表面外的所述下表面、所述内径向边缘的所述内边缘表面、以及每一个所述至少一个通路的所述内通路表面,所述保护阻挡层仅部分地在所述凹陷之内。
2.根据权利要求1的改进挡板,其中至少一个通路包括一个槽位。
3.根据权利要求2的改进挡板,其中所述槽位包括一个入口区和一个出口区,其中所述入口区大于所述出口区。
4.根据权利要求1的改进挡板,其中至少一个通路包括一个管口。
5.根据权利要求1的改进挡板,其中所述多个紧固接受器的每一个包括一个进入腔,一个出通孔,以及一个内接受器表面。
6.根据权利要求1的改进挡板,其中所述挡板包括一种金属。
7.根据权利要求6的改进挡板,其中所述金属包括铝。
8.根据权利要求1的改进挡板,其中所述保护阻挡层包括含有三族元素和稀土元素中的至少一种的化合物。
9.根据权利要求8的改进挡板,其中所述三族元素包括钇,钪,和镧中的至少一种。
10.根据权利要求8的改进挡板,其中所述稀土元素包括铈,镝,和铕中至少一种。
11.根据权利要求1的改进挡板,其中所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和Dy2O3中的至少一种。
12.根据权利要求1的改进挡板,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿至少一个所述暴露表面为常数。
13.根据权利要求1的改进挡板,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿至少一个所述暴露表面是变化的。
14.根据权利要求1的改进挡板,其中所述角度范围为0-90度。
15.根据权利要求14的改进挡板,其中所述角度范围为0-60度。
16.一种在等离子体工艺系统中制造改进的挡板的方法,所述方法包括步骤:
制作所述挡板,所述挡板包括一个曲线环,所述曲线环包括一个上表面,一个下表面,一个内径向边缘,一个外径向边缘,以及耦合到所述上表面和所述下表面的至少一个通路,所述至少一个通路被配置为允许气流通过,其中所述上表面包括与所述外径向边缘接近的第一配合表面,所述下表面包括与所述外径向边缘接近的第二配合表面,所述内径向边缘包括一个内边缘表面,以及所述至少一个通路中的每一个包括一个内部通路表面,其中所述上表面和所述下表面以一个角度倾斜,其中所述曲线环进一步包括耦合到所述挡板的所述上表面和所述下表面且被配置为接受紧固设备以便将所述挡板耦合到所述等离子体工艺系统的多个紧固接受器和多个安装通孔,所述曲线环的所述上表面包括一凹陷,所述凹陷沿径向位于所述多个紧固接受器和所述至少一个通路之间,该凹陷至少沿曲线环的周边的一部分具有部分深度;以及
在暴露表面上形成一层保护阻挡层,所述暴露表面包括:除所述第一配合表面外的所述上表面、除所述第二配合表面外的所述下表面、所述内径向边缘的所述内边缘表面、以及每一个所述至少一个通路的所述内通路表面,所述保护阻挡层仅部分地在所述凹陷之内。
17.根据权利要求16的方法,其中所述方法在制作所述挡板的步骤之后但在在暴露表面上形成一层保护阻挡层之前进一步包括步骤:
阳极氧化所述挡板,以在所述挡板上形成一层表面阳极氧化层;以及
机加工所述挡板上的所述暴露表面,以去除所述表面阳极氧化层。
18.根据权利要求16的方法,其中所述方法在制作所述挡板的步骤之后但在在暴露表面上形成一层保护阻挡层之前进一步包括步骤:
掩蔽所述暴露表面,以防止形成表面阳极氧化层;
阳极氧化所述挡板,以在所述挡板上形成一层表面阳极氧化层;以及
对所述挡板上的暴露表面去掉掩蔽。
19.根据权利要求16的方法,其中所述挡板包括一种金属。
20.根据权利要求19的方法,其中所述金属包括铝。
21.根据权利要求16的方法,其中所述保护阻挡层包括含有三族元素和稀土元素中的至少一种的化合物。
22.根据权利要求21的方法,其中所述三族元素包括钇,钪,和镧中的至少一种。
23.根据权利要求21的方法,其中所述稀土元素包括铈,镝,和铕中的至少一种。
24.根据权利要求16的方法,其中所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和Dy2O3中的至少一种。
25.根据权利要求16的方法,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿至少一个所述暴露表面为常数。
26.根据权利要求16的方法,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿至少一个所述暴露表面是变化的。
27.根据权利要求16的方法,其中所述制作包括切削,铸造,抛光,锻打,以及研磨中的至少一种。
28.根据权利要求16的方法,其中所述形成一层保护阻挡层进一步包括在所述多个暴露表面的至少一个上抛光保护阻挡层。
29.根据权利要求16的方法,其中至少一个通路包括一个槽位。
30.根据权利要求29的方法,其中所述槽位包括一个入口区和一个出口区,其中所述入口区大于所述出口区。
31.根据权利要求16的方法,其中至少一个通路包括一个管口。
32.根据权利要求16的方法,其中所述多个紧固接受器的每一个包括一个进入腔,一个出通孔,以及一个内接受器表面。
33.根据权利要求16的方法,其中所述角度范围为0-90度。
34.根据权利要求33的方法,其中所述角度范围为0-60度。
CN038220792A 2002-09-30 2003-09-29 用于等离子体工艺系统中的改进的挡板的方法和装置 Expired - Lifetime CN1682339B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/259,380 US7166166B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved baffle plate in a plasma processing system
US10/259,380 2002-09-30
PCT/IB2003/005249 WO2004030015A2 (en) 2002-09-30 2003-09-29 Method and apparatus for an improved baffle plate in a plasma processing system

Publications (2)

Publication Number Publication Date
CN1682339A CN1682339A (zh) 2005-10-12
CN1682339B true CN1682339B (zh) 2012-06-06

Family

ID=32029496

Family Applications (1)

Application Number Title Priority Date Filing Date
CN038220792A Expired - Lifetime CN1682339B (zh) 2002-09-30 2003-09-29 用于等离子体工艺系统中的改进的挡板的方法和装置

Country Status (6)

Country Link
US (2) US7166166B2 (zh)
JP (1) JP2006501610A (zh)
KR (1) KR100702296B1 (zh)
CN (1) CN1682339B (zh)
AU (1) AU2003276601A1 (zh)
WO (1) WO2004030015A2 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP4061062B2 (ja) * 2001-12-13 2008-03-12 ローム株式会社 半導体発光素子の製法および酸化炉
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4532479B2 (ja) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
KR100596327B1 (ko) * 2004-09-08 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
JP5324026B2 (ja) * 2006-01-18 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の制御方法
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8208304B2 (en) * 2008-11-16 2012-06-26 Anobit Technologies Ltd. Storage at M bits/cell density in N bits/cell analog memory cell devices, M>N
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5171969B2 (ja) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 基板処理装置
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
CN104715994B (zh) * 2013-12-13 2017-08-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
EP3476423B1 (en) 2017-10-27 2021-01-20 Heraeus Medical Components, LLC Microcatheter and method
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6176969B1 (en) * 1998-04-22 2001-01-23 Samsung Electronics Co., Ltd. Baffle plate of dry etching apparatus for manufacturing semiconductor devices
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
CN1327612A (zh) * 1999-09-23 2001-12-19 兰姆研究公司 具有平铺式瓷衬的半导体加工设备
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) * 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
KR940006221A (ko) 1992-06-05 1994-03-23 제임스 조셉 드롱 집적회로구성 공정처리장치
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) * 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JP3061346B2 (ja) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
WO1995031822A1 (fr) 1994-05-17 1995-11-23 Hitachi, Ltd. Dispositif et procede de traitement au plasma
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW323387B (zh) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP3350433B2 (ja) * 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) * 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6863594B2 (en) * 2000-03-15 2005-03-08 Paul-Eric Preising Method and device for cleaning high-voltage carrying installation component parts
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
WO2002067275A1 (en) 2001-02-07 2002-08-29 Sumitomo Special Metals Co., Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) * 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
DE60228661D1 (de) * 2001-09-21 2008-10-16 Fujifilm Corp Organische elektrolumineszente Vorrichtung
KR100440500B1 (ko) * 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) * 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
WO2007013184A1 (ja) * 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6176969B1 (en) * 1998-04-22 2001-01-23 Samsung Electronics Co., Ltd. Baffle plate of dry etching apparatus for manufacturing semiconductor devices
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
CN1327612A (zh) * 1999-09-23 2001-12-19 兰姆研究公司 具有平铺式瓷衬的半导体加工设备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
US 6335293 B1,全文.

Also Published As

Publication number Publication date
AU2003276601A8 (en) 2004-04-19
US20070107846A1 (en) 2007-05-17
JP2006501610A (ja) 2006-01-12
US20040063333A1 (en) 2004-04-01
KR100702296B1 (ko) 2007-03-30
US8118936B2 (en) 2012-02-21
KR20050054974A (ko) 2005-06-10
CN1682339A (zh) 2005-10-12
US7166166B2 (en) 2007-01-23
WO2004030015A2 (en) 2004-04-08
WO2004030015A3 (en) 2004-06-03
AU2003276601A1 (en) 2004-04-19

Similar Documents

Publication Publication Date Title
CN1682339B (zh) 用于等离子体工艺系统中的改进的挡板的方法和装置
CN100367446C (zh) 用于等离子体工艺系统中的光学窗口淀积屏蔽
CN100380564C (zh) 用于等离子体处理系统中的改进的折流板的方法和设备
CN100555550C (zh) 等离子加工系统中的改进的上电极板的方法和装置
CN100424811C (zh) 等离子加工系统中带有沉积罩的上电极板
CN100466153C (zh) 等离子加工系统中用于改进的沉积罩的方法和设备
CN100495413C (zh) 用于邻接在处理元件上的相邻覆层的方法
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US7291566B2 (en) Barrier layer for a processing element and a method of forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20120606

CX01 Expiry of patent term