CN1688742A - 采用醇形成金属氧化物的系统和方法 - Google Patents

采用醇形成金属氧化物的系统和方法 Download PDF

Info

Publication number
CN1688742A
CN1688742A CNA038245760A CN03824576A CN1688742A CN 1688742 A CN1688742 A CN 1688742A CN A038245760 A CNA038245760 A CN A038245760A CN 03824576 A CN03824576 A CN 03824576A CN 1688742 A CN1688742 A CN 1688742A
Authority
CN
China
Prior art keywords
metal
formula
precursor compound
ground
organic group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038245760A
Other languages
English (en)
Other versions
CN100422383C (zh
Inventor
B·A·瓦尔茨特拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1688742A publication Critical patent/CN1688742A/zh
Application granted granted Critical
Publication of CN100422383C publication Critical patent/CN100422383C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Abstract

本发明涉及一种采用气相淀积工艺和一种或多种醇与一种或多种含金属前体化合物在底材上、特别是在半导体底材或底材组件上形成金属氧化物层的方法及装置。

Description

采用醇形成金属氧化物的系统和方法
                     发明领域
本发明涉及在气相淀积工艺期间,采用一种或多种醇和一种或多种含金属的前体化合物在底材上生成金属氧化物层的方法。所述前体化合物及方法特别适用于在半导体底材或底材组件上生成金属氧化物层。
                     发明背景
近年来微电子元件(如电容器和门电路(gate))的持续微型化已导致传统用于集成电路技术的各种材料正接近其性能极限。底材通常选取硅(即掺杂多晶硅),二氧化硅(SiO2)常用作介电材料与硅一起构成微电子元件。然而,当二氧化硅层满足最新的微型元件的要求其厚度薄至1nm(即仅有4个或5个分子厚)时,由于隧道电流通过其中而使该层不能再作为绝缘体进行有效工作。
因此需要新的高介电常数的材料来扩大元件的性能。这种材料需要表现出高的介电常数、势垒高度足以防止隧道效应、与硅直接接触时稳定以及良好的界面质量和薄膜形态。此外,这种材料必须与门电路材料、电极、半导体加工温度和操作条件相匹配。
最近淀积于半导体晶片上的高质量的金属氧化物薄膜(如ZrO2、HfO2、Al2O3和YSZ)在各种存储元件(例如动态随机存取存储器(DRAM)元件、静态随机存取存储器(SRAM)元件和铁电随机存取存储器(FERAM)元件)中的使用引起了人们的兴趣。这些材料的介电常数高,因此是作为存储器中层厚要求相当薄的SiO2的一个极具吸引力的替代品。在硅的存在下,这些金属氧化物层是热力学稳定的,在加温退火时最大限度地减少硅的氧化,并表现出与金属门电路电极相容。具体而言,由于La2O3、HfO2和ZrO2拥有较高的介电常数和带隙,因此它们也是颇具前景的门电路电介质。
该发现引发人们对生成层、尤其是基于金属氧化物的介电层的各种淀积方法进行研究。这些淀积方法包括气相淀积、金属热氧化和高真空喷镀。包括化学气相淀积(CVD)和原子层淀积(ALD)的各种气相淀积工艺由于其能够出色地控制电介质在底材上的均匀性和厚度而相当引人注目。但气相淀积工艺通常包括反应性金属前体化合物与氧源(如氧或水)之间的共反应,氧源中的任何一种都能导致不需要的二氧化硅界面层的形成。因此人们正在尝试开发无水和无氧的气相淀积工艺。
Ritala等在“Atomic Layer Deposition of Oxide Thin Films withMetal Alkoxides as Oxygen Sources”(采用金属醇盐作为氧源原子层淀积氧化物薄膜),SCIENCE,288:319-321(2000)中描述了一种ALD氧化物薄膜的化学方法。在该方法中,用作金属源和氧源的金属醇盐与另一种金属化合物(如金属氯化物或烷基金属)反应以将金属氧化物淀积于硅上而不出现氧化硅界面层。但该方法也生成了各种不合需要的氯残余物。此外,烷基锆和烷基铪通常是不稳定的,并且无法在市场上购得。同时它们很可能在所得的膜中留下碳。
尽管在半导体介电层中有这些持续的改进,但仍需要一种利用具有足够挥发性的金属前体化合物的气相淀积工艺,采用该气相淀积工艺,这些前体化合物能够在特别是半导体底材上形成薄的、高质量的氧化物层。
                     发明概述
本发明提供了各种在底材上气相淀积金属氧化物层的方法。这些气相淀积工艺包括通过将一种或多种醇与一种或多种金属有机胺前体化合物(如烷基胺或烷基亚胺-烷基胺)和/或金属烷基前体化合物结合来形成所述金属氧化物层。重要的是,本发明的方法不需要使用水或强氧化剂,因而减少了(并且通常避免了)在所需的金属氧化物层与底材之间产生不合需要的界面氧化物层,以及氧化在顶层之下的其他层的问题。通常并优选所述层为介电层。
本发明的方法包括在底材(例如为用于制造半导体结构的半导体底材或底材组件)上形成金属氧化物层。这些方法包括:提供底材(优选为半导体底材或底材组件);提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物;和采用气相淀积工艺使所述前体化合物在所述底材的一个或多个表面上接触,形成金属氧化物层。在式I和II中:M1和M2各自独立为金属(用于此处的金属包括准金属或半金属);R1、R2、R3和R4各自独立为氢或有机基团;w为0-4;z为1-8;q为1-5;和w、z和q取决于所述金属的氧化态。
在本发明的一个优选实施方案中,所提供的一种方法包括:在淀积室内提供底材(优选为半导体底材或底材组件);提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物;气化所述前体化合物形成气化的前体化合物;并将所述气化的前体化合物引向所述底材,在所述底材一个或多个表面上形成金属氧化物介电层。在式I和II中:M1和M2各自独立为金属;R1、R2、R3和R4各自独立为氢或有机基团;w为0-4;z为1-8;q为1-5;和w、z和q取决于所述金属的氧化态。
在本发明的另一个优选实施方案中,提供了一种制造存储器元件结构的方法。所述方法包括:提供在其上具有第一电极的底材;提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物;气化所述前体化合物形成气化的前体化合物;将所述气化的前体化合物引向所述底材,在所述底材的第一电极上形成金属氧化物介电层;和在所述介电层上形成第二电极。在式I和II中:M1和M2各自独立为金属;R1、R2、R3和R4各自独立为氢或有机基团;w为0-4;z为1-8;q为1-5;和w、z和q取决于所述金属的氧化态。
本发明还提供了一种气相淀积装置,所述装置包括:在其中放置了底材的气相淀积室;一个或多个包含一种或多种式R(OH)r的醇(其中R为有机基团,r为1-3)的容器;一个或多个包含一种或多种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)的前体化合物或式II的路易斯碱加合物的容器。在式I和II中:M1和M2各自独立为金属;R1、R2、R3和R4各自独立为氢或有机基团;w为0-4;z为1-8;q为1-5;和w、z和q取决于所述金属的氧化态。
本发明的方法可利用化学气相淀积(CVD)工艺(可以是脉冲式)或原子层淀积(ALD)工艺(一种包括多个淀积周期,通常在各周期之间进行清除的自限性气相淀积工艺)。优选本发明的方法使用ALD。对于某些ALD工艺,可在每一个淀积周期期间交替地将所述前体化合物引入至淀积室内。
本文中所用的“半导体底材”或“底材组件”指的是半导体底材,例如基础半导体层,或在其上形成了一个或多个层、结构或区域的半导体底材。基础半导体层通常是晶片上最低的硅材料层,或淀积于另一种材料上的硅层,如蓝宝石上的硅。当提及底材组件时,可预先使用各种工艺步骤来生成或介定各种区域、连接、各种结构或构造以及开孔,例如电容器板或电容器隔板。
本文中所用的“层”指的是可采用气相淀积工艺由本发明的前体化合物在底材上形成的任何金属氧化物层。术语“层”包括各种半导体工业特定的层,如“阻挡层”、“介电层”和“导电层”(术语“层”与半导体工业中常用的术语“膜”同义)。术语“层”的意义还包括在半导体技术以外的技术中所见到的各种层,如玻璃上的涂层。
本文中所用的“前体化合物”指在气相淀积工艺中能够单独或与其他前体化合物一起在底材上形成金属氧化物层的醇或含金属化合物。
本文中所用的“淀积工艺”和“气相淀积工艺”指的是一种在底材(如掺杂多晶硅晶片)的一个或多个表面上由气化的前体化合物形成金属氧化物层的工艺。具体而言,将一种或多种金属前体(即含金属前体)化合物气化并引向放置在淀积室内的预热底材(如半导体底材或底材组件)的一个或多个表面。这些前体化合物在底材的表面上(例如通过反应或分解)形成了不挥发的、薄的、均匀的金属氧化物层。就本发明而言,术语“气相淀积工艺”包括化学气相淀积工艺(包括脉冲式化学气相淀积工艺)和原子层淀积工艺两种。
本文中所用的“化学气相淀积”(CVD)指的是一种在淀积室内由气化的金属前体化合物(和所用的任何任选的反应气体)淀积于底材上形成所需层,而无须分离各反应组分的气相淀积工艺。与涉及基本上同时使用前体化合物和任何反应气体的“简单”CVD工艺相反,“脉冲式”CVD交替地将这些物质脉冲输送至淀积室内,但不似原子层淀积或ALD那样(以下将更详细讨论)通常要求严格避免前体与反应气流之间的混合。
本文中所用的“原子层淀积”(ALD)指的是一种在淀积室进行多个连续的淀积周期的气相淀积工艺。通常在每个周期期间金属前体被化学吸附至底材表面上;清除过量的前体;引入后续的前体和/或反应气体以便与化学吸附层进行反应;除去过量的反应气体(如果使用的话)和各种副产物。与所述一个周期的化学气相淀积(CVD)工艺相比,持续时间更长的多周期ALD工艺通过自限制层的形成并通过分离各种反应组分从而最大限度地减少有害的气相反应,使得对层厚的控制得以改进。当采用前体化合物、反应气体和清除(即惰性载体)气体进行交替的脉冲时,本文中所用的术语“原子层淀积”包括相关的术语原子层取向生长(ALE)、分子束取向生长(MBE)、气源MBE、有机金属MBE和化学束取向生长。
本文中所用的“化学吸附”指的是气化的反应性前体化合物在底材的表面上的化学吸附。由于较强的结合力(特征为吸附能高,例如大于30kcal/mol)使被吸附的物质不可逆地结合到底材的表面上,其强度可与普通化学键相媲美。被化学吸附的物质通常在底材的表面上形成了单分子层(参见“The Condensed Chemical Dictionary”,第10版,G.G.Hawley修订,Van Nostrand Reinhold Co.,New York出版,225(1981))。ALD技术的原理基于通过化学吸附形成反应性前体分子的饱和单分子层。在ALD中,将一种或多种适当的前体化合物或反应气体交替地引入(例如脉冲输送)至淀积室并化学吸附到底材的表面上。通常通过惰性载气吹扫将每次按顺序引入的反应性化合物(如一种或多种前体化合物和一种或多种反应气体)分开。每次前体化合物的共反应为之前淀积的层增加一层新的原子层以形成累积的固体层。重复所述周期(通常为数百次)以逐渐形成所需的层厚。应理解的是,ALD可以交替采用一种前体化合物(被化学吸附)和一种反应气体(与被化学吸附的物质反应)。
                       附图简述
图1-3为电容器结构的示意图。
图4为适用于本发明方法中的气相淀积涂覆系统的透视图。
              本发明优选实施方案的详述
本发明提供了使用一种或多种式R(OH)r(其中r为1-3,优选为1)的醇和一种或多种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物在底材(优选为半导体底材或底材组件)上形成金属氧化物层的方法。在式I和II中:M1和M2各自独立为任何金属(主族、过渡金属、镧系元素);R1、R2和R3各自独立为氢或有机基团;w为0-4(优选为0-2);z为1-8(优选为2-6);q为1-5(优选为2-3);和w、z和q取决于所述金属的氧化态。
所述金属氧化物层可包括一种或多种不同的金属,通常为式MnOm(式III),其中M可为上述定义的M1和M2中的一种或多种(即所述氧化物可为单一的金属氧化物,也可为混合的金属氧化物)。任选所述金属氧化物层为混合的金属氧化物(即包括两种或多种金属)。更优选所述金属氧化物层仅包括一种金属。
所述金属氧化物层(特别是如果为介电层时尤其如此)优选包括ZrO2、HfO2、Ta2O3、Al2O3、TiO2和镧系元素氧化物中的一种或多种。一种特别优选的金属氧化物层包括优选为锐钛矿相的TiO2
如果所述金属氧化物层包括两种或多种不同的金属,则金属氧化物层可以是合金、固溶体或纳米层压材料的形式。优选这些材料具有介电性质。
在其上形成金属氧化物层的底材优选为半导体底材或底材组件。任何适宜的半导体材料均可考虑,例如电导掺杂多晶硅(本发明简称为“硅”)。底材组件也可含有包括以下物质的层:铂、铱、铑、钌、氧化钌、一氮化钛、一氮化钽、钽-硅-氮化物、二氧化硅、铝、砷化镓、玻璃等,以及用于半导体结构(例如动态随机存取存储器(DRAM)元件和静态随机存取存储器(SRAM)元件)中的其他现有或正在开发中的各种材料。
半导体底材或底材组件以外的各种底材可用于本发明的方法中。这些底材包括例如纤维、导线等。如果所述底材为半导体底材或底材组件,则所述层可直接在底材的最低半导体表面上形成,或者可在例如带花纹晶片(patterned wafer)中的各种层(即表面)上形成。
本文所述的前体化合物可包括种类繁多的金属。本文中所用的“金属”包括元素周期表的所有金属(包括主族金属、过渡金属元素、镧系元素、锕系元素)以及准金属或半金属。对于本发明的某些方法,优选每种金属M选自以下的金属:IIIB族(Sc、Y)、IVB族(Ti、Zr、Hf)、VB族(V、Nb、Ta)、VIB族(Cr、Mo、W)、VIIB族(Mn、Tc、Re)、IIIA族(Al、Ga、In、Tl)、IVA族(Si、Ge、Sn、Pb)以及镧系元素(La、Ce、Pr等)(也称为元素周期表的3-7、13、14族和镧系元素)。更优选每一种金属M选自以下的金属:IIIB族(Sc、Y)、IVB族(Ti、Zr、Hf)、VB族(V、Nb、Ta)、VIB族(Cr、Mo、W)、VIIB族(Mn、Tc、Re)、IVA族(Si、Ge、Sn、Pb)以及镧系元素(La、Ce、Pr等)(也称为元素周期表的3-7、14族和镧系元素)。还更优选每一种金属M选自以下的金属:IIIB族(Sc、Y)、IVB族(Ti、Zr、Hf)、VB族(V、Nb、Ta)、VIB族(Cr、Mo、W)、VIIB族(Mn、Tc、Re)、IIIA族(Al、Ga、In、Tl)、IVA族(Si、Ge、Sn、Sb)以及镧系元素(La、Ce、Pr等)(也称为元素周期表的3-7族和镧系元素)。
对一些实施方案,优选金属M1和M2选自Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta、Si和Al。对一些其他的实施方案,优选金属M2为Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta和Si,更优选金属M2为Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb和Ta。
所述前体化合物(即所述醇和式M1(NR1)w(NR2R3)z(式I)和M2R4 q(式II))中的R各自独立为氢或有机基团,优选为有机基团。本文中所用的术语“有机基团”就本发明而言是烃基,如脂族基团、环状基团或脂族基团与环状基团的组合(如烷芳基和芳烷基)。在本发明的上下文中,适用于本发明前体化合物的有机基团是那些不影响采用气相淀积技术形成金属氧化物层的基团。在本发明的上下文中,术语“脂族基团”指的是饱和或不饱和的直链或支链烃基。使用该术语来包含例如烷基、链烯基和炔基。术语“烷基”指的是饱和的直链或支链的一价烃基,包括例如甲基、乙基、正丙基、异丙基、叔丁基、戊基、庚基等。术语“链烯基”指的是不饱和的直链或支链的、具有一个或多个烯属不饱和基团(如碳-碳双键)的一价烃基,例如乙烯基。术语“炔基”指的是不饱和的直链或支链的、具有一个或多个碳-碳三键的一价烃基。术语“环状基团”指的是闭环烃基,如脂族环状基团、芳族基团或杂环基团的。术语“脂族环状基团”指具有类似脂族基团性质的环状烃基。术语“芳族基团”指的是单核或多核的芳族烃基。术语“杂环基团”指的是闭环烃基,其环中的一个或多个原子为非碳元素(如氮原子、氧原子、硫原子等)。
作为一种简化整篇本申请书所用的某些专用名词的描述和陈述方式,术语“基团”和“部分”用来区分允许取代或可被取代的化学种类与不允许取代或不能被取代的化学种类。因此,当术语“基团”用于描述化学取代基时,所述的化学物质包括未取代的基团和在链中具有非过氧化的O、N、Si、F或S原子的基团,以及羰基或其他常见的取代基。当术语“部分”用于描述化学化合物或取代基时,只包括未取代的化学物质。例如,短语“烷基基团”不仅包括纯开链饱和烃的烷基取代基(如甲基、乙基、丙基、叔丁基等),而且还包括带有本领域中所知的其他取代基(如羟基、烷氧基、烷基磺酰基、卤素原子、氰基、硝基、氨基、羧基等)的烷基取代基。因此,“烷基基团”包括醚基、卤代烷基、硝基烷基、羧基烷基、羟基烷基、磺基烷基等。另一方面,短语“烷基部分”仅限于包括纯开链饱和烃的烷基取代基,如甲基、乙基、丙基、叔丁基等。
对本发明所有前体化合物(包括含金属化合物和醇)而言,R各自独立并优选为氢或有机基团,更优选为(C1-C10)有机基团,还更优选为(C1-C8)有机基团,还更优选为(C1-C6)有机基团,和还更优选为“低级的”(即C1-C4)的有机基团。还更优选这些有机基团的每一个均为烷基基团。最优选每一个有机基团为有机部分,并优选为烷基部分。
在一些实施方案中,所述醇前体化合物的R基团中的碳原子可被氟原子取代。优选的醇包括乙醇、异丙醇、正丙醇、正丁醇和乙二醇一甲醚。
在一些实施方案中,所述含金属前体化合物的R基团的碳原子任选被硅原子、氟原子、氧原子和/或氮原子或含有这些原子的基团置换或取代。因此,甲硅烷基化的胺和甲硅烷基化的亚胺-胺在式I的范畴内。对式I:M1(NR1)w(NR2R3)z的化合物而言,R1、R2和R3各自优选为(C1-C6)有机基团。适宜的前体化合物的例子包括四(二甲基氨基)钛、四(二甲基氨基)铪、四(乙基甲基氨基)铪和Al(NMe2)2(N(Me)CH2CH2NMe2)。这些化合物可以购自如StremChemical Co.,也可以采用标准技术(如通过使金属氯化物与对应的二烷基氨基锂进行反应)进行制备。
对式II:M2R4 q的化合物及其路易斯碱加合物而言,每一个R4优选为氢或(C1-C4)有机基团。优选式II的化合物不包括其中所有的R4基团均为甲基(特别是当M2为铝时)的化合物。适宜的前体化合物的例子包括AlH3、AlMe3、AlHMe2、ZnEt2和AlH3NMe3。这些化合物可以购自如Sigma Aldrich,也可以采用标准技术(如通过格氏试剂与金属卤化物反应)制备。
各种前体化合物可以各种组合形式使用,任选与一种或多种有机溶剂一起(特别是采用CVD工艺时)用于形成前体组合物。室温下所述前体化合物可为液体或固体(优选在气化温度下为液体)。通常所述前体化合物为挥发性足以应用于已知的气相淀积技术的液体。然而,作为固体,它们的挥发性也应足以采用已知的气相淀积技术使其从固态气化或升华。如果它们是挥发性不足的固体,则优选其在有机溶剂中具有足够的溶解度或其熔点低于其分解温度,使得其可用于闪蒸、鼓泡、微滴形成技术等。此处,可单独使用气化的前体化合物,也可任选与其他前体化合物的气化分子或任选与气化的溶剂分子(如果使用的话)一起使用。本文中所用的“液体”指的是溶液或纯液体(室温下为液体或室温下为固体但在高温下熔化)。本文中所用的“溶液”并不要求固体完全溶解,但可允许一些固体不溶解,只要有足够量的固体通过有机溶剂传递至气相用于化学气相淀积工艺即可。如果在淀积中使用溶剂稀释,则所产生的溶剂蒸气的总摩尔浓度也可视为惰性载气。
对含金属的前体而言,如需要可使用各种溶剂。适用于这种应用(尤其是CVD工艺)的溶剂可以是一种或多种以下溶剂:脂族烃或不饱和烃(环状、支链或直链C3-C20,优选C5-C10);芳族烃(C5-C20,优选C5-C10);卤代烃;甲硅烷基化烃(如烷基硅烷、硅酸烷基酯);醚;聚醚;硫醚;酯;内酯;氨;酰胺;胺(脂族或芳族的伯胺、仲胺或叔胺);多元胺;腈;氰酸酯;异氰酸酯;硫氰酸酯;硅油;醇或含有上述任何物质组合的化合物或上述一种或多种物质的混合物。所述化合物还通常彼此相容,以便各种前体化合物的可改变量的混合物不会互相影响,从而明显改变其物理特性。
就本发明而言,优选不使用反应气体以便最大限度减少底材(通常为硅)氧化为其氧化物(通常为二氧化硅)。这种氧化过程还可导致其他底材(例如金属电极或氮化物阻挡层)发生有害的氧化反应。同时,如本领域所知,一些层可被氧化气体渗透,并导致位于底材顶层之下的层发生有害的氧化反应。
如果需要,可在惰性载气的存在下,将所述前体化合物气化。此外,惰性载气可用于ALD工艺的清除步骤中。所述惰性载气通常选自氮气、氦气、氩气及其组合。在本发明的上下文中,惰性载气为不影响金属氧化物层的形成的气体。不管惰性载气存在与否,优选在没有氧的存在下进行气化以避免对所述层造成氧污染(例如使硅氧化为二氧化硅)。
用于本发明的淀积工艺是一种气相淀积工艺。由于气相淀积工艺即使在深的连接面和其他开孔内也能快速提供高度保形的层,因此人们在半导体工业中通常倾向于使用该工艺。化学气相淀积(CVD)和原子层淀积(ALD)是两种常用来在半导体底材上形成薄的、连续均匀的金属氧化物(优选为电介质)层的气相淀积工艺。无论采用哪一种气相淀积工艺,一种或多种前体化合物都通常在淀积室内被气化,并任选与一种或多种反应气体结合,以在底材上形成金属氧化物层。对本领域技术人员而言显而易见的是,通过采用各种相关技术,例如等离子辅助、光辅助、激光辅助以及其他技术可增强所述气相淀积工艺。
优选最后形成的层(优选为介电层)的厚度范围为约10至约500。更优选所述金属氧化物层的厚度范围为约30至约80。
在大多数气相淀积工艺中,前体化合物通常与氧化或还原反应气体在高温下反应形成金属氧化物层。然而,在实施本发明中,由于所述醇为所形成的薄膜提供氧,因此不需要这种反应气体。但如果需要,则可使用例如O2、O3、H2O、H2O2和N2O等氧化气体。
在半导体加工中,由于化学气相淀积(CVD)能够以较快的加工时间提供高度保形和高品质的介电层,因此该工艺已广泛用于金属氧化物层(如介电层)的制备。将所需的前体化合物气化,然后导入至含有预热底材、任选的反应气体和/或惰性载气的淀积室内。在典型的CVD工艺中,使气化的前体与反应气体在所述底材表面处接触,形成层(如介电层)。连续进行单个淀积周期直至获得所需的层厚。
典型的CVD工艺通常在与加工室(其中放置淀积表面或晶片)分开的气化室中使用前体化合物。例如,通常将液态前体化合物放置于扩散器内并加热至其气化温度,然后由经过扩散器或流经液态前体化合物的惰性载气将已气化的液态前体化合物输送。然后所述蒸气经气体管线流至淀积室,在置于其中的底材表面上淀积成层。人们已开发许多技术以精确控制该过程。例如,通过包含前体化合物的储存器的温度及经储存器扩散或流经其中的惰性载气的流量可精确控制转移至淀积室的前体物质的量。
本文所述的前体化合物的优选实施方案特别适用于化学气相淀积(CVD)。在底材表面的淀积温度优选保持在约100℃至约600℃、更优选在约200℃至约500℃的温度范围内。淀积室的压力优选保持在约0.1托至约10托的淀积压力范围内。惰性载气中前体化合物的分压优选为约0.001托至约10托。
可对CVD工艺和淀积室进行一些修改,例如采用常压化学气相淀积技术、低压化学气相淀积(LPCVD)技术、等离子增强化学气相淀积(PECVD)技术、热壁或冷壁反应器,或任何其他的化学气相淀积技术。此外还可采用与ALD(见下文的详细描述)相类似的脉冲式CVD,但强度不要太激烈以免前体与反应气体流互相混合。另外,对脉冲式CVD而言,与自限性的ALD(见下文的详细描述)不同,其淀积厚度取决于接触时间。
典型的CVD工艺可在化学气相淀积反应器内进行,这些反应器为例如可购自Genus,Inc.(Sunnyvale,CA)的商业名称为7000的淀积室、可购自Applied Materials,Inc.(Santa Clara,CA)的商业名称为5000的淀积室或可购自Novelus,Inc.(San Jose,CA)的商业名称为Prism的淀积室。然而,任何适用于进行CVD的淀积室均可使用。
或者并优选用于本发明方法中的气相淀积工艺为多周期ALD工艺。这种工艺的优点(特别是相对于CVD工艺而言)在于可为淀积层(如介电层)的原子层厚度和均匀性及对金属前体化合物的接触提供最佳控制以降低气化和反应温度,从而最大限度地减少降解的发生。在ALD工艺中,通常在约25℃至约400℃(优选为约150℃至约300℃)的淀积温度下将每一种反应剂按顺序脉冲至适宜的底材上,该淀积温度比目前用于CVD工艺的温度要低。在这种条件下,薄膜的生长通常是自限性的(即在ALD工艺中,当位于表面的反应性中心用完时,一般将停止淀积),不但保证了优异的一致性,而且还保证了大面积良好的均匀性,以及对厚度实现简单而精密的控制。由于前体化合物和/或反应气体实行交替定量给料,与通过前体和/或反应气体的连续共反应进行的CVD工艺相比,ALD工艺从根本上消除了有害的气相反应。(参见Vehkamki等,“Growth of SrTiO3 and BaTiO3 ThinFilms by Atomic Layer Deposition”,Electrochemical and Solid-StateLetters,2(10):504-506(1999))。
典型的ALD工艺包括将初始的底材暴露于第一种化学物质(如式I的前体化合物)中以完成所述物质化学吸附于底材上。理论上所述化学吸附在整个暴露的初始底材上形成了一个原子或分子厚度均匀的单层。换言之,它是一个饱和的单层。实践上,化学吸附不可能发生于底材的所有部分。尽管如此,这种不完整的单层在本发明的上下文中仍为一个单层。在许多应用中,只要基本上饱和的单层就是适宜的。基本上饱和的单层是一种已经能够使沉积层展现出所需的品质和/或性能的层。
将第一种物质从底材上清除,并提供第二种化学物质(如不同的式I前体化合物或式II的前体化合物)以便与第一种物质的第一单层进行反应。然后清除第二种物质,使第二种物质单层暴露于第一种物质中重复各步骤。在一些情况下,所述两个单层可能是相同的物质。任选第二种物质可与第一种物质反应,但不再化学吸附其他的物质。也就是说第二种物质可以粘合一部分被化学吸附的第一种物质,改变这种单层而不在其上形成另一个单层。同样,第三种或更多种物质可以如同第一种和第二种物质所述的那样依次进行化学吸附(或反应)和清除。如果需要,任选第二种物质(或第三种或更多种)可以包括至少一种反应气体。
清除涉及各种技术,包括但不限于使底材和/或单层与载气接触和/或将压力降低至淀积压力以下以减少与底材和/或被化学吸附物质接触的物质浓度。载气的例子包括N2、Ar、He等。清除还可包括在引入另一种物质之前,使底材和/或单层与任何允许化学吸附的副产物解吸和降低接触物质的浓度的物质接触。根据具体淀积工艺产物的技术规格,可将所述接触物质降低至本领域技术人员所公知的适宜浓度或分压。
ALD通常被描述成一种自限性工艺,其中有限数量的部位存在于底材上,第一种物质可与之形成化学键。第二种物质可能仅键合于第一种物质上,因此也是自限性的。一旦底材上有限数量的所有部位都与第一种物质键合,则第一种物质通常不和已与底材键合的第一种物质键合。但在ALD中可以改变各种工艺操作条件以促进这种键合并使ALD呈现非自限性。因此,通过物质堆积形成一个原子或分子以上厚度的层,ALD还可包含每次形成不同于单层的物质。
所述方法指出在第一种前体的化学吸附期间“基本上不存在”第二种前体(即第二种物质)是由于可能存在微量的第二种前体。根据本领域技术人员的专业知识和喜好,可以确定所选择的第二种前体的允许量及工艺操作条件以实现基本上不存在第二种前体。
由此,在ALD工艺期间,在淀积室中进行多次连续的淀积周期,每一个周期淀积极薄的金属氧化物层(通常小于一个单层,因此每个周期的平均增长速率为约0.2至约3.0埃),直至在相关的底材上形成所需厚度的层为止。层的淀积通过以下步骤完成:交替地将前体化合物导入(即通过脉冲)到包含半导体底材的淀积室内,将前体化合物作为单层化学吸附至底材表面上,然后使被化学吸附的前体化合物与其他共反应的前体化合物进行反应。前体化合物及惰性载气的脉冲持续时间足以使底材表面饱和。脉冲持续时间通常为约0.1秒至约5秒,优选为约0.2秒至约1秒。
与主要由热驱动的CVD相比,ALD主要由化学驱动。因此,ALD通常在远比CVD低的温度下进行。在ALD工艺期间,将底材的温度保持在足够低的温度下,以便使被吸附的前体化合物与下面底材表面之间保持完整的键合并防止前体化合物发生分解。同时温度应足够高以避免前体化合物发生冷凝。通常将底材的温度保持在约25℃至约400℃(优选为约150℃至约300℃)的范围内,这通常低于目前用于CVD工艺的温度。由此,第一种物质或前体化合物在该温度下被化学吸附。第二种物质或前体化合物的表面反应可在与第一种前体的化学吸附基本相同的温度下进行,或者在基本上不同的温度下进行(不太优选)。显然,温度可发生一些小的变化,但由于统计学上的反应速率与在第一种前体发生化学吸附的温度下的反应速率相同,本领域的技术人员判断温度仍基本相同。化学吸附与随后的反应可在完全相同的温度下进行。
对典型的ALD工艺而言,淀积室内的压力保持在约10-4托至约1托,优选为约10-4托至约0.1托。通常每个周期在气化的前体化合物导入至淀积室内和/或反应后用惰性载气吹扫淀积室。每个周期期间惰性载气也可以与气化的前体化合物一起导入。
前体化合物的反应性可以显著影响ALD中的各工艺参数。在典型的CVD工艺条件下,高度反应性的化合物可在气相中反应产生微粒,过早地淀积于不合要求的表面上,得到质量差的薄膜,和/或获得差的逐步覆盖或获得不均匀的淀积物。至少由于这种原因,认为高度反应性的化合物不适合于CVD。但不适合于CVD的某些化合物却是优良的ALD前体。例如,如果第一种前体与第二种前体是气相反应性的话,则虽然这种化合物的组合可用于ALD,但它们却不适合于CVD。在CVD中,当采用高度气相反应性的前体时,如本领域技术人员所公知的那样,还与粘附系数和表面迁移率有关联,但在ALD中则很少或者没有这种关联。
在底材上形成层后,可任选在氮气气氛或氧化气氛的淀积室中原位进行退火加工。优选退火温度范围为约400℃至约1000℃。特别是在ALD之后,退火温度更优选为约400℃至约750℃,最优选为约600℃至约700℃。退火操作优选进行约0.5分钟至约60分钟,更优选为约1分钟至约10分钟。本领域的技术人员将会认识到这些温度及时间均是可以改变的。例如可以使用炉内退火和快速加温退火,此外,这种退火可在一个或多个退火步骤中进行。
如上所述,使用络合物和本发明的成膜方法有利于各种半导体结构中的薄膜应用,尤其是那些使用高介电材料的半导体结构应用。例如,这些应用包括各种电容器,如平面型单元、沟槽式单元(如双侧壁沟槽式电容器)、堆积式单元(如冠状(crow)V-单元、三角单元、多指状或圆柱形容器(container)堆积式电容器)以及场效应晶体管装置。
根据本发明形成的介电层的一个具体例子为电容器构件。示例性的电容器构件参见图1-3。参见图1,半导体晶片片段10包括按本发明方法形成的电容器构件25。晶片片段10包括具有在其中形成的导电扩散面14的底材12。底材12可包括例如单晶硅。在底材12上有通常为硼磷硅酸盐(borophosphosilicate)玻璃(BPSG)的绝缘层16,其中有提供给扩散面14的接触开口18。导电材料20填充接触开口18,如图所示材料20和氧化物层18已被平面化。材料20可以是任何适宜的导电材料,例如钨或导电性掺杂多晶硅。电容器构件25有顶层16及填料20,电容器构件通过填料20与节点14电连接。
电容器构件25包括已提供并绘制在节点20之上的第一个电容器电极26。材料的实例包括导电性掺杂多晶硅、Pt、Ir、Rh、Ru、RuO2、IrO2、RhO2。在第一个电容器电极26上有电容器介电层28。本发明的材料可用于形成电容器介电层28。优选如果第一个电容器电极26包括多晶硅,则在淀积介电材料之前通过进行原位HF浸渍清洗多晶硅的表面。采用256Mb集成的层28的厚度例如为100埃。
在介电层28上有扩散阻挡层30。扩散阻挡层30包括各种导电材料,如TiN、TaN、金属硅化物或金属硅化物-氮化物,可例如采用本领域技术人员众所周知的条件通过CVD来提供。在扩散阻挡层30形成后,在扩散阻挡层30上形成第二个电容器电极32以完成电容器25的结构。第二个电容器电极32可包括类似于上述第一个电容器电极26的构件,因此可包括如导电性掺杂多晶硅。扩散阻挡层30优选防止各种组分(如氧)从介电材料28扩散至电极32内。例如如果氧扩散进含硅的电极32内,则可形成不合需要的显著降低电容器25电容的SiO2。扩散阻挡层30还可防止硅从金属电极32扩散至介电层28。
图2示意电容器构件的一个备选实施方案。相应的部位使用与图1相似的编号,通过后缀“a”表示不同。晶片片段10a包括与图2构件25不同的电容器构件25a,不同之处在于其阻挡层30a位于第一电极26和介电层28之间而不是位于介电层28和第二个电容器电极32之间。阻挡层30a可包括与上述图1相同的各种构件。
图3示意电容器构件的另一个备选实施方案。相应的部位使用与图1相似的编号,通过后缀“b”或不同的编号表示不同。晶片片段10b包括分别具有第一个实施方案所述的第一个和第二个电容器板26及32的电容器构件25b。但晶片片段10b与图2的晶片片段10的不同之处在于除了阻挡层30外,晶片片段10b还包括第二阻挡层40。阻挡层40位于第一个电容器电极26和介电层28之间,而阻挡层30则位于第二个电容器电极32与介电层28之间。通过与上述图1用于形成阻挡层30的相同方法可形成阻挡层40。
在图1-3的实施方案中,阻挡层是作为与电容器电极分离的性质截然不同的层进行表述的。然而,应理解的是所述阻挡层可以包括各种导电性材料,因此在这些实施方案中可以理解为包括至少一部分电容器电极。在具体的实施方案中,整体电容器电极可包括各种导电性阻挡层材料。
图4表示可用于进行本发明气相淀积工艺(化学气相淀积或原子层淀积)的系统。该系统包括封闭的气相淀积室110,其中可采用涡轮泵112和前级泵114产生真空。将一个或多个底材116(如半导体底材或底材组件)放置于淀积室110内。为底材116设置恒定的标称温度,该温度可随所用工艺的不同而异。底材116可通过例如将底材116置于电阻加热器118上进行加热。也可以采用加热底材的其他已知方法。
在该工艺中,前体化合物160(如耐火金属前体化合物和醚)储存在容器162内。将前体化合物气化并分别沿管线164和166采用例如惰性载气168进料至淀积室110中。根据需要可沿管线172提供反应气体170。同时根据需要可沿管线176提供通常与所述惰性载气168相同的吹扫气体174。如图所示,按需要开启和关闭一系列阀门180-185。
提供以下的实施例以便进一步说明各种具体及优选的实施方案和技术。但应理解的是,在本发明范畴内可进行许多变化和改进,因此本发明的范畴并非受限于这些实施例。除非另有说明,否则实施例中所示的所有百分数均为重量百分数。
                      实施例
            实施例1.脉冲式化学气相淀积TiO2
安装如图4所示配置的淀积室,其气动阀由电脑控制以便按序脉动开启各阀门。两个储存器与包含Ti(NMe2)4(Strem Chemical,Newburyport,MA)和异丙醇(General Chemical,Parsippany,NJ)的淀积室连接。底材为具有掺杂多晶硅作为顶层的硅晶片,并保持在220℃以进行淀积。
每个周期包括5秒的Ti(NMe2)4脉冲和5秒的异丙醇脉冲,每个周期间间隔5秒的氩气吹扫和5秒的动态真空下降压。导入没有氦载气的前体,仅使用一台设定为50sccm的质流控制器控制异丙醇储存器的下游流量。400个周期后得到1750厚的TiO2薄膜。根据X射线光电子光谱法(XPS)的分析结果,所述薄膜仅含有钛和氧,没有可检测到的氮或碳。薄膜的X射线衍射分析结果表明,淀积后已形成了锐钛矿晶相。
               实施例2.原子层淀积HfO2
安装如图4所示配置的淀积室,其气动阀由电脑控制以便按序脉动开启各阀门。两个储存器与包含Hf(NMe2)4(Strem Chemical,Newburyport,MA)和异丙醇(General Chemical,Parsippany,NJ)的淀积室连接。将Hf(NMe2)4前体加热至40℃,而将异丙醇保持在室温下。底材为具有掺杂多晶硅作为顶层的硅晶片,并保持在215℃以进行淀积。
每个周期包括2秒的Hf(NMe2)4脉冲和1秒的异丙醇脉冲,每个周期间间隔5秒的氩气吹扫和5秒的动态真空下降压。导入没有氦载气的前体,仅使用一台设定为25sccm的质流控制器控制异丙醇储存器的下游流量。400个周期后得到250厚的HfO2薄膜。根据X射线光电子光谱法(XPS)的分析结果,所述薄膜仅含有铪和氧,并且在HfO2层内没有可检测到的氮或碳。X射线衍射分析结果表明,淀积后已形成了非晶态薄膜,但在氮气气氛、600℃下进行1分钟快速热处理后,所述薄膜为晶态HfO2
此处所引述的完整的各专利公开、专利文件和刊物通过全部引用并入本文,如同每一篇单独引用那样。在不偏离本发明范畴和精神实质的前提下,其各种改进及变更对本领域的技术人员而言都将变得显而易见。应理解本发明并非想过度受限于此处所提及的示例性实施方案和实施例,因这种实施例和实施方案仅作为例子出现,本发明的范畴只受限于以下提出的权利要求。

Claims (49)

1.一种制造半导体结构的方法,所述方法包括:
提供半导体底材或底材组件;
提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;
提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物,其中:
M1和M2各自独立为金属;
R1、R2、R3和R4各自独立为氢或有机基团;
w为0-4;
z为1-8;
q为1-5;和
w、z和q取决于所述金属的氧化态;和
采用气相淀积工艺使所述前体化合物在所述半导体底材或底材组件的一个或多个表面上接触,形成金属氧化物层。
2.权利要求1的方法,其中所述半导体底材或底材组件为硅晶片。
3.权利要求1的方法,其中所述金属氧化物层为介电层。
4.权利要求3的方法,其中所述金属氧化物介电层包含两种或多种不同的金属。
5.权利要求4的方法,其中所述两种或多种不同的金属为合金、固溶体或纳米层压材料的形式。
6.权利要求1的方法,其中M1和M2各自独立选自3、4、5、6、7、13、14族和镧系的金属。
7.权利要求6的方法,其中M1和M2各自独立选自Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta、Al和Si的金属。
8.权利要求1的方法,其中所述金属氧化物层的厚度为约30至约80。
9.权利要求1的方法,其中R各自独立为(C1-C10)有机基团。
10.权利要求1的方法,其中R1、R2、R3和R4各自独立为氢或(C1-C6)有机基团。
11.权利要求1的方法,其中w为0-2和z为2-6。
12.权利要求1的方法,其中q为2-3。
13.权利要求1的方法,其中所述金属氧化物层包含一种金属。
14.权利要求1的方法,其中所述金属氧化物层包含锐钛矿TiO2
15.一种制造半导体结构的方法,所述方法包括:
在淀积室内提供半导体底材或底材组件;
提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;
提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物,其中:
M1和M2各自独立为金属;
R1、R2、R3和R4各自独立为氢或有机基团;
w为0-4;
z为1-8;
q为1-5;和
w、z和q取决于所述金属的氧化态;
气化所述前体化合物形成气化的前体化合物;和
将所述气化的前体化合物引向所述半导体底材或底材组件,在所述半导体底材或底材组件的一个或多个表面上形成金属氧化物介电层。
16.权利要求15的方法,其中所述前体化合物在惰性载气的存在下气化。
17.权利要求15的方法,其中M1和M2各自独立选自3、4、5、6、7、13、14族和镧系的金属。
18.权利要求15的方法,其中采用化学气相淀积工艺完成所述前体化合物的气化与引导。
19.权利要求18的方法,其中所述半导体底材或底材组件的温度为约100℃至约600℃。
20.权利要求18的方法,其中所述半导体底材或底材组件置于压力为约0.1托至约10托的淀积室内。
21.权利要求18的方法,其中采用包括多个淀积周期的原子层淀积工艺完成所述前体化合物的气化与引导。
22.权利要求21的方法,其中在原子层淀积工艺期间,通过在每个淀积周期期间交替导入所述前体化合物来形成所述含金属的层。
23.权利要求21的方法,其中所述半导体底材或底材组件的温度为约25℃至约400℃。
24.权利要求21的方法,其中所述半导体底材或底材组件置于压力为约10-4托至约1托的淀积室内。
25.权利要求15的方法,其中所述金属氧化物层包含一种金属。
26.一种在底材上形成金属氧化物层的方法,所述方法包括:
提供底材;
提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;
提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物,其中:
M1和M2各自独立为金属;
R1、R2、R3和R4各自独立为氢或有机基团;
w为0-4;
z为1-8;
q为1-5;和
w、z和q取决于所述金属的氧化态;和
采用气相淀积工艺使所述前体化合物在所述底材上接触,形成金属氧化物层。
27.权利要求26的方法,其中所述底材为硅晶片。
28.权利要求26的方法,其中M1和M2各自独立选自3、4、5、6、7、13、14族和镧系的金属。
29.权利要求28的方法,其中M1和M2各自独立选自Y、La、Pr、Nd、Gd、Ti、Zr、Hf、Nb、Ta、Al和Si的金属。
30.权利要求26的方法,其中所述金属氧化物层的厚度为约30至约80。
31.权利要求26的方法,其中R各自独立为(C1-C10)有机基团。
32.权利要求26的方法,其中R1、R2、R3和R4各自独立为氢或(C1-C6)有机基团。
33.权利要求26的方法,其中w为0-2和z为2-6。
34.权利要求26的方法,其中q为2-3。
35.权利要求26的方法,其中所述金属氧化物包含一种金属。
36.权利要求26的方法,其中所述金属氧化物层包含锐钛矿TiO2
37.一种在底材上形成金属氧化物层的方法,所述方法包括:
提供底材;
提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;
提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物,其中:
M1和M2各自独立为金属;
R1、R2、R3和R4各自独立为氢或有机基团;
w为0-4;
z为1-8;
q为1-5;和
w、z和q取决于所述金属的氧化态;
气化所述前体化合物形成气化的前体化合物;和
将所述气化的前体化合物引向所述底材,以在其上形成金属氧化物层。
38.权利要求37的方法,其中采用化学气相淀积工艺完成所述前体化合物的气化与引导。
39.权利要求37的方法,其中采用包括多个淀积周期的原子层淀积工艺完成所述前体化合物的气化与引导。
40.权利要求37的方法,其中所述金属氧化物层包含一种金属。
41.一种制造存储器元件结构的方法,所述方法包括:
提供在其上具有第一电极的底材;
提供至少一种式R(OH)r的醇,其中R为有机基团,r为1-3;
提供至少一种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的含金属前体化合物,其中:
M1和M2各自独立为金属;
R1、R2、R3和R4各自独立为氢或有机基团;
w为0-4;
z为1-8;
q为1-5;和
w、z和q取决于所述金属的氧化态;
气化所述前体化合物形成气化的前体化合物;
将所述气化的前体化合物引向所述底材,在所述底材的第一电极上形成金属氧化物介电层;和
在所述介电层上形成第二电极。
42.权利要求41的方法,其中采用化学气相淀积工艺完成所述前体化合物的气化与引导。
43.权利要求41的方法,其中采用包括多个淀积周期的原子层淀积工艺完成所述前体化合物的气化与引导。
44.权利要求41的方法,其中所述金属氧化物介电层包含两种或多种不同的金属。
45.权利要求44的方法,其中所述两种或多种不同的金属为合金、固溶体或纳米层压材料的形式。
46.权利要求41的方法,其中所述金属氧化物介电层包含ZrO2、HfO2、Ta2O3、Al2O3、TiO2以及镧系元素的氧化物中的一种或多种。
47.一种气相淀积装置,所述装置包括:
在其中放置了底材的气相淀积室;
一个或多个包含一种或多种式R(OH)r的醇的容器,其中R为有机基团,r为1-3;和
一个或多个包含一种或多种式M1(NR1)w(NR2R3)z(式I)、式M2R4 q(式II)或式II的路易斯碱加合物的前体化合物,其中:
M1和M2各自独立为金属;
R1、R2、R3和R4各自独立为氢或有机基团;
w为0-4;
z为1-8;
q为1-5;和
w、z和q取决于所述金属的氧化态。
48.权利要求47的装置,其中所述底材为硅晶片。
49.权利要求47的装置,所述装置还包括一种或多种将所述前体输送至所述气相淀积室的惰性载气源。
CNB038245760A 2002-08-28 2003-08-27 采用醇形成金属氧化物的系统和方法 Expired - Lifetime CN100422383C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/229,473 2002-08-28
US10/229,473 US7041609B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols

Publications (2)

Publication Number Publication Date
CN1688742A true CN1688742A (zh) 2005-10-26
CN100422383C CN100422383C (zh) 2008-10-01

Family

ID=31976227

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038245760A Expired - Lifetime CN100422383C (zh) 2002-08-28 2003-08-27 采用醇形成金属氧化物的系统和方法

Country Status (8)

Country Link
US (2) US7041609B2 (zh)
EP (1) EP1534875A1 (zh)
JP (1) JP2005537639A (zh)
KR (1) KR101003214B1 (zh)
CN (1) CN100422383C (zh)
AU (1) AU2003262902A1 (zh)
TW (1) TWI320061B (zh)
WO (1) WO2004020690A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103298973A (zh) * 2010-12-09 2013-09-11 原子能及可替代能源委员会 由cvd制备锂基层的方法
CN109563621A (zh) * 2016-06-06 2019-04-02 韦恩州立大学 二氮杂二烯络合物与胺的反应
CN111356785A (zh) * 2017-11-19 2020-06-30 应用材料公司 用于金属氧化物在金属表面上的ald的方法

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
KR20050056408A (ko) * 2003-12-10 2005-06-16 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100682926B1 (ko) * 2005-01-31 2007-02-15 삼성전자주식회사 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
KR100707602B1 (ko) 2005-10-20 2007-04-13 삼성에스디아이 주식회사 유기 전계 발광 표시장치 및 그의 제조방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7563730B2 (en) * 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
KR100875034B1 (ko) * 2007-01-02 2008-12-19 주식회사 하이닉스반도체 플래시 메모리 소자의 유전체막 형성방법
GB0702759D0 (en) * 2007-02-13 2007-03-21 Unversity Of Aveiro Non aqueous thin film formation
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR101227446B1 (ko) * 2007-07-31 2013-01-29 삼성전자주식회사 강유전체막의 형성 방법 및 이를 이용한 강유전체커패시터의 제조 방법
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8907059B2 (en) * 2008-11-14 2014-12-09 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140093973A (ko) 2011-11-02 2014-07-29 우베 고산 가부시키가이샤 트리스(디알킬아미드)알루미늄 화합물, 및 그것을 이용한 알루미늄-함유 박막의 제조 방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9793397B1 (en) 2016-09-23 2017-10-17 International Business Machines Corporation Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR20200007823A (ko) 2017-05-16 2020-01-22 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7090174B2 (ja) * 2018-04-05 2022-06-23 アプライド マテリアルズ インコーポレイテッド 金属酸化物の低温aldのための方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US5221712A (en) * 1988-08-23 1993-06-22 Sumitomo Chemical Company, Limited Process for producing impact-resistant polyamide resin compositions
US5020243A (en) * 1989-12-01 1991-06-04 Macmillan Bloedel Limited Dryer syphon
KR930012120B1 (ko) * 1991-07-03 1993-12-24 삼성전자 주식회사 반도체장치 및 그의 제조방법
JPH05239650A (ja) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd シリコン酸化膜の製造法
AU1955395A (en) 1994-03-26 1995-10-17 Drake, Simone Robert Tantalum compounds
JP3407409B2 (ja) * 1994-07-27 2003-05-19 富士通株式会社 高誘電率薄膜の製造方法
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
DE69716218T2 (de) * 1996-11-20 2003-04-17 Jsr Corp Härtbare Harzzusammensetzung und gehärtete Produkte
US6303391B1 (en) * 1997-06-26 2001-10-16 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic films useful in ferroelectric memory devices
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
JP4152028B2 (ja) * 1999-01-25 2008-09-17 株式会社Adeka ルテニウム系薄膜の製造方法
US6312831B1 (en) 1999-04-30 2001-11-06 Visteon Global Technologies, Inc. Highly reflective, durable titanium/tin oxide films
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6221712B1 (en) * 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
JP2001108199A (ja) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
JP2001257344A (ja) 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR20010114050A (ko) * 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
KR100815009B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
TW486392B (en) 2000-09-29 2002-05-11 Air Prod & Chem Solvent blend for use in high purity precursor removal
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
KR100385952B1 (ko) * 2001-01-19 2003-06-02 삼성전자주식회사 탄탈륨 산화막을 가진 반도체 커패시터 및 그의 제조방법
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
KR100853903B1 (ko) * 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
KR100418569B1 (ko) * 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
JP3627106B2 (ja) 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103298973A (zh) * 2010-12-09 2013-09-11 原子能及可替代能源委员会 由cvd制备锂基层的方法
CN109563621A (zh) * 2016-06-06 2019-04-02 韦恩州立大学 二氮杂二烯络合物与胺的反应
US11015241B2 (en) 2016-06-06 2021-05-25 Wayne State University Reaction of diazadiene complexes with amines
CN109563621B (zh) * 2016-06-06 2021-07-20 韦恩州立大学 二氮杂二烯络合物与胺的反应
CN111356785A (zh) * 2017-11-19 2020-06-30 应用材料公司 用于金属氧化物在金属表面上的ald的方法

Also Published As

Publication number Publication date
WO2004020690A1 (en) 2004-03-11
US20050136689A9 (en) 2005-06-23
US20040043632A1 (en) 2004-03-04
TW200422426A (en) 2004-11-01
AU2003262902A1 (en) 2004-03-19
US7041609B2 (en) 2006-05-09
JP2005537639A (ja) 2005-12-08
CN100422383C (zh) 2008-10-01
TWI320061B (en) 2010-02-01
US7410918B2 (en) 2008-08-12
KR20050057087A (ko) 2005-06-16
US20060172485A1 (en) 2006-08-03
EP1534875A1 (en) 2005-06-01
KR101003214B1 (ko) 2010-12-21

Similar Documents

Publication Publication Date Title
CN100422383C (zh) 采用醇形成金属氧化物的系统和方法
CN1688743A (zh) 采用金属有机胺和金属有机氧化物生成金属氧化物的系统和方法
CN101595244B (zh) 在衬底上形成含金属层的气相沉积方法
CN1688744A (zh) 用于形成含锆和/或铪层的系统和方法
CN101208295B (zh) 不对称配体源、降低对称性的含金属化合物以及包含其的系统和方法
US7482284B2 (en) Deposition methods for forming silicon oxide layers
US7300873B2 (en) Systems and methods for forming metal-containing layers using vapor deposition processes
JP5003978B2 (ja) アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積
CN101208784A (zh) β-双烯酮亚胺配体源和其含金属化合物,以及包括其的系统和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20081001