CN1716554A - 一种p型mosfet的结构及其制作方法 - Google Patents

一种p型mosfet的结构及其制作方法 Download PDF

Info

Publication number
CN1716554A
CN1716554A CNA2005100789757A CN200510078975A CN1716554A CN 1716554 A CN1716554 A CN 1716554A CN A2005100789757 A CNA2005100789757 A CN A2005100789757A CN 200510078975 A CN200510078975 A CN 200510078975A CN 1716554 A CN1716554 A CN 1716554A
Authority
CN
China
Prior art keywords
layer
soi
germanium
dopant
sige
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100789757A
Other languages
English (en)
Other versions
CN100444336C (zh
Inventor
朱慧珑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1716554A publication Critical patent/CN1716554A/zh
Application granted granted Critical
Publication of CN100444336C publication Critical patent/CN100444336C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like

Abstract

本发明通过下述方法形成了p型MOSFET:用绝缘体覆盖栅并在侧壁之外沉积含锗层,然后通过退火或氧化使锗扩散到硅上绝缘体层或体硅中以形成渐变掩埋硅-锗源-漏和/或扩展(geSiGe-SDE)。对于SOI器件来说,geSiGe-SDE可以达到掩埋绝缘体以使SOI器件的沟道中的压力最大化,这对于超薄SOI器件来说是有利的。渐变锗分布提供了优化应力以提高器件性能的方法。geSiGe-SDE在PMOSFET的沟道中在水平方向(平行于栅电介质表面)上产生压应力,在垂直方向(垂直于栅电介质表面)上产生张应力,从而形成提高PMOSFET性能的结构。

Description

一种P型MOSFET的结构及其制作方法
技术领域
本发明一般涉及半导体制作和集成电路制造领域。更特定地,本发明涉及具有应变硅以获得高性能的互补金属氧化物半导体(CMOS)场效应晶体管(FET)及其制作方法。
背景技术
由于通过持续的比例缩放来改善金属氧化物半导体场效应晶体管(MOSFET)的性能已经变得日益困难,提高MOSFET性能而又无需比例缩放的方法变得重要起来。因此,在当代CMOS技术中,对于用于FET沟道的应变材料的使用有着重大的兴趣和工作。
在一种方法中,利用硅-锗合金(SiGe)来形成表面沟道应变Si/驰豫SiGe n型MOSFET(NMOSFET或NFET)。在该方法中,在非常薄的外延Si层中引入双轴张应变。张应变减小了电子有效质量,提高了电子迁移率。
在p型MOSFET(PMOSFET或PFET)情形中,为了有效提高空穴迁移率,锗(Ge)的浓度必须大于大约30%。
这一方法具有下列缺点:
1)应变硅生长在驰豫SiGe上,因此难以控制器件漏电。
2)为了提高性能所要的超过30%锗浓度的要求进一步提高了缺陷密度。
3)SiGe中杂质——例如砷和磷——的高扩散性使得难以形成浅p-n结。对于亚微米或深亚微米MOSFET来说,要缩小器件尺寸,就需要浅的结。
因此,需要一种制作电路的方法,这样的电路具有应变硅的好处而又没有先有技术的低产率特性。
发明内容
本发明涉及形成PMOSFET的方法,此PMOSFET在其沟道上具有压应力,从而提高空穴迁移率。
本发明的一个特征在于通过在硅晶格中将成为源和漏的区域中引入锗而在集成电路的选定区域中引入了压应力。
本发明的一个特征在于使用具有渐变掺锗源/漏和/或扩展用以产生由SiGe外延层提供的应变的PMOSFET。由于渐变锗分布在SiGe和Si之间不具有明晰的界面(位错产生处),不容易产生位错。这能够减小位错导致的器件漏电。渐变锗分布还给出了优化应力以提高器件性能的方法。本发明的另一特征在于锗在SOI层中的完全或部分穿透以在SOI层中形成SiGe区域。
本发明的另一特征在于在退火驱动的扩散和/或氧化过程驱动的扩散之间进行选择。
附图说明
图1示出用本发明某一方案形成的结构。SOI PMOSFET结构,其中渐变SiGe源/漏(SD)延伸至掩埋绝缘层。
图2示出用本发明第二方案形成的结构。SOI PMOSFET结构,其中渐变SiGe SD没有延伸至掩埋绝缘层。
图3示出用本发明第三方案形成的结构。体PMOSFET结构,具有渐变SiGe SD。
图4示出形成图1的结构的最初步骤。
图5示出对栅堆叠进行构图。
图6示出形成外延掺杂层。
图7示出将锗扩散进硅SOI层中之后的结构。
图8示出的结构是图7所示结构的一个替代。
图9示出图8的步骤之后的步骤。
具体实施方式
图1以剖面图示出形成在硅晶片10的某一部分中的简化场效应晶体管100,该晶体管具有掩埋绝缘层20和硅或半导体(或SOI层)器件层30。晶体管的栅110和侧壁105位于限定主体30侧向范围的栅氧化物103之上。源和漏40由SOI层30的在前一步骤中已掺了锗的部分形成。锗向下扩散到绝缘层30并向内扩散到栅之下的沟道的中间。这在SOI层中在水平方向(平行于SOI表面)上引入了压应力,并在器件中心在垂直方向(垂直于SOI表面)上引入了张应力。这些部分完成了场效应晶体管,示例性地,具有形成用于p型场效应晶体管(PMOSFET)的沟道的n型主体。
示出PFET作为例子。典型地,在当前的技术中,电路会用到CMOS技术,包括NMOSFET和PMOSFET。
示例性地,晶片为市场上可以买到的SIMOX(氧注入隔离)工艺制作的晶片。也可以使用其它制作晶片的方法。
回头看图1,在栅两侧的源和漏40具有锗的渐变,在顶部具有最大值,往下到BOX(掩埋氧化物)层20有量级上的减小。这是由锗从上层SiGe或锗向硅SOI层扩散而导致的。也可以进行锗的注入,但是这对于大多数应用来说是不能令人满意的,因为这需要太长的时间来注入所需的剂量。另外,典型剂量的锗注入将会导致重大的难以消除的晶体损伤。
利用外延步骤来进行SiGe层的沉积的一个好处在于外延沉积是选择性的,只在裸露的硅上沉积SiGe。这减少了清除的总量,而这样的清除对于在器件上所有地方(例如栅、隔离和SD)沉积SiGe或Ge的情形来说是必要的。
扩散工艺可以进行足够长的时间以相当均匀地分布锗浓度,或者可以在时间上进行限制从而从SOI层顶部到底部具有显著的浓度渐变。
硅晶格中锗的存在会在图中从左往右延伸的源和漏中产生压应力。这一应力反过来在SOI中在水平方向(平行于SOI表面)上产生压应力以及在器件100的沟道中在垂直方向(垂直于SOI表面)上产生张应力。
SOI中水平方向上的压应力和器件沟道中垂直方向上的张应力都会提高空穴迁移率从而提高PMOSFET性能。
优选地,锗的浓度从顶部到底部渐变——即,从时间上限制扩散从而锗不会均匀地分布于层30中,尤其是不会蔓延到器件的沟道区域中。浓度在顶部具有最大值并下降到某一杂质深度,这一深度小于SOI层的厚度。这一渐变相对于陡峭的分布来说在晶体结构中产生更少的位错。
现在参看图4,示出用于本发明实施例的起始材料,其中衬底承载BOX 20,之上是SOI层30。
在当代技术中,层30的厚度可以在5-100nm范围内。这么薄的层很难用传统方法来进行工艺,本发明的一个有益方面就在于薄SOI层不再是一个问题。
对于PMOSFET,通常用硼来对SD区进行掺杂,而用砷来对沟道区进行掺杂。硼在SiGe中扩散得比在Si中慢。砷在Si中扩散得比在SiGe中慢。所得的结构有助于形成PMOSFET的浅SD和扩展p-n结以及陡峭的卤素分布。
在图4中,已经在层30的表面上生长了名义厚度0.5-2nm的栅氧化物层103。也可以使用其它栅绝缘层,例如氮化物,氧氮化物或高k材料。
在氧化物层30上沉积了多晶硅(poly)栅层110,其上是氮化物硬掩模层107。
图5示出沉积、曝光以及固化光刻胶层108,然后刻蚀要用于要形成的FET中的栅堆叠之后的结果。作为示例,使用了反应离子刻蚀(RIE),因为其方向性。传统的刻蚀材料在需要将各种材料完全刻蚀,停在SOI层30顶表面上时才使用。
图6示出形成例如大约10nm厚的氮化物薄等角层,然后刻蚀氮化物水平部分之后的结果。刻蚀氧化物水平部分后剩下在随后的工艺过程中保护栅堆叠侧面并限定栅氧化物103之下的晶体管主体和要遵循的锗扩散之间的位移距离。层117在栅110顶部的部分是层107在腐蚀水平氮化物表面步骤之后剩余的部分。
还进行了选择外延生长工艺,在裸露的硅表面上生长外延材料130。
外延层130的锗浓度优选地大于大约30%,其厚度正好使足够多的锗可以扩散进SOI层30。例如,外延层130的厚度为大约15-30nm。
图7示出在1000C进行退火10分钟的结果,退火形成所需的锗从掺杂层130到SOI层30的扩散,在层30顶部具有最大锗浓度值,在底部下降到一个较低值。将会选择退火的温度和时间以形成所需的锗梯度;在此情形中,一直到层30的底表面都具有显著的锗浓度,形成SD40’。
在硅SOI层的晶体结构中加入锗将在图1的源和漏40的材料中引入压应变。压应变反过来会在SOI中水平方向上施加压应力并在器件100的沟道中垂直方向上施加张应力。
在技术中众所周知的,工艺中传统上随后的步骤——例如进行卤素注入,扩展注入,隔离形成,S/D注入以及金属化——都涉及号称“完成晶体管”的目的。这一方法可以与一次性隔离方法结合来制作高性能MOSFET。也就是,去除氮化物隔离,如果需要再进行多晶栅的重新氧化,卤素和扩展离子注入,隔离形成,然后是SD离子注入和SD退火。
总起来说,该工艺就是:
开始于硅SOI晶片;
生长栅氧化物(或等效物);
沉积多晶栅层(或对于金属栅器件来说沉积金属);
沉积氮化物掩模层;
沉积并构图光刻胶,RIE氮化物,多晶硅(对于金属栅来说为RIE金属)和氧化物;
形成薄的氮化物隔离(~10-30nm);
在裸露的硅上选择外延SiGe(或锗);
高温退火,使锗扩散到Si器件层中,使锗浓度沿垂直和水平方向渐变,但是优选地锗分布不进入到沟道区中;
完成晶体管,包括
卤素注入,扩展注入,隔离形成,S/D注入,RTA,金属化。
图8示出本发明第二方案中的一个步骤,在该方案中,直到图6且包括图6的步骤都一样。由这一替代方法做出的结构与图1相同。图8示出在SiGe层130顶表面上生长氧化物层135。在这一实施例中,氧化物耗尽了整个SiGe层,将其变成氧化物135。由于SiGe中氧化作用的雪犁效应,SiGe中的Ge原子被驱赶到SOI层中。氧化方法比单独的退火更能有效地将Ge驱赶到Si中。然而,氧化方法会产生缺陷,导致器件的漏电。而且在SiGe的拐角处也不容易控制氧化过程。
在热氧化过程中,所需的热驱赶锗进入SOI层30,在此情形中,从各个路径向下到BOX层20并形成渐变SiGe SD 40’。
图9示出通过湿法刻蚀剥离氧化物135的结果,留下用于后续工艺的清洁表面。
图9的结构也可用上面结合图7所讨论的相同传统完成步骤来完成。
图2示出本发明的一个替代方案,其中源和漏40仅部分扩展到SOI层,留下SOI层30的一部分作为下层硅35。栅的结构与图1和2中相同。
图2的结构具有这样的优点:锗集中在SOI层30的顶部,从而应力也集中在该处。对于表面沟道晶体管来说,应力向下出现在SOI层中没有任何实质好处,而扩散到较浅的深度所需的时间更短。
图1所示的结构对于超薄SOI器件来说尤其重要,因为极难控制SD区的向下腐蚀不会达到BOX。然而,(传统的掩埋SiGe SD方法)要求在SD区中剩下Si以便在SD区中外延生长SiGe。当应变SiGe的厚度超过给定Ge组分的临界厚度时会产生不受欢迎的失配位错。这限制了在具有大厚度的应变SiGe中高Ge组分的使用。可以在图1和图2之间改变结构以优化沟道中的应力。例如,高Ge组分加薄的渐变SiGe SD,或低Ge组分加厚的渐变SiGe SD。
上面所讨论的两种方法都可以用来形成这一结构,其中控制锗向SOI层中的扩散使其在整个层被锗填满之前就停止。
在形成图2所示结构时,必须限制接受到的热量,以便限制锗扩散的垂直扩展。
图3示出本发明的另一替代方案,其中晶片为体硅晶片,从而源和漏40只是部分扩展到体硅中,留下一部分下层硅10。形成图3的结构的另一方法是腐蚀SD区中的Si,然后选择外延SiGe(如先有技术所提出的)。然后退火在SD区中形成渐变SiGe。这一方法的优点在于外延界面远离沟道,在沟道中产生更强的应力。因此,这可以减小外延界面处的缺陷导致的漏电。
体和SOI晶片相比的优点和缺点在技术领域中已是众所周知的,无需在此重复。要选择体或SOI晶片,集成电路设计者必须进行折衷。
本发明的这一方案还使用了扩散的退火或氧化方法。
虽然就单个优选实施方案描述了本发明,但是熟练的技术人员将会认可本发明能够在下述权利要求的精神和领域内以各种方案来实施。

Claims (25)

1.形成PMOSFET的方法,包含下列步骤:
提供SOI晶片,它具有掩埋绝缘层和在所述掩埋绝缘层之上的SOI层;
在所述SOI层之上形成一层栅绝缘体;
在所述SOI层之上形成晶体管栅,所述栅之下有沟道;
在所述栅的第一和第二侧面形成绝缘体侧壁;
在所述SOI层上邻近所述绝缘体侧壁处外延形成含有掺杂剂的掺杂层;
使所述掺杂剂从所述掺杂层扩散到所述SOI层中,从而在所述沟道平行于SOI表面的水平方向上产生压应力并在垂直于所述SOI表面的垂直方向上产生张应力;以及
完成所述PMOSFET。
2.根据权利要求1的方法,其中所述扩散步骤通过高温退火来实现。
3.根据权利要求1的方法,其中所述扩散步骤持续进行直到所述锗到达所述SOI层的底表面。
4.根据权利要求1的方法,其中所述扩散步骤在所述锗到达所述SOI层底表面之前停止。
5.根据权利要求1的方法,其中所述掺杂层为SiGe。
6.根据权利要求3的方法,其中所述掺杂剂层为具有大于原子数20%的锗浓度的SiGe。
7.根据权利要求1的方法,进一步包含在所述掺杂层上生长一层热氧化物,从而使所述掺杂层中的所述掺杂剂扩散到所述SOI层中。
8.根据权利要求7的方法,进一步包含在所述扩散所述掺杂剂的步骤之后去除所述热氧化物的步骤。
9.根据权利要求7的方法,所述扩散步骤持续进行直到所述锗到达所述SOI层的底表面。
10.根据权利要求7的方法,其中所述扩散步骤在所述锗到达所述SOI层底表面之前停止。
11.根据权利要求7的方法,其中所述掺杂层为SiGe。
12.根据权利要求11的方法,其中所述掺杂层为具有大于原子数20%的锗浓度的SiGe。
13.形成PMOSFET的方法,包含下列步骤:
提供体硅晶片;
在所述体硅之上形成一层栅绝缘体;
在所述体硅之上形成晶体管栅,所述栅之下有沟道;
在所述栅的第一和第二侧面形成绝缘体侧壁;
在所述体硅上邻近所述绝缘体侧壁处外延形成含有锗或杂质的掺杂层;
使所述锗从所述掺杂层扩散到所述体硅中,从而在所述沟道中的水平方向(平行于SOI表面)上产生压应力并在垂直方向(垂直于SOI表面)上产生张应力;以及
完成所述PMOSFET。
14.根据权利要求13的方法,其中所述扩散步骤通过高温退火来实现。
15.根据权利要求13的方法,其中所述掺杂层为SiGe。
16.根据权利要求13的方法,其中所述掺杂剂层为具有大于原子数20%的锗浓度的SiGe。
17.根据权利要求13的方法,进一步包含在所述掺杂剂层上生长一层热氧化物,从而使所述掺杂剂扩散到所述体硅中。
18.根据权利要求17的方法,进一步包含在所述扩散所述掺杂剂的步骤之后去除所述热氧化物的步骤。
19.根据权利要求17的方法,其中所述掺杂剂层为SiGe。
20.根据权利要求19的方法,其中所述掺杂剂层为具有大于原子数20%的锗浓度的SiGe。
21.集成电路,含有至少一个PMOSFET,该PMOSFET形成在具有掩埋绝缘体层和所述掩埋绝缘体层之上的SOI层的SOI晶片中,所述至少一个PMOSFET在所述SOI层之上具有栅绝缘体;在所述SOI层之上的晶体管栅在所述栅之下具有沟道,在所述沟道中,所述沟道在平行于SOI表面的水平方向上具有压应力,在垂直于SOI表面的垂直方向上具有张应力;其中所述SOI层具有在所述水平方向上产生所述压应力的掺杂剂的渐变浓度,所述掺杂剂的所述浓度在所述SOI层的上表面处具有最大值。
22.根据权利要求21的集成电路,其中掺杂剂的所述渐变浓度扩展到一个小于所述SOI层厚度的掺杂剂深度。
23.根据权利要求22的集成电路,其中所述SOI层为硅,所述掺杂剂为锗。
24.根据权利要求22的集成电路,其中所述渐变浓度通过高温退火来形成。
25.根据权利要求22的集成电路,其中所述渐变浓度通过热氧化沉积在所述SOI层之上的沉积的掺杂剂层来形成。
CNB2005100789757A 2004-06-29 2005-06-21 一种p型mosfet的结构及其制作方法 Expired - Fee Related CN100444336C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,244 US7288443B2 (en) 2004-06-29 2004-06-29 Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US10/710,244 2004-06-29

Publications (2)

Publication Number Publication Date
CN1716554A true CN1716554A (zh) 2006-01-04
CN100444336C CN100444336C (zh) 2008-12-17

Family

ID=35504716

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100789757A Expired - Fee Related CN100444336C (zh) 2004-06-29 2005-06-21 一种p型mosfet的结构及其制作方法

Country Status (4)

Country Link
US (1) US7288443B2 (zh)
JP (1) JP5043314B2 (zh)
CN (1) CN100444336C (zh)
TW (1) TW200625460A (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100452431C (zh) * 2005-01-12 2009-01-14 台湾积体电路制造股份有限公司 具有局部应力结构的金属氧化物半导体场效应晶体管
CN100466255C (zh) * 2006-04-18 2009-03-04 联华电子股份有限公司 半导体结构及其制作方法
CN101295641B (zh) * 2007-04-24 2010-09-29 中芯国际集成电路制造(上海)有限公司 栅极制造方法
CN101458337B (zh) * 2007-12-12 2010-12-08 中国科学院微电子研究所 基于绝缘体上硅的双探头pmos辐射剂量计
CN101986423A (zh) * 2009-07-28 2011-03-16 台湾积体电路制造股份有限公司 形成高锗浓度的硅锗应力源的方法及集成电路晶体管结构
CN102130054A (zh) * 2010-01-20 2011-07-20 中芯国际集成电路制造(上海)有限公司 改善半导体器件的截止漏电流发散的方法
WO2012013036A1 (zh) * 2010-07-27 2012-02-02 中国科学院微电子研究所 半导体器件及其制造方法
CN102569383A (zh) * 2010-12-14 2012-07-11 中国科学院微电子研究所 一种mos管及其制造方法
CN101937091B (zh) * 2007-12-12 2012-07-25 中国科学院微电子研究所 一种可调整量程的堆叠测量电路
CN112635325A (zh) * 2020-12-07 2021-04-09 广东省大湾区集成电路与系统应用研究院 一种绝缘体上应变硅/锗晶体管及其制备方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7018901B1 (en) * 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
DE102005013982A1 (de) * 2005-03-26 2006-10-05 Atmel Germany Gmbh Verfahren zur Herstellung eines Bipolartransistors und nach einem derartigen Verfahren hergestellter Bipolartransistor
US7439165B2 (en) * 2005-04-06 2008-10-21 Agency For Sceince, Technology And Reasearch Method of fabricating tensile strained layers and compressive strain layers for a CMOS device
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US8211761B2 (en) * 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
KR100773359B1 (ko) * 2006-11-20 2007-11-05 삼성전자주식회사 높은 이동도를 갖는 트랜지스터들의 제조방법 및 그에 의해제조된 트랜지스터들
US7696000B2 (en) 2006-12-01 2010-04-13 International Business Machines Corporation Low defect Si:C layer with retrograde carbon profile
KR100844933B1 (ko) * 2007-06-26 2008-07-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US7709331B2 (en) * 2007-09-07 2010-05-04 Freescale Semiconductor, Inc. Dual gate oxide device integration
US7704844B2 (en) * 2007-10-04 2010-04-27 International Business Machines Corporation High performance MOSFET
US7964910B2 (en) 2007-10-17 2011-06-21 International Business Machines Corporation Planar field effect transistor structure having an angled crystallographic etch-defined source/drain recess and a method of forming the transistor structure
US20090242989A1 (en) * 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
US7955909B2 (en) * 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
FR2936095B1 (fr) * 2008-09-18 2011-04-01 Commissariat Energie Atomique Procede de fabrication d'un dispositif microelectronique dote de zones semi-conductrices sur isolant a gradient horizontal de concentration en ge.
WO2011030782A1 (ja) * 2009-09-09 2011-03-17 学校法人神奈川大学 半導体素子構造の形成方法、及び半導体素子
TWI416727B (zh) * 2009-12-04 2013-11-21 Inotera Memories Inc P型金屬氧化層半導體場效電晶體及其製造方法
US20120161105A1 (en) * 2010-12-22 2012-06-28 Willy Rachmady Uniaxially strained quantum well device and method of making same
DE102010064290B3 (de) * 2010-12-28 2012-04-19 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verformungserhöhung in Transistoren mit einem eingebetteten verformungsinduzierenden Halbleitermaterial durch Kondensation der legierungsbildenden Substanz
CN102800594B (zh) * 2011-05-26 2015-01-28 中芯国际集成电路制造(上海)有限公司 Pmos管的制作方法
CN102931082B (zh) * 2011-08-10 2015-04-22 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8735303B2 (en) * 2011-11-02 2014-05-27 Globalfoundries Inc. Methods of forming PEET devices with different structures and performance characteristics
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US9876110B2 (en) * 2014-01-31 2018-01-23 Stmicroelectronics, Inc. High dose implantation for ultrathin semiconductor-on-insulator substrates
CN105679645A (zh) * 2014-11-17 2016-06-15 上海华力微电子有限公司 嵌入式锗硅外延位错缺陷的改善方法
US10043893B1 (en) * 2017-08-03 2018-08-07 Globalfoundries Inc. Post gate silicon germanium channel condensation and method for producing the same
US11041569B2 (en) * 2019-05-03 2021-06-22 Tapcoenpro, Llc Systems and methods for floating seat plate
CN113410309A (zh) * 2021-06-23 2021-09-17 电子科技大学 一种低比导通电阻的分立栅mosfet器件及其制造方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
JP3450547B2 (ja) * 1995-09-14 2003-09-29 株式会社東芝 半導体装置およびその製造方法
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
JP3383154B2 (ja) * 1996-06-20 2003-03-04 株式会社東芝 半導体装置
CN1101091C (zh) * 1996-09-06 2003-02-05 皇家菲利浦电子有限公司 零中频接收机
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JPH1197673A (ja) * 1997-09-17 1999-04-09 Toshiba Corp 半導体装置
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
JP2003318198A (ja) * 2002-04-25 2003-11-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
CN100437970C (zh) * 2003-03-07 2008-11-26 琥珀波系统公司 一种结构及用于形成半导体结构的方法
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
CA2454995C (en) * 2004-01-07 2011-12-20 Martinrea International Inc. Method and apparatus for assembling and testing a fluid conduit
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100452431C (zh) * 2005-01-12 2009-01-14 台湾积体电路制造股份有限公司 具有局部应力结构的金属氧化物半导体场效应晶体管
CN100466255C (zh) * 2006-04-18 2009-03-04 联华电子股份有限公司 半导体结构及其制作方法
CN101295641B (zh) * 2007-04-24 2010-09-29 中芯国际集成电路制造(上海)有限公司 栅极制造方法
CN101458337B (zh) * 2007-12-12 2010-12-08 中国科学院微电子研究所 基于绝缘体上硅的双探头pmos辐射剂量计
CN101937091B (zh) * 2007-12-12 2012-07-25 中国科学院微电子研究所 一种可调整量程的堆叠测量电路
CN101986423A (zh) * 2009-07-28 2011-03-16 台湾积体电路制造股份有限公司 形成高锗浓度的硅锗应力源的方法及集成电路晶体管结构
CN102130054A (zh) * 2010-01-20 2011-07-20 中芯国际集成电路制造(上海)有限公司 改善半导体器件的截止漏电流发散的方法
CN102130054B (zh) * 2010-01-20 2013-05-01 中芯国际集成电路制造(上海)有限公司 改善半导体器件的截止漏电流发散的方法
WO2012013036A1 (zh) * 2010-07-27 2012-02-02 中国科学院微电子研究所 半导体器件及其制造方法
US8441045B2 (en) 2010-07-27 2013-05-14 The Institute Of Microelectronics, Chinese Academy Of Sciences Semiconductor device and method for manufacturing the same
CN102569383A (zh) * 2010-12-14 2012-07-11 中国科学院微电子研究所 一种mos管及其制造方法
CN112635325A (zh) * 2020-12-07 2021-04-09 广东省大湾区集成电路与系统应用研究院 一种绝缘体上应变硅/锗晶体管及其制备方法

Also Published As

Publication number Publication date
US20050285192A1 (en) 2005-12-29
US7288443B2 (en) 2007-10-30
CN100444336C (zh) 2008-12-17
TW200625460A (en) 2006-07-16
JP5043314B2 (ja) 2012-10-10
JP2006019727A (ja) 2006-01-19

Similar Documents

Publication Publication Date Title
CN100444336C (zh) 一种p型mosfet的结构及其制作方法
CN1263119C (zh) 用于生产cmos器件的方法
CN2751438Y (zh) 半导体装置
US7211871B2 (en) Transistors of semiconductor devices and methods of fabricating the same
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN101743621B (zh) 具有不同掺杂的有应变的电流电极区域的晶体管
CN1574253A (zh) 低泄漏异质结垂直晶体管及其高性能器件
US20060131657A1 (en) Semiconductor integrated circuit device and method for the same
CN1862822A (zh) 利用双掩埋氧化物绝缘体上硅晶片的嵌入硅锗及其形成方法
CN1819201A (zh) 具有提高的载流子迁移率的半导体结构及其制造方法
CN1574252A (zh) 制备场效应晶体管横向沟道的方法及场效应晶体管
CN101578692A (zh) 多层源极/漏极应力源
CN1574226A (zh) 形成绝缘体上硅锗衬底材料的方法、衬底材料及异质结构
CN1691350A (zh) 在体硅和soi mos器件中制造无位错应力沟道的结构和方法
CN1349662A (zh) 半导体器件和半导体衬底
CN1841680A (zh) 半导体器件及其制造方法
CN101075562A (zh) 制造晶体管结构的方法
CN1828908A (zh) 半导体结构及制造半导体结构的方法
US20130288440A1 (en) Minimizing leakage current and junction capacitance in cmos transistors by utilizing dielectric spacers
US6696729B2 (en) Semiconductor device having diffusion regions with different junction depths
CN1405894A (zh) 半导体器件的结构及其制造方法
CN101064319A (zh) 衬底、半导体器件及其形成方法
US20090152626A1 (en) Super Halo Formation Using a Reverse Flow for Halo Implants
US7118973B1 (en) Method of forming a transistor with a channel region in a layer of composite material
US9112020B2 (en) Transistor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171120

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171120

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081217

Termination date: 20190621