CN1725437A - 图形化应变的半导体衬底和器件 - Google Patents

图形化应变的半导体衬底和器件 Download PDF

Info

Publication number
CN1725437A
CN1725437A CN 200510008258 CN200510008258A CN1725437A CN 1725437 A CN1725437 A CN 1725437A CN 200510008258 CN200510008258 CN 200510008258 CN 200510008258 A CN200510008258 A CN 200510008258A CN 1725437 A CN1725437 A CN 1725437A
Authority
CN
China
Prior art keywords
strain gauge
resilient coating
substrate
relaxed layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 200510008258
Other languages
English (en)
Other versions
CN100385615C (zh
Inventor
程慷果
拉马查恩德拉·德瓦卡鲁尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1725437A publication Critical patent/CN1725437A/zh
Application granted granted Critical
Publication of CN100385615C publication Critical patent/CN100385615C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Abstract

公开了一种方法,它包括在衬底上形成应变材料和弛豫材料的图形;在应变材料中形成应变器件;以及在弛豫材料中形成非应变器件。在一个实施方案中,应变材料是处于拉伸或压缩状态的硅(Si),而弛豫材料是处于正常状态的Si。硅锗(SiGe)、碳化硅(SiC)、或相似材料的缓冲层被形成在衬底上,且具有与衬底的晶格常数/结构失配。SiGe、SiC、或相似材料的弛豫层被形成在缓冲层上,并使应变材料处于拉伸或压缩状态。在另一实施方案中,掺碳的硅或掺锗的硅被用来形成应变材料。此结构包括其上图形化有应变材料和非应变材料的多层衬底。

Description

图形化应变的半导体衬底和器件
技术领域
本发明涉及到制造具有改进了的器件性能的半导体器件的方法和结构,更确切地说是涉及到用来在衬底上形成应变的和非应变的区域的方法和结构。
背景技术
诸如埋置动态随机存取存储器(eDRAM)、专用集成电路(ASIC)、以及芯片上系统(SoC)之类的正在涌现的技术要求在同一个芯片上组合高性能的逻辑器件和存储器件。对于某些应用,还希望在同一个芯片上具有数字电路和模拟电路。已经表明,当逻辑器件形成在外延生长于已经弛豫的另一外延生长的硅锗(SiGe)层上的张应变的硅层上时,此逻辑器件表现出更好的性能。
完全弛豫的SiGe层具有比硅更大的晶格常数。于是,当硅层被外延生长在其上时,硅层就遵从弛豫的SiGe层的较大晶格常数,这就将物理双轴应力施加到形成在其上的硅层。施加到硅层的这一物理双轴应力提高了形成在应变硅中的逻辑器件的性能。
通过失配位错的形成而出现硅衬底上SiGe中的弛豫,当位错等距离分隔来释放应力时,这些位错就使衬底完全弛豫。此外,失配位错提供了衬底中硅的额外的半平面。这使SiGe层中的晶格常数能够找到其本征值。以这种方式,随着跨越SiGe/硅界面的失配应变被适应,SiGe的晶格常数变大。
这种方法的问题在于要求非常厚的多层SiGe层。此外,形成在SiGe层与外延硅层之间的失配位错是无序的,密度高度不均匀,且由于不容易控制的异质成核而相当不可控制。因此,施加到硅层的物理应力有不良倾向。在失配密度高的位置处,就在应变硅层中形成缺陷。这些缺陷使器件各个端子短路,引起其它的泄漏问题。因此,虽然当逻辑器件被形成在应变硅区域中时,逻辑器件的性能得到了提高,但当诸如DRAM器件之类的对缺陷敏感的器件被形成在其中时,其性能就退化。当对缺陷敏感的器件被形成在应变区中时,生产成品率也受到损害。于是,对于在同一个芯片上制造应变的和非应变的硅区,以便能够在应变的硅区中制作高性能的逻辑器件并能够在非应变区中制作高性能的对缺陷敏感的器件的方法(及其衬底),就存在着需求。
发明内容
在本发明的一种情况下,提供了一种形成电子器件的方法。此方法包括在衬底上形成应变材料和非应变(弛豫)材料的图形。此方法还包括在应变材料中形成应变器件。此方法还包括在非应变材料中形成非应变器件。
在本发明的另一情况下,提供了另一种形成电子器件的方法。此方法包括形成与部分衬底接触的缓冲层。此缓冲层具有与衬底的晶格常数/结构失配。此方法还包括在缓冲层上形成弛豫层。此方法还包括在弛豫层的顶部表面上形成应变材料。此弛豫层使应变材料处于其中之一的拉伸或压缩状态。此方法还包括对应变材料附近的非应变(弛豫)材料进行图形化。
在本发明的再一种情况下,提供了一种电子器件。此器件包括衬底。此器件还包括形成在衬底上的应变材料和弛豫材料的图形。此器件还包括形成在应变材料中的应变器件。此器件还包括形成在弛豫材料中的非应变器件。
在本发明的另一情况下,提供了另一种电子器件。此电子器件包括形成为与部分衬底接触的缓冲层。
此缓冲层具有与衬底的晶格常数/结构失配。此器件还包括形成在缓冲层上的弛豫层。此器件还包括形成在弛豫层顶部表面上的应变材料。此弛豫层使应变材料处于其中之一的拉伸或压缩状态。此器件还包括在应变材料附近的图形化的非应变材料。
附图说明
图1-4示出了制造根据本发明第一实施方案的电子器件的各个制造步骤;
图5示出了根据本发明第一实施方案的电子器件的最终结构;
图6-10示出了制造根据本发明第二实施方案的电子器件的各个制造步骤;
图11示出了根据本发明第二实施方案的电子器件的最终结构;
图12-15示出了制造根据本发明第三实施方案的电子器件的各个制造步骤;
图16示出了根据本发明第三实施方案的电子器件的最终结构;
图17-21示出了制造根据本发明第四实施方案的电子器件的各个制造步骤;
图22示出了根据本发明第四实施方案的电子器件的最终结构;
图23-26示出了制造根据本发明第五实施方案的电子器件的各个制造步骤;
图27示出了根据本发明第五实施方案的电子器件的最终结构;
图28-31示出了制造根据本发明第六实施方案的电子器件的各个制造步骤;
图32示出了根据本发明第六实施方案的电子器件的最终结构;
图33是用图1-32所示方法和材料的组合而形成的根据本发明第七实施方案的电子器件的剖面图;
图34是流程图,表示了制造图1-5所示的电子器件的各个制造步骤;
图35是流程图,表示了制造图6-11所示的电子器件的各个制造步骤;
图36是流程图,表示了制造图12-16所示的电子器件的各个制造步骤;
图37是流程图,表示了制造图17-22所示的电子器件的各个制造步骤;
图38是流程图,表示了制造图23-27所示的电子器件的各个制造步骤;
图39是流程图,表示了制造图28-32所示的电子器件的各个制造步骤。
具体实施方式
本发明的目标是一种电子、数字、半导体、或其它的器件,它具有其上形成了应变材料和非应变(亦即弛豫)材料的图形的衬底。应变材料可以处于与下方弛豫材料层的晶格常数/结构差异所造成的拉伸或压缩中。弛豫材料又被形成在与部分衬底接触的缓冲层上。
形成缓冲层的材料的浓度在整个层中变化,并具有与形成衬底的材料的晶格常数/结构失配。由于形成缓冲层的材料的浓度随着缓冲层从衬底的延伸而增大,故通常由晶格失配引起的缺陷最终被消除。在缓冲层上形成弛豫层,将缺陷进一步降低和/或消除到这样一种程度,以至于应变材料最终无缺陷。应变材料中缺陷的大幅度减少或消除,使形成在其中的电子器件或数字器件能够非常快速而有效地运行。故还使得诸如动态随机存取存储器(DRAM)之类的器件能够被形成在相邻的弛豫材料中,因为这种器件通常对缺陷非常敏感。于是,本发明的各个实施方案允许在同一个衬底上并列形成应变的逻辑器件和非应变的存储器件。
现在参照图1-5,示出了部分电子器件100的剖面。“电子器件”指的是电子、电机械、半导体、数字、或相似的器件。说明性的电子器件类型包括但不局限于晶体管、电容器、电阻器、逻辑器件、存储器件、计算机处理器、记录线、通道、半导体晶片、计算机芯片、专用集成电路(ASIC)、芯片上系统(SoC)等。如图1所示,电子器件100包括覆盖有衬垫层103的衬底101。
衬底101由例如硅(Si)的适当材料组成。其它适当变通的衬底类型包括锗(Ge)、硅锗(SiGe)、碳化硅(SiC)、以及主要由具有下列公式所定义的组分的一种或多种化合物半导体组成的材料:AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1(1是总的相对克分子量)。其它适当的衬底的组分为ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2表示各大于或等于0的相对比例,且A1+A2+B1+B2=1(1是总的相对克分子量)。或者,衬底具有绝缘体上半导体类型的结构,例如绝缘体上硅(SOI)衬底。在一个实施方案中,衬底的厚度接近本技术领域熟知的标准半导体晶片的厚度。
衬垫层103用来防止直接位于其下方的各个层被任何后续工艺清除。如下面所述,借助于在衬垫层中选择性地图形化窗口,能够形成穿过所有或部分下方衬底层的凹陷。此外,衬垫层的使用使得能够外延生长(以及淀积)具体的材料,例如Si、Ge、SiGe、SiC,主要由具有下列公式所定义的组分的一种或多种化合物半导体组成的材料:AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1(1是总的相对克分子量),以及组分为ZnA1CdA2SeB1TeB2的材料,其中,A1、A2、B1、B2表示各大于或等于0的相对比例,且A1+A2+B1+B2=1(1是总的相对克分子量)。这些示例性材料中的每一种可以被应用于此处所述的所有实施方案。
形成衬垫层103的材料将依赖于所用工艺的类型而变化。示例性的衬垫层材料包括但不局限于氮化硅和/或氧化硅。但本技术领域的熟练人员可以容易地理解能够用来形成衬垫层的其它材料类型。示例性地说,当希望形成深度约为2.0微米的凹陷时,衬垫层的总厚度约为0.2微米。此示例性厚度可以应用于此处所述的所有实施方案。
在图2中,衬底101被示为其中形成有用反应离子刻蚀或干法腐蚀工艺形成的凹陷105。凹陷105的准确宽度不是关键的,但深度被形成在大约1.0-3.0微米的范围内。示例性宽度约为100微米。这些示例性凹陷测量结果可以被应用于此处公开的所有实施方案。然后,用本技术领域所知的任何适当的淀积或生长工艺,将氧化物或氮化物材料组成的绝缘层107共形淀积在凹陷105的侧壁和底部109上。示例性地说,此绝缘层被形成为厚度约为10-100埃。此示例性测量结果可以应用于此处所述的所有实施方案。在形成绝缘层107之后,用诸如反应离子刻蚀(RIE)之类的各向异性腐蚀方法,从凹陷清除掉其横向部分而不是垂直部分。亦即,形成在凹陷底部109上的绝缘层107部分被清除;但形成在凹陷侧壁上的绝缘层仍然保留在其上。最终的结果是凹陷底部109被暴露,而凹陷侧壁被绝缘层107共形地覆盖。如所示,在此示例性实施方案中,绝缘层107还被形成在衬垫层的内部暴露边沿上。
在图3中,缓冲层113与衬底101形成晶格常数/结构失配121,并用来约束失配引起的大多数位错。示例性地说,缓冲层的总厚度可以从小于大约0.5微米到大于大约2.0微米。弛豫层111被形成在缓冲层上,且保持相对无缺陷。示例性地说,弛豫层111的总厚度可以约为0.2微米。这些示例性厚度测量结果可以被应用于此处所述的所有
实施方案。
缓冲层113和弛豫层111被外延生长在绝缘层107范围内的凹陷105中。首先形成缓冲层113,然后形成弛豫层111。缓冲层113的生长过程从凹陷底部开始,并逐层向上生长,直至达到约为0.5-2.0微米的总厚度。在一个实施方案中,硅锗(SiGe)被用来形成缓冲层113和弛豫层111,以便随后形成弛豫层111顶部的具有张应力的诸如硅的半导体层。在一个变通实施方案中,碳化硅(SiC)可以被用来在随后形成的硅层中提供压应变。
可以用诸如化学气相淀积方法之类的常规技术来淀积或生长缓冲层113和弛豫层111。例如,可以以常规方式使用超高真空化学气相淀积(UHVCVD)来生长器件质量的SiGe或SiC层。其它的常规技术包括快速热化学气相淀积(RTCVD)、低压化学气相淀积(LPCVD)、有限反应加工CVD(LRPCVD)、以及分子束外延(MBE)。可以在形成SiGe或SiC之前,将薄的硅缓冲层(未示出)可选地形成在凹陷105的内壁上。
以材料(例如Ge)的浓度从凹陷底部附近的本底浓度119逐渐增大到缓冲层顶部表面附近的基准浓度117的方式,构成了多层缓冲层113。浓度的这种逐渐增大可以以任何部进的方式进行,例如每个新的淀积或生长层增大10%。但依赖于所希望的应用和所要求的成本,可以采用任何百分比的增大。理论上Ge的浓度范围可以从小于大约1%的本底浓度到100%的基准浓度。但由于成本和其它的理由,可以采用大约40%的基准浓度。为了防止在弛豫层中出现缺陷,用来形成弛豫层111的材料的第二本底浓度115(亦即若用SiGe,则是Ge浓度)被选择来大致地匹配缓冲层113中的Ge基准浓度117。
参照图4,衬垫层被清除,且材料(例如硅,但不局限于硅)层被外延生长在绝缘层107范围内以形成应变材料125和不在绝缘层107的范围内以形成弛豫材料123。材料123被描述为弛豫的(或非应变的),因为其晶格常数大致等于衬底101的晶格常数。材料125被描述为应变的,因为其晶格常数不同于用来形成弛豫层111的材料的晶格常数。因此,在应变材料125与缓冲层113的界面处出现晶格失配127。依赖于用来形成弛豫层111的材料的类型,应变材料125可以被置于拉伸状态或压缩状态的其中之一。示例性地说,当应变材料125由硅组成且弛豫层由SiGe组成时,应变材料125被张应变。或者,当应变材料125由硅组成且弛豫层111由SiC组成时,应变材料125被压应变。但由于各种材料的不同的晶格结构/常数会施加压应变或张应变,故可以采用任何二种不同的半导体材料。在一个实施方案中,应变材料125和弛豫材料123各具有从小于大约20nm到大于大约100nm的总厚度。这些示例性厚度可以被应用于此处所述的各种实施方案。
参照图5,分别在应变材料125和弛豫材料123中形成应变器件129和非应变器件131。示例性地说,应变器件129是一逻辑器件或第一晶体管;而非应变器件131是DRAM或第二晶体管。
现在参照图6-11来描述变通实施方案和制造方法。由于用来形成图6-11的实施方案的材料、腐蚀方法、外延生长方法、以及淀积方法与上述的相同,故为了不使本发明的各种情况不必要地难以理解,故这些特点将更简单地加以描述。
在图6中示出了电子器件100的剖面。器件100包括被衬垫层103覆盖的衬底101。如图7所示,凹陷105如上所述被腐蚀穿过衬垫层103进入到衬底101预定深度。然后,氧化物或氮化物绝缘层107被共形地涂敷在凹陷105内部。绝缘层107的底部然后被清除,留下粘合到凹陷侧壁的部分实际上原封不动。
图8示出了在绝缘层107的范围内的凹陷105中形成缓冲层113和弛豫层111。如上所述,形成缓冲层的材料的浓度从本底浓度119变化到基准浓度117。形成弛豫层111的第二本底浓度115被选择成大致匹配缓冲层113的基准浓度117。如前面公开的那样,缓冲层113用来包含晶格失配121引起的位错。图9示出了在绝缘层107的范围内的凹陷105中,并在弛豫层111顶部上分立和选择性形成应变材料125。如前面所公开的那样,用来形成弛豫层111的材料的类型决定了是张力还是压力被施加到应变材料125。
图10示出了衬垫层103的清除以及衬底101的后续整平。此图还示出了应变材料125与弛豫层111之间的晶格失配127。用来清除衬垫层的工艺的类型依赖于用来形成这些层的材料的类型。例如,若氮化硅被用作衬垫层,则可以采用热磷酸(H3PO4)的湿法腐蚀。所使用的整平方法的类型可以是任何适当的整平技术。例如,在一个实施方案中,可以采用化学机械抛光(CMP)。在另一实施方案中,可以采用存在氢的高温回流工艺。
图11示出了在衬底101的应变材料125和非应变区中形成电子器件129和131。在此实施方案中,处于绝缘层107的范围之外的衬底101部分构成了图4所示的弛豫材料123。如前面所述,应变器件129可以示例性地是但不局限于逻辑器件或第一晶体管;非应变器件131可以示例性地是但不局限于DRAM或第二晶体管。
第三实施方案被示于图12-16。图12示出了电子器件100(亦即硅晶片)的剖面,此电子器件包括其上按上升顺序形成缓冲层113、弛豫层111、以及应变材料125的衬底101。此图还示出了形成在衬底101与缓冲层下表面之间的晶格失配121以及形成在弛豫层111与应变材料125之间的晶格失配127。可以以任何熟知的方式来生长或淀积这些层,在一个实施方案中,以最靠近应变层的缓冲层113具有较高的材料浓度,并逐渐减小浓度。这将消除或减少最终产品的缺陷形成。
图13示出了延伸穿过衬垫层103、应变材料125、弛豫层111、以及缓冲层113,但衬底101的顶部表面作为其底部的凹陷105。
图14示出了绝缘层107在凹陷105侧壁上的形成。如前面所述,用淀积或生长工艺随之以腐蚀工艺来形成绝缘层107。图15示出了在绝缘材料范围内的凹陷中选择性地外延生长在弛豫材料(例如硅)以完全填充凹陷。然后,衬垫层被清除,且衬底被整平成使应变材料125、绝缘材料、以及弛豫材料123的暴露表面大致齐平。在此实施方案中,应变材料125在绝缘材料107的范围之外,而弛豫材料123在绝缘材料107的范围之内。亦即,弛豫材料被形成在凹陷内。
参照图16,示出了应变材料125中应变器件129的形成以及弛豫材料123中非应变器件131的形成。如所示,应变器件129位于绝缘材料范围之外,而非应变器件位于绝缘材料范围之内。
图17-22示出了第四实施方案。图17示出了根据第四实施方案的电子器件100的剖面图。器件100包括其上形成SiGe缓冲层113的衬底101。在一个变通实施方案中,也可以形成SiC。也由SiGe(或SiC)组成的弛豫层111覆盖着缓冲层的的顶部表面。缓冲层与硅衬底101之间的晶格失配在2%或以下的示例性范围内。这意味着最下面的SiGe缓冲层的晶格常数不同于硅衬底的晶格常数大约2%或以下。此同一个百分比也可以被应用于此处所公开的任何一个实施方案。
图18示出了延伸穿过衬垫层103、弛豫层111、以及缓冲层113以暴露硅衬底101的顶部表面的凹陷105的形成。如前面所述,图19示出了凹陷105侧壁上绝缘层107的形成以及凹陷中弛豫材料123的形成。在图20中,衬垫层已经被清除,且弛豫层111、绝缘层107、以及弛豫材料123的顶部表面已经被整平。然后,如图21所示,外延生长硅层,以便覆盖整个被整平了的表面。
此工艺的结果是,弛豫层与硅层之间的晶格失配在硅上形成了张应变或压应变,从而产生应变材料125。由于硅层另一部分与弛豫材料123(Si)之间的晶格失配可忽略,故在凹陷105的范围内产生了弛豫的(非应变的)材料124。虽然在此实施方案中绝缘层107不将应变材料125分隔于第二弛豫材料124,但与由弛豫层111加载的应变相比,应变材料125与非应变材料124之间的横向应变是最小的。
图22示出了应变材料125中应变器件129的形成以及弛豫材料124中非应变器件131的形成。如前面所公开的那样,应变器件129可以是逻辑器件,而非应变器件可以是DRAM。但也可以使用诸如晶体管和电容器之类的其它电子器件。
图23-27是电子器件100的剖面图,示出了用掺杂的硅在衬底101上形成应变材料125。如图23所示,衬垫层103被形成在硅衬底101上。然后,如图24所示,凹陷105被腐蚀穿过衬垫层并进入到衬底101中从衬底101顶部表面算起大约0.05-1微米的示例性深度。然后,用化学气相淀积或其它熟知的工艺,将氧化物或氮化物材料组成的可选绝缘层107形成在凹陷105的侧壁和底部上。随之以腐蚀工艺,以便从凹陷105的底部清除绝缘层107,应变材料125被外延生长在绝缘材料107范围内的凹陷中,直至应变材料的顶部表面大致与衬底101的顶部表面一致。应变层125的厚度小于所谓“临界厚度”。此临界厚度被定义为实际上不产生缺陷时的应变层最大厚度。示例性地说,应变材料125是掺碳的硅。但也可以采用其它的掺杂半导体材料。例如,借助于在硅衬底上形成掺锗的硅层,可以形成压应变层。
图26表明,已经如前面所述用干法腐蚀或湿法腐蚀方法腐蚀掉了衬垫层103,且表明衬底101的顶部表面被整平到了与应变材料125、绝缘层107、以及衬底101的顶部表面大致齐平。以这种方式,应变材料125被选择性地形成在凹陷105中,并被绝缘层107分隔于衬底101的非应变区126。如图27所示,诸如逻辑器件的应变器件129被形成在应变材料125中,而非应变器件131被形成在衬底101的非应变区126中。
图28-32是电子器件100的剖面图,示出了用掺杂的硅在硅衬底101上形成另一个应变材料125。在图28中,为加工而准备了硅衬底101。在图29中,掺碳的应变材料125被外延生长在衬底101的顶部表面上。应变层125的厚度小于所谓“临界厚度”。此临界厚度被定义为实际上不产生缺陷时的应变层最大厚度。示例性地说,应变材料125是掺碳的硅。但也可以采用其它的掺杂半导体材料。例如,借助于在硅衬底上形成掺锗的硅层,可以形成压应变层。
在图30中,图形化的衬垫层103被形成在应变材料125上。利用腐蚀工艺,以便清除未被衬垫层103覆盖的应变掺杂材料125区域,非应变的硅衬底区域被暴露。
在图31中,非应变(弛豫)材料123被外延生长在衬底101的暴露区域上,生长至大致与应变层125相同的高度,以便形成基本上平坦的顶部表面。由于在本实施方案中应变材料125非常薄(例如小于大约100nm),故非应变层123的外延生长是可选的。然后,如图32所示,衬垫层103被腐蚀掉,且应变器件129被形成在应变材料125中。非应变器件131被形成在弛豫材料123中。或者,若不采用弛豫材料123,则非应变器件131被形成在衬底101的非应变区中。由于二种材料经受的横向应变都显著地小于形成应变材料125的掺杂半导体材料所引起的应变,故允许应变材料125与相邻的弛豫材料123接触仍然不成问题。示例性地说,应变材料125是掺碳的硅。但也可以采用其它的掺杂半导体材料。例如,借助于在硅衬底上形成掺锗的硅层,可以形成压应变层。
图33是剖面图,示出了分别具有张应变的、压应变的、以及非应变的材料123、124、以及123的电子器件100。如所示,用上面讨论的各种技术的任何组合,这些材料中的每一个被形成在衬底101的表面上。与分别由晶格失配127A和127B施加的垂直应变相比,在各个结133处经受的横向应变最小。或者,这些层也可以被绝缘材料分隔开。在一个实施方案中,张应变材料125A是形成在硅上的掺碳的硅层,而压应变材料125B是形成在硅上的掺锗的硅层。或者,张应变材料125A是形成在SiGe缓冲层(未示出)上的硅层,而压应变材料125B是形成在SiC缓冲层(未示出)上的硅层。虽然示例性地示为一个层,但如先前参照图31和32所述那样,弛豫材料123也可以是衬底101的弛豫的顶部表面。虽然示例性地示出了这些层具有相同的厚度,但它们的厚度不一定要相同。
应该理解的是,图1-33能够同样表示制造的方法。在任何情况下,图34-39示出了用来制造根据本发明各种情况的设备的各种方法。虽然此处参照顺序的参考号进行了描述,但各种方法的各个步骤可以按任何顺序来执行。可以用任何已知的制造方法来提供为形成凹陷而对各个层的清除、各个层的形成、以及其它的工艺。例如,示例性的制造工艺包括但不局限于化学气相淀积、超高真空化学气相淀积、反应离子刻蚀(RIE)、电解腐蚀、等离子体刻蚀、干法腐蚀等。离子刻蚀是一种借助于用高能离化粒子选择性地轰击固体或液体物质区域而清除不需要的材料的工艺。在微电子制造中常常使用的等离子体刻蚀在等离子体中产生反应性物质,然后用此反应性物质来选择性地清除不需要的材料。
图34是流程图,示出了根据本发明一个实施方案的制造电子器件100的一种示例性方法。在步骤3401中,凹陷被图形化并形成在被衬垫层覆盖的衬底中。在步骤3403中,绝缘层被可选地形成在凹陷的侧壁和底部上。在步骤3405中,部分绝缘层被从凹陷的底部清除,以便暴露部分衬底。在步骤3407中,缓冲层被形成在绝缘层范围内的凹陷中,此缓冲层具有与衬底的晶格常数/结构失配。在步骤3409中,随着缓冲层的形成,将形成缓冲层的材料的浓度从本底浓度提高到基准浓度。在步骤3411中,弛豫层被形成在缓冲层上。在步骤3413中,衬垫层被剥离。在步骤3415中,应变材料被形成在绝缘层范围内的弛豫层上,且非应变材料被形成在绝缘层范围以外的衬底部分上。在步骤3417中,应变器件被形成在应变材料中。在步骤3419中,非应变器件被形成在弛豫材料中。在一个实施方案中,形成弛豫层的材料在其底部表面附近具有第二基底浓度,此第二基底浓度大致等于缓冲层顶部表面附近的基准浓度。
图35是流程图,示出了根据本发明一个实施方案的制造电子器件100的一种示例性方法。在步骤3501中,凹陷被图形化并形成在被衬垫层覆盖的衬底中。在步骤3503中,绝缘层被形成在凹陷的侧壁和底部上。在步骤3505中,部分绝缘层被从凹陷的底部清除,以便暴露部分衬底。在步骤3507中,缓冲层被形成在绝缘层范围内的凹陷中,此缓冲层具有与衬底的晶格常数/结构失配。在步骤3509中,随着缓冲层的形成,将形成缓冲层的材料的浓度从本底浓度提高到基准浓度。在步骤3511中,弛豫层被形成在缓冲层上。在步骤3513中,应变材料被形成在绝缘层范围内的凹陷中的弛豫层上。在步骤3515中,衬垫层被剥离。在步骤3517中,衬底被整平。在步骤3519中,应变器件被形成在应变材料中。在步骤3521中,非应变器件被形成在弛豫材料中。在一个实施方案中,形成弛豫层的材料在其底部表面附近具有第二本底浓度,此第二基底浓度大致等于缓冲层顶部表面附近的基准浓度。
图36是流程图,示出了根据本发明一个实施方案的制造电子器件100的一种示例性方法。在步骤3601中,衬垫层被形成在应变材料上。在步骤3603中,凹陷被图形化并形成为穿过应变材料、穿过先前形成在其附近的弛豫层、以及穿过先前形成在弛豫层附近的缓冲层且与衬底接触。在步骤3605中,绝缘层被形成在凹陷的侧壁和底部上。在步骤3607中,绝缘层被从凹陷的底部清除。在步骤3609中,弛豫材料被形成在绝缘材料范围内的凹陷中。在步骤3611中,衬垫层被剥离。在步骤3613中,衬底被整平。在步骤3615中,应变器件被形成在应变材料中。在步骤3617中,非应变器件被形成在弛豫材料中。
图37是流程图,示出了根据本发明一个实施方案的制造电子器件100的一种示例性方法。在步骤3701中,衬垫层被图形化并形成在先前形成在缓冲层上的弛豫层上,此缓冲层是先前形成在衬底上的。在步骤3703中,凹陷被形成为穿过弛豫层和缓冲层。在步骤3705中,绝缘层被形成在凹陷的侧壁和底部上。在步骤3707中,部分绝缘层被从凹陷的底部清除,以便暴露部分衬底。在步骤3709中,弛豫材料被形成在绝缘材料范围内的凹陷中。在步骤3711中,衬垫层被剥离。在步骤3713中,衬底被整平。在步骤3715中,应变材料被形成在绝缘层范围以外的弛豫层上。在步骤3717中,弛豫材料被形成在绝缘层范围内的凹陷中。在步骤3719中,应变器件被形成在应变材料中。在步骤3721中,非应变器件被形成在弛豫材料中。
图38是流程图,示出了根据本发明一个实施方案的制造电子器件100的一种示例性方法。在步骤3801中,凹陷被图形化并形成在衬垫层覆盖的衬底中。在步骤3803中,绝缘层被形成在凹陷的侧壁和底部上。在步骤3805中,部分绝缘层被从凹陷的底部清除,以便暴露部分衬底。在步骤3807中,应变材料被选择性地外延生长在绝缘层范围内的凹陷中。在步骤3809中,衬垫层被剥离。在步骤3811中,应变器件被形成在应变材料中。在步骤3813中,非应变器件被形成在绝缘层范围以外的衬底弛豫区中。在此实施方案中,应变材料可以是掺碳的材料,例如但不局限于掺碳的硅。或者,应变材料可以是掺锗的材料,例如但不局限于掺锗的硅。
图39是流程图,示出了根据本发明一个实施方案的制造电子器件100的一种示例性方法。在步骤3901中,应变材料被形成在衬底上。在步骤3903中,衬垫层被形成在应变材料上。在步骤3905中,应变材料的选择区域被清除,以便暴露相应的衬底部分。在步骤3907中,弛豫材料被可选地生长在暴露的衬底上,大致与应变层的高度相同。在步骤3909中,衬垫层被剥离。在步骤3911中,应变器件被形成在应变材料中。在步骤3913中,非应变器件被形成在弛豫材料中。在此实施方案中,应变材料可以是掺碳的材料,例如但不局限于掺碳的硅。
虽然本发明的各个实施方案已经被示于图1-22中作为熔融SiGe来形成张应变材料125,但可以理解的是,诸如SiC之类的其它材料可以代替其中希望形成压应变材料的SiGe。此外,可以借助于在硅衬底上外延生长掺碳的硅来形成张应变材料125。依赖于所希望的应用和所要求的成本,诸如磷化镓和砷化镓之类的其它材料也可以代替SiGe。如此处所述,根据本发明实施方案制作的电子器件可以具有如图4、15、21、26、31、33示例性所述的在应变材料125、125A、以及125B附近图形化的非应变(弛豫)材料123、124、126。
虽然已经详细地描述了本发明的一些示例性实施方案,但本技术领域的熟练人员可以理解的是,可以在这些示例性实施方案中进行许多可能的修正和改变而仍然保持本发明的许多新颖特点和优点。

Claims (41)

1.一种方法,它包含:
在衬底上形成应变材料和弛豫材料的图形;
在应变材料中形成应变器件;以及
在弛豫材料中形成非应变器件。
2.权利要求1的方法,其中,在衬底上形成应变材料和弛豫材料的图形的步骤还包含:
在衬底中形成凹陷,此凹陷具有侧壁;
在凹陷中形成缓冲层,它具有与衬底的晶格常数/结构失配;
在缓冲层上形成弛豫层;
在弛豫层上形成应变材料并在衬底上形成弛豫材料,其中,弛豫层具有与应变材料的晶格常数/结构失配。
3.权利要求2的方法,还包含在形成缓冲层之前,在侧壁上形成绝缘层。
4.权利要求2的方法,其中,弛豫层和缓冲层各选自碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1(1是总的相对克分子量);以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1(1是总的相对克分子量)。
5.权利要求2的方法,其中,应变材料和弛豫材料各选自以下材料之一:硅(Si);碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1;以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1。
6.权利要求2的方法,其中,形成缓冲层的步骤还包含:
外延生长多个形成缓冲层的材料层,使形成缓冲层的材料在衬底附近具有本底浓度,并在弛豫层附近具有提高了的基准浓度。
7.权利要求6的方法,其中,形成弛豫层的步骤还包含:
外延生长多个形成弛豫层的材料层,使形成弛豫层的材料在缓冲层附近具有第二本底浓度,此第二本底浓度大致等于缓冲层材料的基准浓度。
8.权利要求1的方法,其中,在衬底上形成应变材料和弛豫材料的图形的步骤还包含:
在衬底上形成缓冲层,此缓冲层具有与衬底的晶格常数/结构失配;
在缓冲层上形成弛豫层;
形成穿过弛豫层和缓冲层的凹陷,此凹陷具有侧壁;
在凹陷中形成弛豫材料;以及
在凹陷范围以外的弛豫层上形成应变材料,此应变材料具有与弛豫层的晶格常数/结构失配。
9.权利要求8的方法,还包含在凹陷中形成弛豫层之前,在侧壁上形成绝缘层。
10.权利要求8的方法,其中,弛豫层和缓冲层选自碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1;以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1。
11.权利要求8的方法,其中,形成缓冲层的步骤还包含外延生长多个形成缓冲层的材料层,使形成缓冲层的材料在衬底附近具有本底浓度,并在弛豫层附近具有提高了的基准浓度。
12.权利要求11的方法,其中,形成弛豫层的步骤还包含外延生长多个形成弛豫层的材料层,使形成弛豫层的材料在缓冲层附近具有第二本底浓度,此第二本底浓度大致等于缓冲层材料的基准浓度。
13.权利要求1的方法,其中,应变材料由掺碳的硅或掺锗的硅组成。
14.一种用来形成电子器件的方法,此方法包含:
形成与部分衬底接触的缓冲层,此缓冲层具有与衬底的晶格常数/结构失配;
在缓冲层上形成弛豫层;
在弛豫层的顶部表面上形成应变材料,此弛豫层使应变材料处于拉伸或压缩状态的其中之一;以及
对应变材料附近的非应变材料进行图形化。
15.权利要求14的方法,还包含:
在应变材料中形成应变器件;以及
在非应变材料中形成非应变器件。
16.权利要求14的方法,其中,弛豫层包含具有与应变材料的晶格常数/结构失配的材料。
17.权利要求14的方法,其中,缓冲层选自碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1;以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1。
18.权利要求14的方法,其中,形成缓冲层的步骤还包含外延生长多个形成缓冲层的材料层,使形成缓冲层的材料在衬底附近具有本底浓度,并在弛豫层附近具有提高了的基准浓度。
19.权利要求14的方法,其中,弛豫层选自碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1;以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1。
20.权利要求1的方法,其中,应变材料是掺碳或掺锗的半导体材料。
21.一种电器件,它包含:
形成在衬底上的应变材料和弛豫材料的图形;
形成在衬底上且具有与衬底的晶格常数/结构失配的缓冲层;以及
形成在缓冲层上的弛豫层,此弛豫层的顶部表面使应变材料处于拉伸状态或压缩状态的其中之一,
其中,弛豫层包含具有与应变材料的晶格常数/结构失配的材料,
其中,形成缓冲层的材料的浓度从衬底附近的本底浓度提高到弛豫层附近的基准浓度。
22.权利要求21的电器件,还包含形成在衬底中且包围缓冲层的凹陷,此凹陷具有侧壁。
23.权利要求22的电器件,还包含形成在侧壁上的绝缘层。
24.权利要求21的电器件,其中,弛豫层和缓冲层选自碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1;以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1。
25.权利要求21的电器件,其中,形成弛豫层的材料在缓冲层附近具有第二本底浓度,此第二本底浓度大致等于基准浓度。
26.权利要求23的电器件,其中,形成绝缘层的材料是氧化物和氮化物之一。
27.权利要求23的电器件,其中,部分衬底形成了弛豫材料并位于绝缘材料范围以外。
28.权利要求21的电器件,还包含:
形成在应变材料中的应变器件;以及
形成在弛豫材料中的非应变器件。
29.权利要求23的电器件,其中,弛豫材料被形成在绝缘层范围内的凹陷中。
30.权利要求29的电器件,其中,弛豫层和缓冲层各选自碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1;以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1。
31.一种电器件,它包含:
形成在衬底上的应变材料和弛豫材料的图形;
形成在第一应变材料中的第一器件;以及
形成在弛豫材料中的第二器件。
32.权利要求31的电器件,还包含:
形成为与部分衬底接触的缓冲层,此缓冲层具有与衬底的晶格常数/结构失配;
形成在缓冲层上的弛豫层;
形成在弛豫层顶部表面上的应变材料,其中,此弛豫层使应变材料处于拉伸或压缩状态的其中之一;以及
在应变材料附近的图形化的非应变材料。
33.权利要求31的电器件,其中,形成在应变材料中的第一器件是逻辑器件,且形成在非应变材料中的第二器件是对缺陷敏感的器件。
34.权利要求32的电器件,其中,弛豫材料包含具有与应变材料的晶格常数/结构失配的材料。
35.权利要求32的电器件,其中,缓冲层和弛豫层选自碳化硅(SiC);硅锗(SiGe);AlX1GaX2InX3AsY1PY2NY3SbY4,其中,X1、X2、X3、Y1、Y2、Y3、Y4表示各大于或等于0的相对比例,且X1+X2+X3+Y1+Y2+Y3+Y4=1;以及ZnA1CdA2SeB1TeB2,其中,A1、A2、B1、B2是各大于或等于0的相对比例,且A1+A2+B1+B2=1。
36.权利要求32的电器件,其中,形成缓冲层的材料的浓度从衬底附近的本底浓度提高到弛豫层附近的基准浓度。
37.权利要求32的电器件,其中,应变材料是掺碳的半导体材料或掺锗的半导体材料。
38.权利要求31的电器件,还包含:
形成在应变材料和非应变材料附近的第二应变材料,其中,应变材料处于拉伸或压缩状态,分别地,第二应变材料处于压缩或拉伸状态。
39.权利要求31的电器件,其中,应变材料是掺碳的材料或掺锗的材料。
40.权利要求31的电器件,还包含:
形成在衬底中的凹陷,此凹陷具有侧壁,其中,应变材料被形成在侧壁范围内,并且是掺碳的材料或掺锗的材料。
41.权利要求40的电器件,其中,侧壁具有绝缘层,且应变材料被形成在绝缘层范围内。
CNB2005100082587A 2004-07-23 2005-02-07 图形化应变的半导体衬底和器件 Active CN100385615C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,608 2004-07-23
US10/710,608 US7384829B2 (en) 2004-07-23 2004-07-23 Patterned strained semiconductor substrate and device

Publications (2)

Publication Number Publication Date
CN1725437A true CN1725437A (zh) 2006-01-25
CN100385615C CN100385615C (zh) 2008-04-30

Family

ID=35657774

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100082587A Active CN100385615C (zh) 2004-07-23 2005-02-07 图形化应变的半导体衬底和器件

Country Status (4)

Country Link
US (4) US7384829B2 (zh)
JP (1) JP5373247B2 (zh)
CN (1) CN100385615C (zh)
TW (1) TWI353653B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956497A (zh) * 2011-08-30 2013-03-06 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2872626B1 (fr) * 2004-07-05 2008-05-02 Commissariat Energie Atomique Procede pour contraindre un motif mince
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
WO2006125040A2 (en) * 2005-05-17 2006-11-23 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities related methods for device fabrication
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP5481067B2 (ja) * 2005-07-26 2014-04-23 台湾積體電路製造股▲ふん▼有限公司 代替活性エリア材料の集積回路への組み込みのための解決策
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US7777250B2 (en) * 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
DE102006051492B4 (de) * 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
WO2008054678A1 (en) * 2006-10-31 2008-05-08 Advanced Micro Devices Inc. A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) * 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
JP5380794B2 (ja) * 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US20100072515A1 (en) * 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
DE102008049717B4 (de) * 2008-09-30 2010-10-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements als Transistor mit einem Metallgatestapel mit großem ε und einem kompressiv verspannten Kanal
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
JP5562696B2 (ja) * 2009-03-27 2014-07-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
CN102379046B (zh) * 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US8367494B2 (en) 2011-04-05 2013-02-05 International Business Machines Corporation Electrical fuse formed by replacement metal gate process
US8829585B2 (en) * 2011-05-31 2014-09-09 International Business Machines Corporation High density memory cells using lateral epitaxy
DE102011107657A1 (de) * 2011-07-12 2013-01-17 Nasp Iii/V Gmbh Monolithische integrierte Halbleiterstruktur
US8471342B1 (en) * 2011-12-09 2013-06-25 GlobalFoundries, Inc. Integrated circuits formed on strained substrates and including relaxed buffer layers and methods for the manufacture thereof
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
US8994085B2 (en) 2012-01-06 2015-03-31 International Business Machines Corporation Integrated circuit including DRAM and SRAM/logic
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
JP5695614B2 (ja) * 2012-08-22 2015-04-08 ルネサスエレクトロニクス株式会社 半導体装置
US8716751B2 (en) 2012-09-28 2014-05-06 Intel Corporation Methods of containing defects for non-silicon device engineering
CN103779221A (zh) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US8785907B2 (en) * 2012-12-20 2014-07-22 Intel Corporation Epitaxial film growth on patterned substrate
KR102021765B1 (ko) 2013-06-17 2019-09-17 삼성전자 주식회사 반도체 장치
WO2014209398A1 (en) 2013-06-28 2014-12-31 Intel Corporation Making a defect free fin based device in lateral epitaxy overgrowth region
US9425042B2 (en) * 2013-10-10 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Hybrid silicon germanium substrate for device fabrication
US20150194307A1 (en) * 2014-01-06 2015-07-09 Globalfoundries Inc. Strained fin structures and methods of fabrication
KR102083632B1 (ko) 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9601583B2 (en) * 2014-07-15 2017-03-21 Armonk Business Machines Corporation Hetero-integration of III-N material on silicon
US9437680B1 (en) 2015-03-31 2016-09-06 International Business Machines Corporation Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
US10361219B2 (en) * 2015-06-30 2019-07-23 International Business Machines Corporation Implementing a hybrid finFET device and nanowire device utilizing selective SGOI
TWI677098B (zh) 2015-10-02 2019-11-11 聯華電子股份有限公司 鰭狀場效電晶體及其製造方法
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US9842929B1 (en) * 2016-06-09 2017-12-12 International Business Machines Corporation Strained silicon complementary metal oxide semiconductor including a silicon containing tensile N-type fin field effect transistor and silicon containing compressive P-type fin field effect transistor formed using a dual relaxed substrate
US9773870B1 (en) * 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US10475930B2 (en) * 2016-08-17 2019-11-12 Samsung Electronics Co., Ltd. Method of forming crystalline oxides on III-V materials
WO2018101278A1 (ja) * 2016-11-30 2018-06-07 株式会社リコー 酸化物又は酸窒化物絶縁体膜形成用塗布液、酸化物又は酸窒化物絶縁体膜、電界効果型トランジスタ、及びそれらの製造方法
US10593672B2 (en) 2018-01-08 2020-03-17 International Business Machines Corporation Method and structure of forming strained channels for CMOS device fabrication

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (de) 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
WO1999014804A1 (en) * 1997-09-16 1999-03-25 Massachusetts Institute Of Technology CO-PLANAR Si AND Ge COMPOSITE SUBSTRATE AND METHOD OF PRODUCING SAME
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
JP4521542B2 (ja) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
JP3512701B2 (ja) * 2000-03-10 2004-03-31 株式会社東芝 半導体装置及びその製造方法
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP2003158075A (ja) * 2001-08-23 2003-05-30 Sumitomo Mitsubishi Silicon Corp 半導体基板の製造方法及び電界効果型トランジスタの製造方法並びに半導体基板及び電界効果型トランジスタ
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2002190599A (ja) * 2000-12-20 2002-07-05 Toshiba Corp 半導体装置及びその製造方法
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6852602B2 (en) * 2001-01-31 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor crystal film and method for preparation thereof
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
JP3875040B2 (ja) * 2001-05-17 2007-01-31 シャープ株式会社 半導体基板及びその製造方法ならびに半導体装置及びその製造方法
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US6891209B2 (en) * 2001-08-13 2005-05-10 Amberwave Systems Corporation Dynamic random access memory trench capacitors
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
AU2003243255A1 (en) * 2002-05-15 2003-12-02 The Regents Of The University Of California Method for co-fabricating strained and relaxed crystalline and poly-crystalline structures
JP2004165197A (ja) * 2002-11-08 2004-06-10 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
JP2004193203A (ja) * 2002-12-09 2004-07-08 Matsushita Electric Ind Co Ltd 電界効果トランジスタおよびその製造方法
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
WO2004064148A1 (en) * 2003-01-08 2004-07-29 International Business Machines Corporation High performance embedded dram technology with strained silicon
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
JP4177775B2 (ja) * 2004-03-16 2008-11-05 株式会社東芝 半導体基板及びその製造方法並びに半導体装置
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956497A (zh) * 2011-08-30 2013-03-06 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN102956497B (zh) * 2011-08-30 2015-04-29 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法

Also Published As

Publication number Publication date
TWI353653B (en) 2011-12-01
US9053970B2 (en) 2015-06-09
US7682859B2 (en) 2010-03-23
US20080061317A1 (en) 2008-03-13
JP2006041516A (ja) 2006-02-09
US20060019462A1 (en) 2006-01-26
US20080135874A1 (en) 2008-06-12
US7384829B2 (en) 2008-06-10
US20100109049A1 (en) 2010-05-06
CN100385615C (zh) 2008-04-30
JP5373247B2 (ja) 2013-12-18
US9515140B2 (en) 2016-12-06
TW200620554A (en) 2006-06-16

Similar Documents

Publication Publication Date Title
CN1725437A (zh) 图形化应变的半导体衬底和器件
US10535586B2 (en) Robust through-silicon-via structure
US8288268B2 (en) Microelectronic structure including air gap
US9263528B2 (en) Method for producing strained Ge fin structures
CN1858898A (zh) 浅渠沟隔离结构的制造方法以及半导体结构
CN1794442A (zh) 半导体结构及其制造方法
US10615072B2 (en) Structure having isolated deep substrate vias with decreased pitch and increased aspect ratio and related method
CN101064286A (zh) 高性能应力增强mosfet及制造方法
CN101075585A (zh) 半导体结构及其制造方法
CN1783452A (zh) 形成构图的绝缘体上硅衬底的方法
US8691661B2 (en) Trench with reduced silicon loss
US20130175671A1 (en) Methods for processing a semiconductor wafer, a semiconductor wafer and a semiconductor device
WO2007117779A2 (en) Semiconductor device with a multi-plate isolation structure
CN101064285A (zh) 高性能应力增强mosfet及制造方法
KR20200036696A (ko) 감소된 워피지 및 더 나은 트렌치 충전 성능을 갖는, 반도체 디바이스를 제조하는 방법
CN111883417B (zh) 一种三维存储器的制造方法
CN101944538A (zh) 半导体结构及其制造方法
CN1934696A (zh) 在电接触的被掩埋材料上具有有源区的横向介电隔离的集成电路以及制造方法
EP0391056A2 (en) Dielectrically isolated semiconductor device and method
EP2232533A1 (en) High aspect ratio holes or trenches
US8735259B2 (en) Method of producing insulation trenches in a semiconductor on insulator substrate
CN111987109B (zh) 半导体结构及其制造方法
EP4307350A2 (en) Compound semiconductor-based devices with stress-reduction features
US20240096620A1 (en) Method of filling a trench formed in a semiconductor substrate
US20170154808A1 (en) Substrates with Buried Isolation Layers and Methods of Formation Thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20170109

Address after: The Cayman Islands (British) Grand Cayman

Patentee after: INTERNATIONAL BUSINESS MACHINES Corp.

Address before: American New York

Patentee before: Globalfoundries second U.S. Semiconductor Co.,Ltd.

Effective date of registration: 20170109

Address after: American New York

Patentee after: Globalfoundries second U.S. Semiconductor Co.,Ltd.

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180329

Address after: Ontario, Canada

Patentee after: International Business Machines Corp.

Address before: The Cayman Islands (British) Grand Cayman

Patentee before: INTERNATIONAL BUSINESS MACHINES Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180625

Address after: Hsinchu, China Taiwan Hsinchu Science Park Industrial force line six 8

Patentee after: Taiwan Semiconductor Manufacturing Co.,Ltd.

Address before: Ontario, Canada

Patentee before: International Business Machines Corp.