CN1726302B - 对具有涂层的处理腔组件进行清洁的方法 - Google Patents

对具有涂层的处理腔组件进行清洁的方法 Download PDF

Info

Publication number
CN1726302B
CN1726302B CN2003801065465A CN200380106546A CN1726302B CN 1726302 B CN1726302 B CN 1726302B CN 2003801065465 A CN2003801065465 A CN 2003801065465A CN 200380106546 A CN200380106546 A CN 200380106546A CN 1726302 B CN1726302 B CN 1726302B
Authority
CN
China
Prior art keywords
assembly
metallic coating
coating
treating chamber
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2003801065465A
Other languages
English (en)
Other versions
CN1726302A (zh
Inventor
H·王
Y·何
C·C·斯托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guentner Global Technology Co
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1726302A publication Critical patent/CN1726302A/zh
Application granted granted Critical
Publication of CN1726302B publication Critical patent/CN1726302B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24CABRASIVE OR RELATED BLASTING WITH PARTICULATE MATERIAL
    • B24C1/00Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods
    • B24C1/06Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods for producing matt surfaces, e.g. on plastic materials, on glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/36Alkaline compositions for etching aluminium or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B7/00Working up raw materials other than ores, e.g. scrap, to produce non-ferrous metals and compounds thereof; Methods of a general interest or applied to the winning of more than two metals
    • C22B7/006Wet processes
    • C22B7/007Wet processes by acid leaching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P10/00Technologies related to metal processing
    • Y02P10/20Recycling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Abstract

在一种清洁和修整一个具有金属涂层的处理腔组件的方法中,其中金属涂层上具有一个表面,所述金属涂层的表面被浸入一种酸性溶液中,以从所述表面除去至少一部分的处理沉积物。此后,所述金属涂层表面被浸入到一种碱性溶液中,以基本上除去所有的金属涂层。可选地,可对所述组件进行喷丸处理以使所述组件的表面粗糙,并重新形成所述金属涂层。

Description

对具有涂层的处理腔组件进行清洁的方法
技术领域
本发明的实施方式涉及一种清洁和修整处理腔组件的方法。
背景技术
可在激励处理气体中(例如等离子体中)利用衬底处理腔来处理衬底以制造电子电路,例如集成电路芯片和显示器。典型地,处理腔包括一个包围处理区的围壁,处理气体被引入处理区中;一个激励处理气体的气体激励器;以及一个排放系统,其排放和控制处理腔中处理气体的压力。处理腔可被用于,例如将材料沉积到衬底上或者从衬底蚀刻材料。例如,处理腔可被用于将材料溅射沉积到衬底上,例如金属(例如铝、铜或者钽)或者金属化合物(例如氮化钽或者氮化钛)。
暴露在处理腔中的处理腔组件(例如腔侧壁、顶板、衬垫或者沉积环的表面)通常被涂覆一个涂层,例如该涂层可用于增强溅射材料与涂层的附着力,以增强下层材料对于处理腔中等离子体的抗蚀性,或者提供某些其他需要的属性,例如具有导电表面。例如,处理腔组件可由喷射涂覆有铝涂层的氧化铝或石英和等离子体制造。在另一个实施例中,由金属制造的组件可用陶瓷涂层涂覆,例如氧化铝涂层。
这些具有涂层的组件通常需要频繁的清洁和修整,以保持它们设计特性。例如,当在PVD工艺中使用这样的处理腔组件以将沉积材料靶溅射到衬底上时,被溅射的材料也累积在组件的表面上。累积的处理沉积物能导致热膨胀压力,而热膨胀压力导致下面的涂层与下层的结构分离、分解和剥落。处理腔中的等离子体能够穿透涂层的受损区域来腐蚀下层结构的暴露表面,甚至导致组件失效。典型地,在处理了大量衬底之后,实施修整工艺来清洁和修整具有涂层的组件。修整工艺可包括除去处理沉积物,例如已经累积在涂层表面上的溅射的材料,也包括用新鲜的涂层重新涂覆组件。修整工艺减少了在衬底的处理期间发生涂层从组件剥落或者脱落,因此减少了在腔中被处理衬底的污染。
在一种传统的修整工艺中,“剥离”(1ift-off)工艺被用于从有涂层的组件除去涂层和清洁组件的表面。在典型的“剥离”工艺中,通过从处理沉积物的下面除去涂层,处理沉积物被至少部分地除去,类似在“The Science and Engineering of Microelectronic Fabrication”,byStephen A.Campbell,Oxford University Press,1996,pages 274-275和“Silicon Processing for the VLSI Era,Volume 1:Process Technology”,byStanley Wolf and Richard N.Tauber,Lattice Press,1986,pages 535-537中描述的方法,在此这两篇文献全部并入作为参考。
在一类传统剥离修整工艺中,其上具有处理沉积物的有涂层的组件首先被浸入到一种剥离或者分解涂层的碱性溶液中(例如KOH),从而除去覆盖的处理沉积物,留下无遮蔽的、没有涂层的组件.在这个步骤之后,组件的无遮蔽表面被进一步清洁,通过将所述表面浸入酸性溶液(例如HF和HNO3溶液)中来除去残留的处理沉积物.随后可再次将涂层涂覆在剥离工艺中清洁的组件上.然而,上述工艺可导致被修整的组件具有不希望的低部件寿命,这至少部分是由于在涂层已经被除去之后,酸性的HF和HNO3溶液对组件的裸露表面的腐蚀行为.酸性溶液化学地攻击组件的裸露表面并破坏所述表面.随后涂覆到受损组件表面的涂层的附着力很弱,因而可能从组件表面脱落或者剥落.
此外,传统的剥离工艺也不能除去金属间(intermetallic)层,金属间层能够在涂层和一个下层金属组件之间的界面上形成,且被认为是由处理腔中的部件的热循环造成的。这个金属间层能够弱化涂层和下层组件之间的粘合界面,并且这种金属间层的堆积可使部件寿命减少。
因此,希望获得一种能够修整和清洁组件的工艺,从而在衬底处理环境中提供具有理想表面属性的组件。此外还希望获得一种修整和清洁组件的方法,以在制造工艺中提供长寿命组件,在该制造工艺中大量的溅射材料可能沉积在组件上。
发明内容
在一个实施方式中,一种清洁和修整一个具有金属涂层的处理腔组件的方法,其中金属涂层上具有一个表面,所述方法包括将金属涂层的表面浸入一种酸性溶液中,以从所述表面除去至少一部分的处理沉积物,并且随后将所述金属涂层表面浸入一种碱性溶液中,以基本上除去所有的金属涂层。
一种修整处理腔组件的方法,其中所述处理腔组件包括其上具有金属涂层的下层陶瓷结构,所述方法包括将金属涂层的表面浸入包括HF和HNO3的酸性溶液中,以从所述表面除去至少一部分的处理沉积物,并且随后将所述金属涂层表面浸入到一种包括KOH的碱性溶液中以基本除去所有的金属涂层,从而使下层结构的下层表面中的至少一部分暴露出来。可选地,所述方法进一步包括对所述下层结构的顶表面进行喷丸处理,以使下层表面的平均粗糙度小于约150微英寸,并在所述下层表面的至少一部分上重新形成金属涂层。
一种修整处理腔组件的方法,其中所述处理腔组件包括其上具有金属涂层的下层陶瓷结构,所述方法包括将金属涂层的表面浸入包括HF和HNO3中至少一种的酸性溶液中,以从所述表面除去至少一部分的处理沉积物,并且随后将所述金属涂层的表面浸入一种包括KOH的碱性溶液中,以基本除去所有的金属涂层,从而使下层结构的下层表面中的至少一部分暴露出来。可选地,所述方法进一步包括对所述下层金属结构的下层表面进行喷丸处理,以使顶表面的平均粗糙度至少为约160微英寸,并在所述下层表面的至少一部分上重新形成金属涂层。
附图说明
根据下面的描述、所附的权利要求和附图,本发明的这些特征、方面和优点将被更好地理解,其中附图说明本发明的实施例。然而应该理解的是,可在本发明中一般地使用每个特征,而不是仅仅在特定附图的上下文中使用,且本发明包括这些特征的任意组合,其中:
图1是具有金属涂层的处理腔组件的示意性的截面侧视图;
图2是流程图,显示了根据本发明工艺的实施方式;
图3A是具有金属涂层的处理腔组件的示意性截面侧视图,其中金属涂层上有处理沉积物;
图3B是图3A的处理腔组件在除去处理沉积物之后的示意性截面侧视图;
图3C是图3B的处理腔组件在除去涂层之后的示意性截面侧视图;
图3D是图3C的具有金属间层的处理腔组件的示意性截面侧视图;
图4是一种包括有多处理腔平台的装置的实施方式的示意性截面顶视图,大量的互连PVD腔安装在该多处理腔平台上;
图5是适合处理衬底的PVD腔实施方式的示意性截面侧视图;
图6是能够操作图5的PVD腔的计算机程序实施方式的层次控制结构的简化方块图;
图7是一个条形图,其比较了根据本工艺修整的组件上的金属涂层的粘合强度;
图8显示了与具有较低粘合强度的组件相比,修整涂层的较高标准化的粘合强度允许修整部件能够在回收利用之前处理更多数量的衬底;
图9是一个图表,显示了在根据传统工艺修整的组件和根据本方法修整的组件之间的等离子体功率小时的累积数量的不同。
具体实施方式
本工艺被用于修整和清洁处理腔的具有涂层的组件300,处理腔能够提供增强的抗化学腐蚀性和更好地阻止涂层304从组件300剥落。本工艺被用于修整处理腔36a中的一个或多个易于受腐蚀的组件300,例如,衬底支架18的组件300。在一个方案中,支架18的组件300包括一个或多个在沉积腔36a中使用的沉积环15或者覆盖环17。可被形成的其他处理腔组件300包括,例如,一部分处理腔围壁12,例如侧壁或者遮护板20、衬垫(未示出)或顶板13;一部分气体分配器39,例如气体入口33;一部分气体排放系统28和一部分气体激励器90。图1显示了依照本发明的方法的实施方式形成的组件300的截面视图。
在一个方案中,处理腔组件300包括涂覆金属涂层304的下层结构302。下层结构302可包括陶瓷下层结构203,例如氧化铝、氮化铝、碳化硅和氮化硅中的一种或多种。下层结构302也可包括金属下层结构,例如铝、钛、不锈钢、铜和钽中的一种或多种。下层结构302被修整和清洁以提供表面306,表面306的特性使得下层结构302和覆在上面的涂层304之间的粘合增强。例如,下层结构302的表面306可被清洁,以从下层结构302的表面306除去至少一部分的处理沉积物或者其他松散的颗粒,因此增强涂层304到表面306的粘附力。也可通过使表面306粗糙来加工表面306,以提供金属涂层304和下层结构302之间更强的粘附力。此外,下层陶瓷结构302的表面306可被清洁以从表面306除去松散粘合的颗粒或者受损的颗粒,在表面306上提供稳定的颗粒边界区域,其允许在下层结构302和覆在上面的涂层304之间形成适当强的粘合。
清洁和修整具有涂层的处理腔组件300的方法的实施方式显示在图2的流程图中。在这个实施方式中,具有涂层的处理腔组件300在第一个清洁步骤中被清洁,即将组件表面浸入一种酸性溶液中,以从所述表面除去至少一部分的处理沉积物,特别是非喷砂的、非涂覆的部分。随后执行第二个清洁步骤,即将组件表面浸入一种碱性溶液中,以从所述组件基本除去所有的涂层,因此暴露了所述组件的下层表面。优选地,完全从组件300上除去涂层。可选地,执行喷丸处理步骤以使下层表面粗糙,且涂层304在下层表面306的至少一部分上重新形成。
在第一个清洁步骤中,具有涂层的组件300的表面308被暴露给一种酸性溶液,该酸性溶液能够从组件300的表面308至少部分地除去处理沉积物309.表面308是整个组件300的表面,它是由没有涂层的表面部分305和具有涂层的表面部分307组成的.所述酸性溶液包括溶解的酸性物质,其能够与处理沉积物309发生反应并从组件300的所有表面308除去处理沉积物309,例如通过与处理沉积物309发生反应来形成在所述酸性溶液中容易溶解的物质.但是,所述酸性溶液不过度腐蚀,否则在处理沉积物309从组件300的那部分除去之后,会破坏组件300的表面305的暴露部分.这对于具有涂层的部分307不是问题,因为在这些部分,涂层本身保护下层的材料,甚至当处理沉积物被完全除去时.表面308可通过浸渍、浸入或者通过使部分表面308接触酸性溶液来暴露给酸性溶液.具有涂层的组件300的表面308可浸入到酸性溶液中从约3分钟到约15分钟,例如大约8分钟,但也可以浸入其他的时间,这取决于处理沉积物材料的厚度和成分.图3A显示了在用酸性溶液清洁之前的具有处理沉积物309的组件,图3B显示了在表面308被清洁之后的组件.
酸性溶液的成分是根据下层结构302的成分、涂层304和处理沉积物材料的成分选择的。在一个方案中,酸性溶液包括氢氟酸(HF)。氢氟酸可以与已经累积在下层结构302的表面306上的杂质发生反应并溶解这些杂质,例如SiO2、CaO或MgO,这些杂质可能出现在氧化铝陶瓷结构中。酸性溶液可附加地或者替代地包括非氟化酸,例如硝酸(HNO3)。非氟化试剂可提供不太腐蚀性的化学物质,其能够清洁和制备表面305而减少形成穿过下层结构302的腐蚀裂痕。其他合适的酸性物质可包括,例如HCL、H3PO4和H2SO4。此外,清洁表面308的酸性溶液可包括适当小浓度的酸性物质,以减少酸性溶液对组件300的腐蚀。酸性物质的合适浓度可以是小于15M(摩尔)的酸性物质,例如从约2M到约15M的酸性物质。对于包括氧化铝或者不锈钢下层结构302的具有涂层的组件300,合适的酸性溶液可包括从约2M到约8M的HF,例如约5M的HF,和从约2M到约15M的HNO3,例如约12M的HNO3。对于包括钛下层结构的具有涂层的组件300,合适的酸性溶液可包括从约2M到约10M的HNO3
在第一个清洁步骤之后执行的第二个清洁步骤中,组件300的表面308被浸入一种碱性溶液中,该碱性溶液能够从组件300基本除去所有的金属涂层304。所述碱性溶液包括碱性物质的一种成分,碱性物质能够从组件的下层结构302剥离或者除去涂层304,例如通过与金属涂层的表面307发生化学反应和继续溶解表面307,直到整个涂层304被从组件300除去。所述组件的表面308能够被浸入到所述碱性溶液中一段时间,这段时间足以至少部分地暴露下层结构302的下层表面306的一部分,甚至基本除去整个涂层304,以基本暴露整个下层表面306。组件300的表面308可被再次浸入到所述碱性溶液中从约5分钟到约100分钟,例如约60分钟,合适的时间取决于处理沉积物的厚度和化学成分。图3C显示了在碱性溶液中除去涂层304之后的组件300。
碱性溶液的成分理想地包括能够基本除去至少部分涂层304,而不破坏下层结构302的下层表面306的碱性物质。合适的碱性物质可包括KOH、NH4OH、NaOH和K2CO3之一或更多。在一个方案中,合适的碱性溶液包括实质由KOH组成的碱性物质。选择碱性物质的浓度以减少对下层结构302的破坏,并且也可根据从结构302除去涂层304的希望速度选择碱性物质的浓度。合适的碱性溶液的一个实施例包括从约1M到约8M,例如约3M的KOH。
已经发现执行这些清洁步骤的顺序对于增加被修整的组件300的部件寿命是重要的.以上述顺序执行清洁步骤能够保护下层表面306不被酸性溶液腐蚀.由于存在覆盖和保护部分下层表面306的涂层304,阻止了酸性溶液腐蚀陶瓷下层表面306.特别重要的是,当下层表面306包括粗糙的表面306时,该粗糙表面很容易被酸性溶液腐蚀.同时,酸性溶液从组件300的表面,例如没有被涂层304覆盖的任何相对光滑或者不粗糙的表面很好地清洁处理沉积物.后续由碱性溶液进行的清洁至少部分除去涂层304,且优选地由碱性溶液进行的清洁被用于完全除去涂层304,因此有效地基本清除了处理沉积物而不破坏下层表面306.相反,颠倒步骤的顺序和在用酸性溶液清洁涂层之前用碱性溶液除去涂层会使被粗糙化的下层表面306在第二个清洁步骤中易于受腐蚀性的酸性溶液的腐蚀,这能够破坏粗糙的下层表面306.因此,本方法使得能够基本清洁组件而不过度破坏下层表面306,并修整和复原组件以提供相对于由传统方法清洁的组件而言,具有增加的使用寿命的组件.这对于具有陶瓷下层结构的组件特别重要,因为在修整工艺中陶瓷结构可能被永久性损坏和不可修复.
可选地,也可通过对下层结构302的表面进行喷丸处理来对处理腔组件300进行处理。在第一和第二清洁步骤之后,表面306可选地被进行喷丸处理以除去下层结构302的表面306上的任何杂质,以及除去表面306上的任何松散或被破坏的颗粒,以提供有纹理的和粗糙化的表面306,其增强了涂层304到表面306的粘附力。在喷丸处理中,固体珠丸被适当高压力的空气推向表面306以使表面306粗糙化。珠丸可包括硬度大于下层结构302的材料,以允许珠丸侵蚀和粗糙化下层结构302的表面306,以形成有纹理的和粗糙表面306。合适的珠丸材料包括,例如氧化铝、玻璃、硅石或者硬塑料。在一个实施方式中,珠丸包括氧化铝砂粒,其具有适于对所述表面进行喷砂的筛孔尺寸,例如氧化铝颗粒砂粒的筛孔尺寸为150。喷丸处理可在例如包括封闭外壳的喷丸处理器(未示出)中进行。
对于包括陶瓷材料的下层结构302,已经发现相对温和的喷丸处理工艺可改善对表面306的处理,以清洁和处理表面306而不过度粗糙化表面306。这个发现是意料之外的,因为传统的处理工艺典型地利用侵蚀性的喷丸处理工艺来获得高度粗糙化的表面,以提供任何覆在上面的涂层304的更好的粘附性。但是已经发现,在喷丸处理工艺过程中过度粗糙化表面306实际对具有涂层的组件300的结构完整性有害,因为会在下层陶瓷结构302的表面306上形成微裂纹和受损的颗粒边界区域。涂覆在这种受损的表面306上的涂层304表现出降低的涂层粘附性,因为颗粒边界层可能被充分破坏,以至于在下层陶瓷结构302的表面的颗粒,从而粘附到表面306的涂层304变得松散,导致涂层304分离或者脱落。同样,在喷丸处理过程中形成的微裂纹和受损的颗粒边界层可在后续处理步骤例如湿法清洁期间恶化。
因此,在下层陶瓷结构的表面306的喷丸处理中,希望保持喷丸处理条件,以提供弱侵蚀性的喷丸处理工艺,因而提供较低的表面粗糙度,例如平均粗糙度(Ra)小于约150微英寸,例如从约60微英寸到约140微英寸。表面306的平均粗糙度是从沿着粗糙化表面306的粗糙度特征的峰值和谷值的中线偏离的绝对值的平均。提供这个表面粗糙度的合适的喷丸处理条件可包括:用于把珠丸推向所述表面的气压从约30磅/平方英尺到约100磅/平方英尺,甚至从约40磅/平方英尺到约60磅/平方英尺;珠丸相对于所述表面的落下角度从约45度到约90度,甚至从约75度到约90度;珠丸从喷丸处理器到所述表面的行进的投射距离从约4英寸到约12英寸,甚至约5英寸到约8英寸。
相反,已经发现对于包括金属材料的下层结构302,希望提供侵蚀性相对较强的喷丸处理工艺.侵蚀性相对较强的喷丸处理工艺之所以是理想的,不仅因为它粗糙化了表面306以提供到涂层304的更好的粘附性,而且因为侵蚀性的喷丸处理工艺能够除去部分金属间层303,金属间层能303够在涂层304和下层金属结构302之间的界面306处形成.这个金属间层303,如图3D所示,能够包括来自涂层304和下层结构302的金属物质,其在涂层304和下层结构302之间的界面306处形成金属物质和化合物的无序混合物.人们认为在处理腔操作期间,具有涂层的组件300的热循环导致了金属涂层和下层结构的分裂,和分裂的金属物质到界面306的迁移.在涂层304和下层结构302之间形成的金属间层303减少了表面306和涂层304之间的接触面积,因此减少了涂层304与表面306的粘附性.
因此,在下层金属结构的表面306的喷丸处理中,希望保持喷丸处理条件,以提供侵蚀性相对较强的喷丸处理工艺,从而提供较高的表面粗糙度,以基本除去金属间层303并准备把表面302粘合到涂层304。可保持喷丸处理条件,以提供平均粗糙度(Ra)为至少约160微英寸,甚至为至少约170微英寸,例如从约175微英寸到约350微英寸的表面306。提供这个表面粗糙度的合适的喷丸处理条件可包括:用于把珠丸推向所述表面的气压从约20磅/平方英尺到约120磅/平方英尺,甚至从约60磅/平方英尺到约80磅/平方英尺;珠丸相对于所述表面的落下角度从约45度到约90度,甚至从约50度到约70度;珠丸从喷丸处理器到所述表面的行进的投射距离从约4英寸到约10英寸,甚至约4英寸到约6英寸。在一个方案中,包括不锈钢的下层结构302的表面306被进行喷丸处理,以达到从约175微英寸到约250微英寸的平均粗糙度,例如约210微英寸。在另一个方案中,包括钛的下层结构的表面306被进行喷丸处理,以达到从约250微英寸到约350微英寸的平均粗糙度,例如约300微英寸。
在测量表面306的属性例如平均粗糙度时,可以使用国际标准ANSI/ASME B.46.1-1995,其详细说明了适当的断开(cut-off)长度和估计长度的。以下的表1显示了由这个标准限定的平均粗糙度值、适当的断开长度值和最小和典型的估计长度值之间的对应:
表1
  平均粗糙度   断开长度   最小的估计长度   典型的估计长度
  0-0.8微英寸   0.003英寸   0.016英寸   0.016英寸
  0.8-4微英寸   0.010英寸   0.050英寸   0.050英寸
 4-80微英寸   0.030英寸   0.160英寸   0.160英寸
 80-400微英寸   0.100英寸   0.300英寸   0.500英寸
 400微英寸及以上   0.300英寸   0.900英寸   1.600英寸
可用表面光度仪来测量平均粗糙度,表面光度仪使一个针在表面306上通过,从而产生表面306上的粗糙度的波动轨迹,或者用扫描的电子显微镜测量平均粗糙度,电子显微镜使用从表面306反射的电子束来生成表面306的图像。
一旦已经通过酸性溶液和碱性溶液步骤清洁了下层结构302,金属涂层304就形成在下层结构302的至少一部分之上。涂层304可包括一种或多种金属,这些金属对于衬底处理腔36a中的腐蚀具有足够的抗蚀性,例如铝、钛、铜或者铬中的一种或多种。金属涂层304被形成以保护下层结构302不受腐蚀,例如被处理腔36a中的激励气体腐蚀,并且可被一个方法应用,该方法提供了金属涂层304和下层结构302之间的强粘合。例如,涂层304可由一个或多个化学或物理沉积工艺涂覆,或者由火焰喷射或热喷射方法涂覆,例如双股线电弧喷射方法、等离子体电弧喷射方法或者氧燃料气体火焰。
在一个方案中,金属涂层被双股线电弧喷射工艺涂覆到已清洁的表面,例如在2001年5月8日授予Lazarz等人的美国6227435B1号专利和1997年12月9日授予Scruggs的美国5695825号专利中描述的,它们都在此全部并入作为参考。在双股线电弧热喷射工艺中,热喷射器(未示出)包括两个自耗电极,它们的形状和角度被设计成在其间形成电弧。例如,自耗电极可包括由将涂覆在表面306上的金属形成的双股线,它们被转动角度朝向彼此,以允许靠近最近的点来形成放电。当电压被施加到自耗电极时,电弧发电在自耗电极之间产生,因为载气例如空气、氮气或者氩气中的一种或多种在电极之间流动。电极之间的电弧雾化且至少部分液化电极上的金属,且由电弧电极激励的载气把熔化的粒子推出热喷射器,推向下层结构302的被处理表面306。熔化的粒子撞击在下层结构302的表面306上,在此处它们冷却和冷凝,以形成共形的涂层304。当使用双股线时,电线被连续送入热喷射器中,以连续供应金属材料。
在热喷射期间选择操作参数,以适于调整涂层材料涂覆的特性,例如当涂层材料横穿从热喷射器到下层结构表面306的路径时的温度和速度。例如,气体流、功率级、粉末输送速度、载气流、从热喷射器到所述表面的投射距离、涂层材料相对于表面306的沉积角度可以被调整,以改善涂层材料的涂覆和涂层304到表面306的后续粘附性。例如自耗电极之间的电压可以从约10伏特到约50伏特选择,例如30伏特。此外,在自耗电极之间流动的电流可从约100安培到约1000安培选择,例如约300安培。等离子体焰炬的功率级通常是从约6千瓦到80千瓦的范围,例如约10千瓦。
投射距离和沉积角度能够被选择以调整表面上的涂层材料的沉积特征。例如,投射距离和沉积角度能够被调整以修改图案,在其中熔化的涂层材料撞击表面306时,其泼溅形成,例如“扁平形”和“薄片”图案。投射距离和沉积角度也能够被调整以改变当涂层材料撞击表面306时的相位、速度或者熔滴尺寸。在一个实施方式中,热喷射器和所述表面的之间的投射距离从是约5英寸,且涂层材料在表面306上的沉积角度是约90度。
涂层材料的速度可被调整以适合沉积涂层材料到表面306上。在一个实施方式中,成粉末的涂层材料的速度是从约100m/s到约300m/s。同样,热喷射器可被调整,使得当涂层材料撞击表面306时,其温度至少是大约熔化温度。熔点之上的温度能够产生高密度和高粘合强度的涂层。例如,放电周围的被激励的载气的温度可超过5000EC。但是,放电周围的被激励的载气的温度也可被设置得足够低,使得涂层材料在撞击表面306时仍然熔化一段时间。例如,合适的时间段可以是至少约几秒。
希望能够将热喷射工艺参数选择为,能够提供具有希望的结构和表面特性的金属涂层304,例如希望的涂层厚度、涂层表面粗糙度和涂层304的孔隙度,这些特性有助于改善具有涂层的组件300的性能.涂层的厚度影响涂层粘合到下层结构的程度和组件300的抗蚀性.更厚的涂层304也可在第一个清洁步骤中禁止酸性溶液过度腐蚀性地侵蚀下层结构302.涂层的合适厚度可以是,例如从约5密耳到约20密耳.对于被铝涂层304覆盖的下层金属结构302,例如有涂层的不锈钢或者钛结构302,涂层304的合适厚度是从约8密耳到约15密耳,例如约12密耳.对于被铝涂层304覆盖的下层陶瓷结构302,例如有涂层的氧化铝结构302,涂层304的合适厚度是从约5密耳到约12密耳,例如约9密耳.
通过允许更多的处理沉积物粘附到粗糙化的表面308和禁止所述沉积物沉积到衬底上,具有平均表面粗糙度更高的表面308的涂层也可改善组件性能。合适的涂层粗糙度可以是至少约1000微英寸,例如从约1000微英寸到约2000微英寸。对于被铝涂层304覆盖的下层金属结构302,例如有涂层的不锈钢或者钛结构302,涂层表面308的合适平均粗糙度是从约1400微英寸到约2000微英寸,例如约1700微英寸。对于被铝涂层304覆盖的下层陶瓷结构302,例如有涂层的氧化铝结构302,涂层表面308的合适平均粗糙度是从约1000微英寸到约1500微英寸,例如约1250微英寸。涂层304的孔隙度也影响涂层304与下层表面302的粘附性。孔隙度是孔空隙的体积与涂层块体积的比值,且较大的孔隙度降低了涂层304到表面306的粘附性。因此,孔隙度理想地是小于约30%,甚至小于约25%,例如约20%。
此外,其他因素,例如组件表面预加工,包括喷丸处理和化学清洁,在涂层性能中也是重要的,如例如由Yongxiang He等人2001年12月21日提交的题为“METHOD OF FABRICATING A COATEDPROCESS CHAMBER COMPONENT”的10/032387号美国专利申请中描述的,其内容在此全部并入作为参考。例如,当一个下层陶瓷结构被修整时,该结构能够被无机碱例如DurocleanTM或者酸例如HCL化学清洁或者在连续的步骤中使用两者。喷丸处理陶瓷表面来清洁它可能也是必要的。
已经根据本工艺被清洁和修整的组件300显示了金属涂层304和下层结构302之间的粘合极大增强。例如,根据本工艺清洁和涂覆的组件300在溅射沉积腔36a中提供了增强的性能,其中在处理腔36a中形成的被溅射材料累积在组件300的暴露表面308上,达到至少约10密耳的厚度,甚至达到约40密耳,或者甚至达到约60密耳,而基本不导致金属涂层304从组件300脱落。此外,改进的修整工艺允许由涂层的组件300被修整和再次使用至少约10次,这是在组件包括下层金属结构302的情况下,且对于包括下层陶瓷结构302的组件300是至少约5次,而组件300基本不失效。相比之下,传统的修整工艺只允许组件300被修整和再次使用约5次或7次,这是对于下层金属结构302而言,而对于下层陶瓷结构302是2次或3次。
被处理和涂覆的组件300可被用于处理腔36a中,处理腔36a可以是多处理腔平台100的一部分,如图4所示。多处理腔平台100可以是,例如从Applied Materials,Santa Clara,California处获得的“ENDURA”系统.在此显示的平台100的具体实施方式适合处理平面的硅晶片衬底16,它仅仅是用于说明本发明,而不是用于限制本发明的范围.多处理腔平台100典型地包括一组互连的处理腔36a-d、114、102、118,以及包括机械臂装置132的衬底输送器,以在处理腔36a-d、114、102、118之间传送衬底16.机械臂装置132包括具有刀片134的机械臂,刀片134支撑和传送衬底16.装载锁定腔120、122接收包含衬底16的输送盒126.提供衬底定位和排气腔118以在预加工中定位衬底16用于处理,和给衬底16除气以从衬底16除去污染物,否则污染物破坏在处理腔36a-d中的高真空环境.预清洁腔114可被用于在任何沉积步骤之前清洁衬底16,及冷却腔102可被用于冷却衬底16.提供了一个工艺定序器136来控制机械臂装置132,例如安排机械臂装置132和各种处理腔36a-d、114、102、118之间传送衬底16的顺序.典型地,工艺定序器136控制机械臂装置132把衬底16从装载锁定腔120、122中的一个传送到定位和排气腔118,然后到预清洁腔114,然后到处理腔36a-d中的一个或多个,然后到冷却腔102.
多处理腔平台100具有至少一个PVD腔36a,如图5所示,以溅射沉积一个层例如钽、氮化钽或者铜到衬底16上。提供一个衬底支架18用于在PVD处理腔36a中支撑衬底16。衬底16通过处理腔36a的侧壁45中的衬底装载入口(未示出)被引入处理腔36a和被放置在支架18上。支架18能够被下面的支撑升降机(未示出)抬升或者降低且升降指示部件(也没有示出)能够用于在把衬底16送入和送出处理腔36a的传送过程中抬升或者降低支架上的衬底16。
支架18可包括一个或者多个环,例如沉积环15和覆盖环17,其覆盖了支架18的上表面19的至少一部分,以抑制支架18的腐蚀。例如,沉积环15和覆盖环17可覆盖支架18上的静电夹盘(未示出)的至少一部分,以减少暴露静电夹盘给处理腔36a中的激励气体,从而减少沉积在静电夹盘上的粒子。在一个方案中,沉积环15至少部分围绕衬底16,以保护没有被衬底16覆盖的部分支架18。覆盖环17可围绕至少部分沉积环15,并帮助减少在沉积环15和下面的支架18上的粒子沉积。根据本发明的一个方面,可根据本工艺处理和涂覆沉积环15和覆盖环17。由本工艺提供的强粘合的金属涂层304允许环15、17容纳更多数量的沉积物,而没有过度的热膨胀压力和不分离涂层304。因此,根据本工艺修整的沉积环15和覆盖环17可具有增强的抗蚀性和提供对下层衬底支架18的更好的腐蚀保护。
溅射气体供应器23把溅射气体引入处理腔36a中,以在处理区中保持溅射气体在大气压力之下。这个溅射气体通过气体入口33被引入处理腔36a中,气体入口33通过气体输入端25a、b分别被连接到一个或多个气体源24、27。一个或多个质量流量控制器26被用于控制单个气体的流速——在引入处理腔36a之前,它们在混合集合管31中被预混合,或者可能被单独引入处理腔36a中。溅射气体典型地包括非反应气体,例如氩或氙,当它们被激励成等离子体时,有力地撞击和粒子辐射靶14以从靶14溅射材料,例如,铜、钽或者氮化钽。溅射气体也可包括反应气体,例如氮。而且,包括其他反应气体或者其他类型的非反应气体的溅射气体的其他成分也可被使用,如对于本领域技术人员明显的。
排放系统28控制处理腔36a中溅射气体的压力和从处理腔36a排放剩余的气体和副产品气体。排放系统28包括处理腔36a中的排放口29,其连接到排放线34,排放线34通到一个或多个排放泵29。排放线34中的节流阀37可被用于控制处理腔36a中溅射气体的压力。典型地,处理腔36a中溅射气体的压力被设成低于大气压的水平,例如从约2到约10毫托。
PVD腔36a进一步包括一个面对衬底16、包括钛的溅射靶14.如果需要,瞄准仪(未示出)可安装在靶14和衬底支架18之间.PVD腔36a也可具有遮护板20,以保护处理腔36a的壁12没有被溅射材料,且典型地用作阳极接地平面.遮护板20是电悬浮的或者接地的.靶14和处理腔36a电绝缘,且连接到一个电压电源,例如DC电源22,但也可是其他类型的电压电源,例如RF电源.在一个方案中,DC电源22、靶14和遮护板20作为气体激励器90工作,气体激励器90能够从等离子体激励溅射气体以溅射材料.相对于遮护板20,DC电源22可将DC电压(例如作为DC电压脉冲)施加到靶14.在处理腔36a中由施加到溅射靶14的电压产生的电场激励溅射气体,以形成从靶14溅射材料的等离子体.由等离子体从所述靶溅射的材料沉积在衬底16上,并可与等离子体的气体组成成分反应,以在衬底16上形成沉积层.
遮护板20至少部分围绕衬底支架18,且在激励的溅射气体处理的衬底16和处理腔壁12之间形成屏障,保护处理腔壁。所述遮护板可包括延伸穿过处理腔36a的上部,例如壁12的上部的上遮护板21,且可包括下遮护板22,以保护处理腔36a的下部区域,例如壁12的底部。至少一部分遮护板20可涂覆金属涂层304,并能够根据本工艺被修整。因此在处理腔36a中的衬底16的处理期间,有涂层的遮护板20呈现了提高的工艺性能和抗蚀性。
处理腔36a进一步包括一个磁控管32,其包括一个磁场产生器35,磁场产生器35靠近处理腔36a的靶14产生磁场105,以增加邻接靶14的高密度等离子体区域38中的离子密度来增强靶材料的溅射。此外,可使用改进的磁控管32,以允许铜的持续自溅射或者铝、钛或其他金属的溅射,同时使得用于靶撞击目的所需的非反应气体最少,如授予Fu的、题为“Rotating Sputter Magnetron Assembly”的6183614号美国专利,以及授予Gopairaja等人的、题为“Integrated Process forCopper Via Filling”的6274008号美国专利所描述的,这两个专利在此全部并入作为参考。磁场105基本延伸穿过非磁靶14进入真空处理腔36a。在一个方案中,磁控管32在靶14处产生半环形的磁场。在一个实施方式中,磁控管32从靶14上的近似中心点垂直延伸到靶14的可用区域的边缘。在一个方案中,磁控管32包括一个电动机106来围绕旋转轴78旋转磁控管32。电动机106典型地通过轴104附加到磁控管32的磁轭98,轴104沿着旋转轴78延伸。
本发明的PVD工艺可使用计算机程序产品141实现,计算机程序产品141包括工艺定序器136,并在控制器30上运行,如图6所示,其包括用外围控制组件互连到存储系统的中央处理单元(CPU),例如可从California的Synergy Microsystems处购得的68400微处理器。所述计算机重新代码可用任何传统的计算机可读编程语言编写,例如汇编语言、C、C++或Pascal。可使用传统的文本编辑器将合适的程序代码输入一个文件或者多个文件中,并存储或者嵌入到计算机可读介质中,例如计算机的存储系统。如果输入的代码文本是高级语言,那么代码被编译,然后结果的编译器代码被链接到预编译的Windows库例程的目标代码。为了执行已链接的编译目标代码,系统用户调用目标代码,导致计算机系统把所述代码装入存储器中,CPU从存储器读取和执行代码,以执行程序中限定的任务。
用户输入一个工艺数据集和处理腔号码进入工艺选择器程序代码142。工艺数据集是在特定的处理腔中执行特定工艺所必需的工艺参数的预定集合,并且以预定的集号码标识。工艺参数涉及工艺状态,例如工艺气体成分和流速、温度、压力、气体激励工艺状态,例如非脉冲和脉冲的DC功率级和磁场功率级、冷却气体压力和处理腔壁温度。
工艺定序器136包括程序代码,其用于接受识别的处理腔36和来自工艺选择器程序代码142的工艺参数集,并控制各种处理腔36a-d的操作.多个用户可输入多个工艺数据集号码和处理腔号码,或者一个用户可输入多个工艺数据集号码和处理腔号码,所以工艺定序器136运行来以所需的顺序安排所选择的工艺.优选地,工艺定序器136包括程序代码以执行以下步骤:(i)监视处理腔36a-d的操作,以确定处理腔36a-d是否正在使用,(ii)确定在使用的处理腔36a-d中正在实施的是什么工艺,和(iii)基于特定的处理腔36的能力和要实施的工艺类型执行所需的工艺.可以使用监视处理腔36a-d的传统方法,例如轮询.当安排要执行哪一个工艺时,工艺定序器136能被设计以考虑到正在使用的处理腔36的当前状态和所选择工艺的理想工艺状态的比较,或者每个特定用户输入的请求的“年龄”,或者系统程序员希望包括的用于确定安排优先级的任何其他相关因素.
一旦工艺定序器136确定下一个将执行的是哪个处理腔和工艺数据集结合,定序器程序代码143通过把特定的工艺数据集参数传给腔管理器程序代码144来执行所述工艺数据集,腔管理器程序代码144根据由工艺定序器136确定的工艺数据集控制在不同处理腔36a-d中的多个处理任务。例如,腔管理器程序代码144包括在所述处理腔36a内控制PVD工艺操作的程序代码。腔管理器程序代码144还控制各种处理腔组件程序代码或者程序代码模块的执行,处理腔组件程序代码或者程序代码模块控制实施选择的工艺数据集所必需的处理腔组件300的操作。处理腔组件程序代码的实施例是衬底定位程序代码145、气流控制程序代码146、排放控制程序代码147、加热器控制程序代码148和电压电源控制程序代码149。本领域的普通技术人员容易地认识到,可包括其他的处理腔控制程序代码,这取决于希望在处理腔36a中执行什么工艺。
在运行中,腔管理器程序代码144根据正在执行的特定工艺数据集选择地调度或者调用工艺组件程序代码。类似于定序器程序代码143如何安排下一个要执行的是哪个处理腔36a-d和工艺数据集,腔管理器程序代码144调度工艺组件程序代码。典型地,腔管理器程序代码144包括以下步骤:监视各种处理腔组件300,基于要执行的工艺数据集的工艺参数确定需要操作哪个组件300,和响应监视和确定步骤,导致执行处理腔组件程序代码。
现在将描述特定的处理腔组件程序代码的运行。衬底定位程序代码145包括控制处理腔组件300的程序代码,组件300被用于把衬底16传送到衬底支架18上,和可选地抬升衬底16到处理腔36中希望的高度,以控制衬底16和靶之间的间距。当衬底16被传送到处理腔36a中时,衬底支架18被降低,以接收衬底16,此后支架18被抬升到处理腔36a中希望的高度。衬底定位程序代码145控制支架18的移动,以响应从腔管理器程序代码144传递来的、和支架高度相关的工艺数据集参数。
气流程序代码146是用于控制处理气体的成分和流速。通常,每个处理气体的气体管道34包括安全切断阀门(未示出),其被用于自动或者手动切断进入处理腔36a的处理气体流。当在工艺中使用有毒的气体时,在传统的配置中,几个安全切断阀门被放置在每个气体管道34中。气流程序代码146控制安全切断阀门的打开/关闭位置,并且升高/降低质量流量控制器,以获得希望的气体流速。气流程序代码146被腔管理器程序代码144调用,和所有的处理腔组件程序代码一样,并且从腔管理器程序代码接收与希望的气体流速相关的工艺参数。典型地,气流程序代码146的运行是重复读取必需的质量流量控制器、比较读数和从腔管理器程序代码144接收的希望流速,以及必要地调整流速。此外,气流程序代码146包括监视气体流速的不安全速度和当检测到不安全的状态时,激活安全切断阀门的步骤。在一个方案中,气流程序代码146操作质量流量控制器,以控制气体流速来提供溅射气体,溅射气体包括第一时间段的含氧气体与氩气的第一容积流比值和第二时间段的含氧气体与氩气的第二容积流比值。
当调用排放控制程序代码147时,从腔管理器程序代码144接收希望的压力级别作为参数.排放控制程序代码147的运行是,通过读取连接到处理腔36a的一个或多个传统的压力毫微表(未示出)来测量处理腔36a中的压力,比较测量的值和目标压力,获得对应于目标压力的来自存储的压力表的PID(成比例的、积分的、差分的)值,和根据从压力表获得的PID值调整排放系统28的节流阀37.替代地,可通过调节排放系统28的排放管道34中的节流阀37的开口大小来调节处理腔36a中的压力.
可选的加热器控制程序代码148包括控制可选的加热器(未示出)的温度的程序代码,加热器可用于加热衬底16。加热器控制程序代码148通过测量位于支架18中的热电耦(未示出)的电压输出来测量温度,比较测量的温度和设定点温度,和增加或减少施加到加热器的电流,以获得希望的上升率或设定点温度。通过在存储的转换表中查找对应的温度,或者通过使用四阶多项式计算温度来从测量的电压获得温度。当使用辐射灯作为加热器时,加热器控制程序代码148逐渐控制施加到所述灯的电流的上升和下降,这增加了灯的寿命和可靠性。此外,可包括内建的失效安全模式,以检测工艺安全符合性,和如果处理腔36a没有被正确设置则关闭加热器的操作。
电压电源程序代码149包括控制电压电源,例如DC电压电源的程序代码,以激励处理腔36a中的溅射气体以从靶14中溅射材料。例如,程序代码149可设置施加到靶14的脉冲DC电压电平,也可设置处理腔36a中的侧壁20的电状态。类似于前面描述的处理腔组件程序代码,程序代码149被腔管理器程序代码144调用。在运行中,程序代码149包括读取施加到靶14的“正向”功率和流过处理腔36a的“反射”功率的步骤。过高的反射功率读数表示等离子体还没有被引燃,且程序代码149重新启动或者关闭工艺。读取的功率级和目标水平相比较,其电流被调节以控制等离子体。
实施例
以下实施例说明了由本修整工艺提供的、优于传统工艺的增强的金属涂层粘附性和增加的部件寿命。
在这个实施例中,包括氧化铝的下层陶瓷结构302被涂覆包括铝的金属涂层304,和被用于加工衬底16。在加工6000至10000个衬底之后,所述组件随后根据本方法被修整,且修整工艺被重复5次。在每次修整工艺中,组件300的表面308首先被浸入一种包括5M HF和12M HNO3的酸性溶液8分钟。表面308随后被浸入一种包括3M KOH的碱性溶液7分钟,以基本除去涂层304。氧化铝下层结构302的表面然后被喷丸处理,以达到80微英寸的平均粗糙度。铝金属涂层304通过双股线电弧热喷射方法被涂覆到被处理的表面306,该方法使用双铝线作为自耗电极。铝材料被喷射到表面306上,以形成包括0.2mm厚的涂层304。
铝金属涂层304和氧化铝下层结构302之间的粘合强度被确定,并与根据传统工艺制备的组件300的粘合强度比较。根据ASTM C633测试标准确定粘合强度。使用ASTM测试标准,金属涂层304的表面308被粘合剂粘合到一个夹具。拉伸负荷经由所述夹具被正常施加到涂层304的表面,以确定涂层粘合强度。粘合强度或者粘结强度可根据以下方程确定:
1)粘合或粘结强度=最大负荷/横截面面积
图7演示了根据本工艺修整的组件300(标识为组件F),与由传统工艺涂覆的未修整组件300(标识为组件A-E)相比较的标准化的测量的粘合强度.如图所示,本修整工艺提供的组件300在涂层304和下层陶瓷结构302之间具有比新加工的组件更强的粘合力.例如,新制造的组件E显示的粘合强度只有本工艺的修整组件300的60%,而新制造的组件A、B和C显示的粘合强度小于本工艺的修整组件F的一半.
测量的粘合强度也使得可以估计组件300的零件寿命,零件寿命被定义成在需要除去或者修整组件300之前,可在处理腔36a中处理的衬底16的数量,其进一步证实了根据本工艺修整的组件300的提高的性能。组件A和B被估计具有大约3000个衬底的零件寿命,组件C和D被估计具有大约4000个衬底的零件寿命,组件E被估计具有至少约5500个衬底的零件寿命。相比而言,根据本工艺修整的组件F被估计具有极大增加的零件寿命,其中它能够用于处理至少7000个晶片,甚至被用于处理高达10000个晶片。图8演示了组件300的标准化粘合强度与在组件300失效之前可在处理腔36a中处理的衬底16的数量之间的相关性。
图9显示了根据本方法修整的组件不经过回收能够经受的等离子功率小时的累积数和根据传统工艺修整的组件能够经受的小时数的比较。组件的使用寿命可以千瓦小时测量,就是在必须回收或者修整组件之前,组件能够经受等离子体功率级的小时数的时间。在组件回收周期之间处理的衬底数量与组件看到的等离子体的千瓦小时成比例。因此,千瓦小时数越长,在组件的每个使用周期中将处理的衬底的数量越多。这通过提供有效处理衬底的更多时间减少了衬底制造成本。如图9所示,和由传统方法修整的组件相比,新修整的组件能够承受几乎两倍的等离子体千瓦小时。这个在工艺结果中显著的改进是意料之外的。
因此,通过根据本发明清洁下层结构302的表面306和涂覆表面306来修整组件300,增强了金属涂层304到表面306的粘合,因此增加了金属涂层-下层结构粘合的强度,并降低了组件300从下层结构302分离或者脱落金属涂层304的敏感度。根据本发明修整的组件300提供了在衬底处理环境中增强的抗蚀性和延长的零件寿命,因此提高了效率和处理的衬底16的质量。
虽然显示和描述了本发明的示例性实施方式,但本领域的普通技术人员可推导出结合本发明的其他实施方式,其也在本发明的范围内。例如,除了那些特别提到的介质,也可使用其他加工介质。同样,下层结构302和金属涂层304可包括除了那些特别提到之外的成分,这对于本领域的普通技术人员是明显的。此外,术语下、上、底、顶、向上、向下、第一、第二和其他相对的或者位置术语是相对于附图中的示例性实施方式而言的,并且是可互换的。因此,所附的权利要求不应该限于此处所述的用以说明本发明的优选方案、材料或者空间布局。

Claims (23)

1.一种清洁处理腔组件的方法,所述组件包括其上具有表面的金属涂层,所述表面包括处理沉积物,所述方法包括:
(a)将所述金属涂层的表面浸入一种酸性溶液中,以从所述表面除去至少一部分的处理沉积物,所述酸性溶液包括浓度低于约15M的酸性物质;并且
(b)在(a)之后,将所述金属涂层的表面浸入一种碱性溶液中,以除去所有所述金属涂层,而不破坏下层组件结构的下层表面,所述碱性溶液包括浓度为从约1M到约8M的KOH、NH4OH、NaOH和K2CO3之一或更多。
2.根据权利要求1所述的方法,其中(a)包括将所述表面浸入一种包括从约2M到约8M的HF的酸性溶液中。
3.根据权利要求1所述的方法,其中(a)包括将所述表面浸入一种包括从约5M到约15M的HNO3的酸性溶液中。
4.根据权利要求1所述的方法,其中所述组件包括其上具有金属涂层的下层陶瓷结构,且其中所述方法进一步包括:
(c)对所述组件进行喷丸处理,以提供平均粗糙度小于约150微英寸的表面。
5.根据权利要求1所述的方法,其中所述组件包括其上具有金属涂层的下层金属结构,且其中所述方法进一步包括:
(c)对所述组件进行喷丸处理,以提供平均粗糙度至少约160微英寸的表面。
6.根据权利要求1所述的方法,其中所述金属涂层包括铝、钛、铜和铬中的一种或多种。
7.根据权利要求6所述的方法,其中所述组件包括一个下层结构,该下层结构包括氧化铝、氮化铝、碳化硅、氮化硅、钛、不锈钢、铜或者钽。
8.一种根据权利要求1所述方法清洁的组件,所述组件包括一个或多个环,所述环的尺寸和形状被设计成至少部分围绕衬底并覆盖处理腔中衬底支架的上表面的至少一部分,以抑制所述支架的腐蚀。
9.一种根据权利要求1所述方法清洁的组件,所述组件包括上遮护板和下遮护板中一个或多个的一部分,所述遮护板的尺寸和形状被设计成至少部分围绕处理腔中的衬底支架,并形成屏障来保护腔壁。
10.根据权利要求1所述的方法,其中步骤(b)包括将所述表面浸入一种包括浓度为从约1M到约8M的KOH的碱性溶液中。
11.一种修整处理腔组件的方法,所述组件包括其上具有金属涂层的下层陶瓷结构,所述金属涂层包括处理沉积物,所述方法包括:
(a)将所述金属涂层的表面浸入一种包括HF和HNO3的酸性溶液中,所述酸性溶液的浓度为小于约15M,以从所述表面除去至少一部分的处理沉积物;
(b)在(a)之后,将所述金属涂层的表面浸入一种包括浓度为从约1M到约8M KOH的碱性溶液中,以除去所有所述金属涂层,从而暴露了所述下层结构的下层表面的至少一部分;以及
(c)在所述下层表面的至少一部分之上重新形成金属涂层。
12.根据权利要求11所述的方法,其中(a)包括将所述表面浸入一种包括从约2M到约8M的HF和从约5M到约15M的HNO3的酸性溶液中。
13.根据权利要求11所述的方法,其中所述金属涂层包括铝、钛、铜或铬中的一种或多种。
14.根据权利要求11所述的方法,其中所述下层陶瓷结构包括氧化铝、氮化铝、碳化硅和氮化硅。
15.根据权利要求11所述的方法,其中(c)包括重新形成一个金属涂层,其厚度从约6mm到约8mm,平均表面粗糙度从约1000微英寸到约1200微英寸,及孔隙度小于约30%。
16.一种用权利要求11所述的方法修整的处理腔组件,所述组件包括一个沉积环,其尺寸和形状被设计成至少部分围绕衬底,并覆盖处理腔中衬底支架的上表面的至少一部分来抑制所述支架的腐蚀。
17.根据权利要求11所述的方法,包括:在步骤(c)之前,对所述下层结构的所述下层表面进行喷丸处理,以提供平均粗糙度小于约150微英寸的下层表面。
18.一种修整处理腔组件的方法,所述组件包括其上具有金属涂层的下层金属结构,所述金属涂层包括处理沉积物,所述方法包括:
(a)将所述金属涂层的表面浸入一种包括HF和HNO3中至少一种的酸性溶液中,所述酸性溶液的浓度为小于约15M,以从所述表面除去至少一部分的处理沉积物;
(b)在(a)之后,将所述金属涂层的表面浸入一种包括的浓度为从约1M到约8M的KOH的碱性溶液中,以除去所有所述金属涂层,从而暴露了所述下层金属结构的下层表面的至少一部分;以及
(c)在所述下层表面的至少一部分之上重新形成金属涂层。
19.根据权利要求18所述的方法,其中(a)包括将所述表面浸入一种包括从约2M到约8M的HF和从约5M到约15M的HNO3的酸性溶液中。
20.根据权利要求18所述的方法,其中所述下层金属结构包括铝、钛、不锈钢、铜和钽中的至少一种。
21.根据权利要求18所述的方法,其中(c)包括重新形成一个金属涂层,其厚度从约8mm到约12mm,平均表面粗糙度从约1400微英寸到约1600微英寸,且孔隙度小于约30%。
22.一种用权利要求18所述方法修整的处理腔组件,所述组件包括以下部件中的至少一个:(1)遮护板的一部分,所述遮护板的尺寸和形状被设计成至少部分围绕处理腔中的衬底支架,并形成屏障来保护腔壁,和(2)一个覆盖环,其尺寸和形状被设计成至少部分围绕衬底,并覆盖处理腔中衬底支架的上表面的至少一部分,以抑制所述支架的腐蚀。
23.根据权利要求18所述的方法,包括:在步骤(c)之前,对所述下层结构的所述下层表面进行喷丸处理,以提供平均粗糙度小于约160微英寸的下层表面。
CN2003801065465A 2002-11-25 2003-11-12 对具有涂层的处理腔组件进行清洁的方法 Expired - Lifetime CN1726302B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/304,535 2002-11-25
US10/304,535 US6902628B2 (en) 2002-11-25 2002-11-25 Method of cleaning a coated process chamber component
PCT/US2003/036376 WO2004048636A2 (en) 2002-11-25 2003-11-12 Method of cleaning a coated process chamber component

Publications (2)

Publication Number Publication Date
CN1726302A CN1726302A (zh) 2006-01-25
CN1726302B true CN1726302B (zh) 2010-05-05

Family

ID=32325239

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2003801065465A Expired - Lifetime CN1726302B (zh) 2002-11-25 2003-11-12 对具有涂层的处理腔组件进行清洁的方法

Country Status (5)

Country Link
US (2) US6902628B2 (zh)
KR (1) KR101079217B1 (zh)
CN (1) CN1726302B (zh)
TW (1) TWI283886B (zh)
WO (1) WO2004048636A2 (zh)

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1411149A1 (de) * 2002-10-18 2004-04-21 Siemens Aktiengesellschaft Verfahren zum Entfernen eines Schichtbereichs eines Bauteils
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
TW568458U (en) * 2003-05-23 2003-12-21 Hon Hai Prec Ind Co Ltd Electrical connector
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7097713B2 (en) * 2003-08-19 2006-08-29 The Boc Group, Inc. Method for removing a composite coating containing tantalum deposition and arc sprayed aluminum from ceramic substrates
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
WO2005038079A1 (en) * 2003-09-25 2005-04-28 Honeywell International Inc. Pvd component and coil refurbishing methods
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7662435B2 (en) * 2003-11-12 2010-02-16 Intelligent Energy, Inc. Method for reducing coking in a hydrogen generation reactor chamber
JP4653406B2 (ja) * 2004-03-10 2011-03-16 株式会社アルバック 水崩壊性Al複合材料、水崩壊性Al溶射膜、及び水崩壊性Al粉の製造方法、並びに成膜室用構成部材及び成膜材料の回収方法
US7073522B2 (en) * 2004-04-05 2006-07-11 Quantum Global Technologies, Llc Apparatus for applying disparate etching solutions to interior and exterior surfaces
US20060188742A1 (en) * 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US8530790B2 (en) * 2005-09-12 2013-09-10 Lincoln Global, Inc. Method for extracting non-metallic weld metal inclusions
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8790499B2 (en) * 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US8030082B2 (en) * 2006-01-13 2011-10-04 Honeywell International Inc. Liquid-particle analysis of metal materials
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
TW200741022A (en) * 2006-03-14 2007-11-01 Applied Materials Inc Pre-conditioning a sputtering target prior to sputtering
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US20070289869A1 (en) * 2006-06-15 2007-12-20 Zhifei Ye Large Area Sputtering Target
US7514125B2 (en) 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7935242B2 (en) * 2006-08-21 2011-05-03 Micron Technology, Inc. Method of selectively removing conductive material
US20080085371A1 (en) * 2006-10-05 2008-04-10 General Electric Company Fixturing methods and apparatus for thermal spray systems and processes
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
US8252381B1 (en) * 2007-04-06 2012-08-28 CSL, Inc. Molecular coating on metal surfaces
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
TWI479559B (zh) * 2007-06-28 2015-04-01 Quantum Global Tech Llc 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備
JP4820783B2 (ja) * 2007-07-11 2011-11-24 昭和電工株式会社 磁気記録媒体の製造方法および製造装置
US8231736B2 (en) * 2007-08-27 2012-07-31 Applied Materials, Inc. Wet clean process for recovery of anodized chamber parts
WO2009086023A2 (en) * 2007-12-19 2009-07-09 Applied Materials, Inc. Methods for cleaning process kits and chambers, and for ruthenium recovery
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
US8291565B2 (en) * 2008-10-10 2012-10-23 Lam Research Corporation Method of refurbishing bipolar electrostatic chuck
SG162642A1 (en) * 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
CN101880880B (zh) * 2009-05-06 2011-07-27 中国科学院微电子研究所 二氧化碳缓冲硅片打孔装置
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
DE102010005762A1 (de) * 2010-01-25 2011-07-28 Oerlikon Trading Ag, Trübbach Reinigungsverfahren für Beschichtungsanlagen
WO2012030423A1 (en) * 2010-09-02 2012-03-08 Fujifilm Planar Solutions, LLC Cleaning method and system
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103493194B (zh) * 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
US8535448B2 (en) * 2011-07-11 2013-09-17 Chevron Phillips Chemical Company Lp Methods of removing a protective layer
CN103240233A (zh) * 2012-02-01 2013-08-14 上海科秉电子科技有限公司 一种用于内遮蔽挡板的洗净方法
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
US8734907B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
CN103290355B (zh) * 2012-02-14 2016-03-02 金文焕 物理气相沉积的反应室腔体零件的清洁方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
WO2014018285A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Roughened substrate support
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103451667B (zh) * 2013-07-30 2016-01-20 中南大学 一种废旧金属包装容器的脱漆方法
KR20150051370A (ko) * 2013-11-04 2015-05-13 (주)제니스월드 용사 코팅막과의 접착력 향상을 위한 산화알루미늄 성형 세라믹 기재의 표면 처리방법
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9406534B2 (en) * 2014-09-17 2016-08-02 Lam Research Corporation Wet clean process for cleaning plasma processing chamber components
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102454433B1 (ko) * 2015-05-28 2022-10-17 삼성디스플레이 주식회사 성막 장치 및 이의 세정 방법
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180061617A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Method to deposit aluminum oxy-fluoride layer for fast recovery of etch amount in etch chamber
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
RU2645166C1 (ru) * 2016-12-29 2018-02-16 Акционерное общество "Государственный научный центр Российской Федерации - Физико-энергетический институт имени А.И. Лейпунского" Способ очистки металлического оборудования от загрязнений, содержащих свинец
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
JP6353109B1 (ja) * 2017-03-30 2018-07-04 住友化学株式会社 ターゲット材を洗浄するための方法、ターゲット材の製造方法、リサイクル鋳塊の製造方法およびリサイクル鋳塊
JP6420393B2 (ja) * 2017-03-30 2018-11-07 住友化学株式会社 ターゲット材をリサイクルするための方法、リサイクル鋳塊の製造方法およびリサイクル鋳塊
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102007950B1 (ko) * 2017-10-30 2019-08-06 주식회사 싸이노스 내성코팅층 제거방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
CN110339978A (zh) * 2018-04-08 2019-10-18 金东纸业(江苏)股份有限公司 一种清洗方法以及清洗装置
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20190341276A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11682544B2 (en) * 2020-10-21 2023-06-20 Applied Materials, Inc. Cover wafer for semiconductor processing chamber
KR102605327B1 (ko) * 2021-10-06 2023-11-23 주식회사 싸이노스 희토류 산화물 코팅층의 가공방법
US20240096664A1 (en) * 2022-09-15 2024-03-21 Applied Materials, Inc. On-board cleaning of tooling parts in hybrid bonding tool
CN116904953A (zh) * 2023-09-14 2023-10-20 上海陛通半导体能源科技股份有限公司 一种气相沉积设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US6394032B1 (en) * 2000-03-03 2002-05-28 Allentown Caging Equipment Co., Inc. Device for simulating static load of a connection to a rack for animal cages

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) * 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3522093A (en) * 1967-02-27 1970-07-28 Chem Cleaning & Equipment Serv Processes of cleaning and passivating reactor equipment
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
JPS54162969A (en) 1978-06-14 1979-12-25 Mitsubishi Electric Corp Plasma etching device
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
FR2578455B1 (fr) * 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) * 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
JPH01159360A (ja) 1986-10-13 1989-06-22 Nippon Steel Corp 溶融めっき方法
JPS63149396A (ja) 1986-12-12 1988-06-22 Kobe Steel Ltd 弁金属の陽極酸化前処理方法
US4959105A (en) * 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
JPH02101157A (ja) 1988-10-05 1990-04-12 Fujikura Ltd 真空蒸着用銅基材の製造方法
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5348358A (en) * 1993-02-22 1994-09-20 Selick David A Contact lens insertion tool
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5916151A (en) * 1995-03-07 1999-06-29 Charters; John Dumergue Apparatus for dilating a body cavity
JPH09165688A (ja) * 1995-12-15 1997-06-24 Ishikawajima Harima Heavy Ind Co Ltd アルミニウム部材の処理方法
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
JP3269993B2 (ja) * 1997-08-28 2002-04-02 本田技研工業株式会社 車両の運動制御装置
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
KR20010014842A (ko) 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
TWI220060B (en) 2001-05-10 2004-08-01 Macronix Int Co Ltd Cleaning method of semiconductor wafer
US7108746B2 (en) * 2001-05-18 2006-09-19 Integrated Materials, Inc. Silicon fixture with roughened surface supporting wafers in chemical vapor deposition
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6454870B1 (en) * 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US6933508B2 (en) * 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
WO2003101762A1 (en) * 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US6394032B1 (en) * 2000-03-03 2002-05-28 Allentown Caging Equipment Co., Inc. Device for simulating static load of a connection to a rack for animal cages

Also Published As

Publication number Publication date
TW200415686A (en) 2004-08-16
US6902628B2 (en) 2005-06-07
US20040163669A1 (en) 2004-08-26
CN1726302A (zh) 2006-01-25
US20040099285A1 (en) 2004-05-27
WO2004048636A2 (en) 2004-06-10
WO2004048636A3 (en) 2005-01-06
KR20050086825A (ko) 2005-08-30
KR101079217B1 (ko) 2011-11-03
TWI283886B (en) 2007-07-11
US6902627B2 (en) 2005-06-07

Similar Documents

Publication Publication Date Title
CN1726302B (zh) 对具有涂层的处理腔组件进行清洁的方法
CN1294615C (zh) 制造被涂覆处理室部件的方法
US6933025B2 (en) Chamber having components with textured surfaces and method of manufacture
US8118946B2 (en) Cleaning process residues from substrate processing chamber components
US7041200B2 (en) Reducing particle generation during sputter deposition
CN100468611C (zh) 利用含氢自由基清洁自生氧化物的方法和设备
TWI336354B (en) Cleaning and refurbishing chamber components having metal coatings
US20070215278A1 (en) Plasma etching apparatus and method for forming inner wall of plasma processing chamber
CN108766902A (zh) 用于喷头和电极组件的颗粒和污染在线计量的系统设计

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: QUANTUM GLOBAL TECHNOLOGIES, LLC

Free format text: FORMER OWNER: APPLIED MATERIALS, INC.

Effective date: 20111103

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20111103

Address after: American Pennsylvania

Patentee after: Guentner global technology Co.

Address before: California, USA

Patentee before: Applied Materials, Inc.

CX01 Expiry of patent term

Granted publication date: 20100505

CX01 Expiry of patent term