CN1799136A - 通过在硅锗合金熔点附近进行退火而制造sgoi的方法 - Google Patents

通过在硅锗合金熔点附近进行退火而制造sgoi的方法 Download PDF

Info

Publication number
CN1799136A
CN1799136A CNA2004800149716A CN200480014971A CN1799136A CN 1799136 A CN1799136 A CN 1799136A CN A2004800149716 A CNA2004800149716 A CN A2004800149716A CN 200480014971 A CN200480014971 A CN 200480014971A CN 1799136 A CN1799136 A CN 1799136A
Authority
CN
China
Prior art keywords
layer
germanium
silicon layer
relaxation
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800149716A
Other languages
English (en)
Inventor
斯蒂芬·W.·贝戴尔
陈华杰
安东尼·G.·多美尼古奇
基思·E.·佛格尔
理查德·J.·墨菲
德温德拉·K.·萨达纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1799136A publication Critical patent/CN1799136A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility

Abstract

提供了一种制造低缺陷的基本上弛豫的绝缘体上硅锗衬底材料的方法。此方法包括首先在存在于阻挡锗扩散的阻挡层(12)顶部的第一单晶硅层(14)的表面上形成含锗层(16)。然后在接近最终硅锗合金熔点且抑制堆垛层错缺陷形成同时保持锗的温度下执行加热步骤。此加热步骤使锗能够在整个第一单晶硅层和含锗层中相互扩散,从而在阻挡层顶部形成基本上弛豫的单晶硅锗层。而且,由于在接近最终硅锗合金熔点的温度下执行加热步骤,故存在于单晶硅锗层中由于弛豫而引起的缺陷被有效地从中清除。在一个实施方案中,加热步骤包括在大约1230-1320℃下执行大约2小时的氧化过程。此实施方案提供了具有最小表面凹坑和降低了的交叉影线的SGOI衬底。

Description

通过在硅锗合金熔点附近 进行退火而制造SGOI的方法
技术领域
本发明涉及到制造半导体结构材料的方法,更确切地说是涉及到制造低缺陷的基本上弛豫的绝缘体上SiGe(SGOI)衬底材料的方法。在一个实施方案中,提供了一种方法,此方法能够形成低缺陷的基本上弛豫的SGOI衬底,其中已经显著地降低了表面凹坑和交叉影线。本发明的低缺陷的基本上弛豫的绝缘体上SiGe衬底材料,能够被用作晶格失配模板,用以利用随后的硅外延覆生长而在其上产生应变硅层。这种衬底材料对于增强应变硅层中的电荷载流子输运性质是可取的,并成为性能更高的互补金属氧化物半导体(CMOS)应用的一种侯选。本发明还涉及到绝缘体上硅锗衬底材料以及至少包括此衬底材料的结构。
背景技术
在半导体工业中,新近已经有利用应变硅基异质结构来获得CMOS应用的高迁移率结构的高水平工作。实现这一点的传统现有技术方法是在厚的(约为1-5微米)弛豫硅锗缓冲层上生长应变硅层。
尽管报道了现有技术异质结构的高沟道电子迁移率,但厚的硅锗缓冲层有一些显著的相关缺点。首先,一般不容易用现有的硅基CMOS技术来集成厚的硅锗缓冲层。第二,包括穿透位错(TD)和失配位错的缺陷密度约为每平方厘米105-108,对于现实的VLSI(甚大规模集成)应用来说,这仍然是太高了。第三,现有技术结构的性质排除了硅锗缓冲层的选择性生长,致使电路难以采用具有应变硅、非应变硅、以及硅锗材料的器件,在某些情况下几乎不可能集成。
为了在硅衬底上产生弛豫的硅锗材料,现有技术方法典型地将均匀的、缓变的、或跃变的硅锗层生长到超过亚稳临界厚度(亦即,超过此厚度则形成位错以释放应力)并使失配位错能够形成,相关的穿透位错通过硅锗缓冲层。各种缓冲结构已经被用来试图增大结构中失配位错区的长度,从而降低TD密度。
除了弛豫过程中形成的TD之外,已经观察到在某些应变和退火条件下形成堆垛层错(SF),见论文R.Hull and J.C.Bean,J.Vac.Sci.Technol.,Vol.7(4),1989,p.2580。相信SF缺陷在半导体材料中的出现代表了对材料适当电学行为的一种比孤立TD更重要的威胁。
诸如Ek等人的美国专利No.5461243和5759898所述的另一现有技术,提供了一种具有弛豫的缺陷密度降低了的半导体层的结构,其中,一种新的应变释放机制发生了作用,从而在降低TD在硅锗层中产生的情况下,硅锗缓冲层发生弛豫。
2002年1月23日提交的题为“Method of Creating High-QualityRelaxed SiGe-On-Insulator for Strained Si CMOS Applications”的共同在案和共同受让的美国专利申请No.10/055138,提供了一种制造高质量的基本上弛豫的绝缘体上硅锗衬底的独特方法。在138申请中,借助于在存在于抗锗扩散的阻挡层顶部的第一单晶硅层的表面上首先形成硅锗层或纯锗层,然后在允许锗在整个第一单晶硅层和硅锗层或纯锗层相互扩散的温度下加热各个层,从而在阻挡层的顶部形成基本上弛豫的单晶硅锗层。在各种温度下执行此加热步骤,形成一种其中可以采用根据硅锗层熔点的剪裁的热循环的状态。具体地说,138申请指出了“温度被调节到低于硅锗层熔点的范围”。
除了将温度调节到低于硅锗熔点之外,138申请没有提供有关剪裁热循环的其它具体情况。此外,在此公开中没有判明什么表明了剪裁的热循环能够被用作显著地降低硅锗合金层中残余缺陷密度的方法。而且,138申请的公开没有判明应该在硅锗熔点下或硅锗熔点附近进行氧化退火工艺。而且,138申请的公开没有判明剪裁的热循环温度能够被调节以便消除具体类型的缺陷。
用138申请所公开的热混合工艺形成的SGOI结构,依赖于高温氧化来在绝缘层上形成异质硅锗层。在某些条件下,硅锗层的表面会开始形成能够降低材料表面质量的微坑(亦即微草皮层)。由于SGOI衬底被用作制造应变硅高性能CMOS器件的模板。故就平滑性和低缺陷密度产品而言,使弛豫的硅锗合金层的表面质量尽可能高,是必不可少的。
发明内容
本发明的目的是提供一种制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的方法,其中,硅锗层具有约为每平方厘米107或以下的缺陷密度。
本发明的另一目的是提供一种制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的方法,其中,采用了一种能够显著地降低硅锗合金层中穿透缺陷密度的加热步骤。
本发明的另一目的是提供一种制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的方法,其中,采用了一种能够显著地降低(与现有技术方法相比)硅锗合金层中堆垛层错缺陷密度的加热步骤。
本发明的另一目的是提供一种制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的方法,其中,在有效地消除现存缺陷的同时抑制由于原始应变硅锗的弛豫而在硅锗合金层中产生某些缺陷的条件下,执行了一种加热步骤。
本发明的另一目的是提供一种制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的方法,此方法与CMOS工艺步骤兼容。
本发明的另一目的是提供一种制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的方法,此衬底材料能够被用作形成应变硅层的晶格失配模板亦即衬底。
本发明的另一目的是提供一种应变硅/基本上弛豫的绝缘体上硅锗结构,此结构具有高的载流子迁移率并可用于高性能CMOS应用中。
本发明的另一目的是提供一种产生SGOI衬底的方法,此SGOI衬底与用138申请的热混合工艺制作的现有技术SGOI衬底相比,具有最小的表面凹坑和降低了的交叉影线。
在本发明中,利用一种方法达到了这些和其它的目的和优点,此方法包括首先在第一单晶硅层的表面上形成诸如硅锗合金或纯锗之类的含锗层,所述第一单晶硅层被提供在阻挡锗扩散的阻挡层顶部;然后在接近(亦即处于或靠近)硅锗合金熔点同时保留锗但不低于抑制SF缺陷产生的温度下执行加热步骤;从具体锗含量的硅锗合金实际熔点的偏离可能稍微小一些,约为-50℃偏离硅锗合金的实际熔点,同时高于抑制SF产生的大约1230℃。
本发明的加热步骤允许锗在整个第一单晶硅层和含锗层中相互扩散,从而形成阻挡层顶部的基本上弛豫的单晶硅锗层。而且,由于本发明的加热步骤在接近最终单晶硅锗层熔点的温度下进行,故由于弛豫而保留在单晶硅锗层中的缺陷被有效地从中消除。在等于和高于1230℃的温度下执行的氧化,也抑制了SF缺陷在硅锗层中的形成。要指出的是,此基本上弛豫的单晶硅锗层由含锗层以及第一单晶硅层的均匀混合物组成。
在本发明的一个实施方案中,已经确定利用其中在约为1230-1320℃的温度下执行短于大约2小时的氧化的加热步骤,能够产生具有改进了的表面特性(最小的表面凹坑和降低了的交叉影线)的高质量的基本上弛豫的SGOI衬底。术语“交叉影线”在本申请中被用来表示由原子力显微镜(AFM)所测得的表面粗糙度(功率谱)的高频分量。
当采用本发明的这一实施方案时,借助于尽可能减少由于在高温(高于1230℃)下延长氧化或退火而形成SGOI衬底时产生的表面凹坑,本发明得到了超越现有技术的优点。具体地说,约为1230-1320℃的氧化温度被发现足以将硅和锗原子的表面迁移率提高到降低硅锗弛豫引起的表面交叉影线的程度。借助于缩短高氧化温度下的氧化时间,尽可能减小了由于提高了的表面迁移率的不希望有的侧面效应而出现的后续凹坑。利用本发明的特定实施方案,有可能形成具有最小表面凹坑和降低了的交叉影线的高质量SGOI衬底。
根据本发明的上述各个步骤,应变硅层可以被外延生长在基本上弛豫的单晶硅锗层顶部,以便形成能够用于各种高性能CMOS应用中的含有应变硅/弛豫硅锗的异质结构。
在本发明的某些实施方案中,第一单晶硅和阻挡层是绝缘体上硅(SOI)衬底的组成部分。在其它实施方案中,阻挡层被形成在半导体衬底的表面上,然后,第一单晶硅层被形成在阻挡层上。后一种衬底材料是一种非SOI衬底。
本方法还试图使用未被图形化的阻挡层(亦即连续的阻挡层)或图形化的阻挡层(亦即被半导体材料环绕的分立和隔离的势垒区或小岛)。
在本发明的另一实施方案中,在处于或接近最终硅锗层熔点的温度下对结构进行加热之前,硅帽层被形成在含锗层顶部。本发明的这一实施方案改变了硅锗层在退火之前的热力学稳定性(就防止缺陷产生而言)。硅锗层的厚度约为2000nm或以下,以约为10-200nm的厚度更为优选。
在本发明的另一实施方案中,可以在加热之前执行离子注入步骤。
本发明的另一情况涉及到利用上述工艺步骤形成的绝缘体上硅锗衬底材料。具体地说,本发明的衬底材料包含含硅的衬底;存在于含硅衬底顶部的阻挡锗扩散的绝缘区;以及存在于绝缘区顶部的基本上弛豫的硅锗层,其中,基本上弛豫的硅锗层的厚度约为2000nm或以下,其缺陷密度约为每平方厘米107或以下。
在约为1230-1320℃的温度下执行短于大约2小时氧化的实施方案中,形成了SGOI衬底,其中,表面粗糙度小于大约15埃RMS。这种表面粗糙度是具有最小表面凹坑和降低了的交叉影线的SGOI衬底的象征。“最小表面凹坑”意味着一种凹坑深度小于30埃且宽度小于300nm的硅锗表面。术语“尽可能减小了的交叉影线”表示一种与1200℃和以下的温度下形成SGOI相比具有降低了的由表面台阶(由硅锗层弛豫过程中的位错运动所形成的)引起的粗糙度分量的硅锗表面。可以用AFM(原子力显微镜)或其它相关技术来确定表面凹坑和交叉影线。
本发明的另一情况涉及到至少包括上述衬底材料的异质结构。具体地说,本发明的异质结构包含含硅的衬底;存在于含硅衬底顶部的阻挡锗扩散的绝缘区;存在于绝缘区顶部的基本上弛豫的硅锗层,其中,基本上弛豫的硅锗层的厚度约为2000nm或以下,其缺陷密度约为每平方厘米107或以下;以及形成在基本上弛豫的硅锗层顶部的应变硅层。
此异质结构也可以包括具有最小表面凹坑和降低了的交叉影线的SGOI衬底。
本发明的其它情况涉及到至少包括本发明的绝缘体上硅锗衬底材料的超晶格结构以及其它晶格失配结构的模板。
附图说明
图1A-1E是剖面图,示出了本发明所用的制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的基本加工步骤,其中的原始衬底包括未被图形化的扩散势垒区。
图2A-2E是剖面图,示出了本发明变通实施方案所用的制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料的基本加工步骤,其中的原始衬底包括图形化的扩散势垒区。
图3A-3B是剖面图,示出了本发明的一个变通实施方案,其中,硅帽层被形成在锗或硅锗层顶部,硅锗层被形成于未被图形化的(3A)或图形化的(3B)衬底上。
图4A-4B是剖面图,分别示出了应变硅层在图1E和2E的薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料上的形成。
图5曲线示出了硅锗二元合金系统的熔点对锗含量的关系。S表示固体,L表示液体,二个曲线之间的区域是固体与液体之间的过渡相。
图6是用温度比26%的硅锗合金熔点低100℃的加热步骤制备的600埃的17%的绝缘体上硅锗衬底材料的平面TEM显微图。
图7是用本发明的加工步骤制备的,亦即用温度处于或接近19%的硅锗合金熔点的加热步骤制备的60nm的17%的绝缘体上硅锗衬底材料的平面TEM显微图。
图8是不同温度下加热的各种样品的实测MF/SF密度对SGOI厚度的曲线。
图9是用现有技术热混合工艺在大约1200℃的氧化温度下形成的SGOI衬底的10×10微米原子力显微图象,可见到交叉影线。
图10是用本发明在大约1250℃的氧化温度下30分钟形成的SGOI衬底的10×10微米原子力显微图象,交叉影线已经被减为最小。
图11(AFM测得的)草皮层深度对用来形成400埃的25%(锗含量)的SGOI层的1250℃的氧化时间的曲线。原始膜结构和生长的表面氧化物的厚度在所有情况下都是相同的。凹坑在较短的氧化时间内被减为最小。
具体实施方式
下面参照本申请的附图来更详细地描述本发明,本发明提供了一种制造薄的高质量的基本上弛豫的绝缘体上硅锗衬底材料,此衬底材料能够用作后续外延硅覆生长的晶格失配模板。在所有附图中,用相似的参考号来表示相似和/或相应的元件。
本申请提供了一种直接的方法来形成低缺陷的基本上弛豫的绝缘体上硅锗衬底材料,在接近硅锗合金熔点同时足够高以抑制SF形成的温度下来执行本发明的加热步骤。对在处于或接近最终弛豫的硅锗合金层熔点下执行的加热步骤进行控制的结果是,与在较低温度下被退火的相似层相比,降低了残留缺陷的密度。
首先参照示出了可用于本发明的原始衬底材料的图1A和2A。具体地说,图1A和2A所示的原始衬底材料各包含含硅的半导体衬底10、存在于含硅半导体衬底10顶部的阻挡锗扩散的阻挡层12(以下称为“阻挡层”)、以及存在于阻挡层12顶部的失配和TD密度小于大约每平方厘米1×104的第一单晶硅层14。在附图中,参考号17表示阻挡层12与第一单晶硅层14之间的界面。
附图所示的二个衬底之间的差别在于,在图1A中,阻挡层12连续地存在于整个结构中,而在图2A中,阻挡层12以被半导体材料亦即层10和14环绕的分立和隔离的区域或小岛的形式而存在。图1A所示的原始结构包括未被图形化的阻挡层,而图2A所示的原始结构包括图形化的阻挡层。
尽管阻挡层12被图形化或未被图形化,但原始结构可以是常规的绝缘体上硅(SOI)衬底材料,其中,区域12是将第一单晶硅层14电隔离于含硅衬底半导体衬底10的埋置的氧化物区。此处所用的术语“含硅”表示至少包括硅的半导体衬底。示例性例子包括但不局限于:Si、SiGe、SiC、SiGeC、Si/Si、Si/SiC、Si/SiGeC、以及其中存在可以包括任何数目埋置氧化物(连续的、不连续的、或连续和不连续的混合)区的预制绝缘体上硅。
可以用本技术领域熟练人员众所周知的常规SIMOX(氧离子注入分离)工艺以及其整个内容在此处被列为参考的Sadana等人2001年5月21日提交的共同受让美国专利申请No.09/861593;2001年5月21日提交的09/861594;2001年5月21日提交的09/861590;2001年5月21日提交的09/861596;2001年6月19日提交的09/884670;以及美国专利No.5930634所述的各种SIMOX工艺,来形成SOI衬底。注意,此处可以采用590申请所公开的工艺来制造图2A所示的图形化衬底。作为变通,可以用包括例如热键合和层转移工艺的其它常规工艺来制作SOI衬底材料。
除了SOI衬底之外,图1A和2A所示的原始衬底可以是用常规淀积工艺以及(制造图形化衬底时采用的)光刻和腐蚀方法制作的非SOI衬底。具体地说,当采用非SOI衬底时,借助于通过常规淀积或热生长工艺将锗扩散阻挡层淀积在含硅衬底表面顶部,可选地利用常规光刻和腐蚀方法对阻挡层进行图形化;然后用包括化学气相淀积(CVD)、等离子体辅助CVD、溅射、蒸发、化学溶液淀积、或外延硅生长的常规淀积工艺在阻挡层顶部形成单晶硅层,来形成原始结构。
图1A和2A所示原始结构的阻挡层12包含强力阻挡锗扩散的任何绝缘材料。这种绝缘和锗扩散阻挡材料的例子包括但不局限于结晶或非结晶的氧化物或氮化物。
原始结构各种层的厚度可以依赖于其制作工艺而变化。但典型地说,单晶硅层14的厚度约为1-2000nm,约为20-200nm更为优选。在阻挡层12(亦即锗扩散阻挡层)的情况下,此层的厚度可以约为1-1000nm,约为20-200nm更为优选。含硅衬底层亦即层10的厚度对于本发明无关紧要。上述各厚度是示例性的,决不限制本发明的范围。
图1B和2B示出了含锗层16被形成在第一单晶硅层14顶部上之后所形成的结构。含锗层16包括硅锗合金或纯锗。术语“硅锗合金”包括包含直至99.99原子百分比的锗的硅锗材料,而纯锗包括包含100原子百分比的锗的层。当采用硅锗层时,硅锗层中的锗含量优选约为0.1-99.9原子百分比,约为10-35的锗原子百分比更为优选。此硅锗合金可以是单晶、非晶、或多晶。
根据本发明,用本技术领域熟练人员众所周知的(i)能够生长热力学稳定(小于临界厚度)的含锗层,(ii)能够生长亚稳和无缺陷亦即无失配和TD位错的含锗层,或(iii)能够生长局部或全部弛豫的硅锗层的任何常规外延生长方法,含锗层16被形成在第一单晶硅层14顶部;用生长温度、锗浓度、厚度、或硅帽层的存在,来控制弛豫程度。能够满足条件(i)、(ii)、(iii)的这种外延生长工艺的示例性例子包括但不局限于:低压化学气相淀积(LPCVD)、超高真空化学气相淀积(UHVCVD)、大气压化学气相淀积(APCVD)、分子束外延(MBE)、以及等离子体增强化学气相淀积(PECVD)。
本发明此时形成的含锗层的厚度可以变化,但典型地说,含锗层16的厚度约为10-500nm,约为20-200nm更为优选。
在本发明的一个变通实施方案中,见图3A-3B,在执行本发明的加热步骤之前,可选的帽层18被形成在含锗层16顶部。用于本发明的这一可选帽层包含任何硅材料,包括但不局限于:外延硅(或硅锗)(epi-Si)、非晶硅(a:Si)、非晶硅锗、单晶或多晶硅、或其包括多层的任何组合。在一个优选实施方案中,帽层由epi-Si组成。要指出的是,层16和18可以在同一个反应工作室中形成,或可以不在同一个反应工作室中形成。
提出可选的帽层18的厚度约为1-100nm,约为1-30nm更为优选。利用包括上述外延生长工艺的众所周知的淀积工艺来形成可选的帽层18。
在本发明的一个实施方案中,优选在单晶硅层的表面上形成厚度约为1-2000nm的含锗层(15-20原子百分比的锗),然后在含锗层顶部形成厚度约为1-100nm的硅帽层。
在原始结构顶形成含锗层16(具有或不具有可选帽层18)之后,可以对图1B或2B所示的结构(具有或不具有可选帽层)进行可选的离子注入步骤,其中,能够形成可在界面17处或附近增强弛豫的缺陷的离子注入被执行。可增强弛豫发生的这种缺陷的例子包括:片状缺陷或泡状缺陷,如在氢离子注入情况中那样。可以用或不用注入掩模来执行此注入。
图1C或2C示出了此离子注入步骤之后的结构。在这些图中,参考号19表示离子注入步骤形成的缺陷区。这些缺陷区借助于方便双层发生弛豫而解决了硅锗合金/单晶硅双层中缺陷产生的问题。具体地说,借助于使存在于界面17处或附近的缺陷区发生弹性形变而发生弛豫。
借助于用在界面17处或附近保持离子幅度峰值的注入条件,将诸如氢、氘、氦、氧、氟、氖、和它们的混合物之类的离子注入到各个层中,来形成这些允许在界面17处或附近发生增强的弛豫的缺陷。此处还尝试了上述各离子的同位素。本发明所用的优选离子是氢离子(H+)。要指出的是,此处也可以尝试诸如H2 +之类的其它种类的氢。
用约为每平方厘米0.01-10微安的束电流密度,在大约室温亦即大约283-303K的温度下,来进行本发明的注入步骤。不同温度下和/或采用其它束电流密度的注入,可以影响弛豫行为。
形成模板缺陷所用的各种注入剂的浓度,可以依赖于所用各种注入剂的类型而变化。但本发明此时所用的注入离子的浓度典型地低于每平方厘米3×1016,约为1×1016-2.99×1016更为优选。此注入的能量也可以依赖于被注入离子的类型而变化,但注入剂能量必须能够将离子置于界面17处或附近。例如,当氢被用作注入剂离子时,用来确保在界面17处或附近形成片状缺陷的能量约为1-100keV,约为3-20keV的能量更为优选。
上述赋能离子向应变硅锗/硅界面中或附近的注入,产生了能够用作有效的位错成核位置的缺陷,使硅锗层能够更有效地弛豫。此注入引起的缺陷位置的随机性,还减少了各个运动位错之间相互作用所引起的缺陷钉扎,从而使弛豫过程更为有效。
在原始结构顶部形成含锗层16(具有或不具有可选的帽层18以及具有或不具有注入剂)之后,此结构(具有或不具有可选的帽层18以及具有或不具有注入剂)在基本上消除由应变弛豫引起的缺陷同时允许锗在整个第一单晶硅层14、含锗层16、以及若存在的话还有可选的硅帽层18之间相互扩散从而在阻挡层12顶部形成基本上弛豫的单晶硅锗层20的温度下被加热,亦即被退火。注意,氧化物层22在加热步骤中被形成在硅锗层20顶部。在加热步骤之后,典型地但不总是用常规的湿法腐蚀工艺从结构中清除氧化物层22,其中,采用了诸如对清除氧化物与硅锗相比具有高度选择性的HF之类的化学腐蚀剂。例如图1D或2D示出了已经执行了加热步骤之后所形成的结构。
注意,当氧化物层22被清除时,可以在硅锗层20顶部形成第二单晶硅层,并可以任何次数重复本发明的上述各加工步骤,以便产生多层弛豫的硅锗应变材料。
在本发明的加热步骤之后形成的氧化物层22,具有可在约为10-1000nm范围内变化的厚度,约为20-500nm的厚度更为优选。
具体地说,本发明的加热步骤是一种在处于或接近选择的硅锗合金熔点的温度下以及在足够高以抑制SF缺陷形成的温度下执行的退火步骤。选择的硅锗合金基于最终弛豫硅锗层中所希望的锗含量。图5曲线示出了硅锗二元合金系统的熔点对锗含量的关系。S表示固体,L表示液体,二个曲线之间的区域是固体与液体之间的过渡相。本发明加热步骤的温度基本上沿图中底部曲线,为方便起见而标注为T1。本发明加热步骤所有的温度可以稍许低于给定锗含量的实际熔点。
具体地说,可以在选择的硅锗合金层的实际熔点下或在低于实际熔点约50℃但高于大约1230℃的温度下来执行加热步骤,以便减少氧化过程中SF缺陷的产生。应该注意不要在二个曲线之间的区域内对结构进行加热,因为若在此区域内发生加热,则会出现硅锗合金的烧结。可以在约为1230℃(对于30%的锗)到约为1410℃(对于硅锗合金中0.1%的锗)的温度下,来执行是为锗含量的函数的本发明的加热步骤。
而且,在至少包括诸如O2、NO、N2O、臭氧、空气、以及其它相似的含氧气体之类的一种含氧气体的氧化气氛中,来执行本发明的加热步骤。含氧气体可以相互混合(例如O2和NO的混合物),或此气体可以被诸如He、Ar、N2、Xe、Kr、Ne之类的惰性气体稀释。
加热步骤可以被进行不同的时间,典型约为10-1800分钟,约为30-600分钟更为优选。可以在单个目标温度下来进行加热步骤,或可以采用利用各种升温速率和保温时间的各种升温和保温循环。可以在给定硅锗合金实际熔点以下采用保温步骤,以便剪裁存在于结构中的缺陷的类型。
在氧化气氛下执行加热步骤,以便达到作为锗原子扩散势垒的表面氧化物层亦即层22的出现。因此,一旦在结构表面上形成氧化物层,锗就被捕获在阻挡层12与氧化物层22之间。随着氧化物厚度的增大,锗变得更均匀地分布在层14、16、以及可选的18中,但锗被连续而有效地从侵入的氧化物层排斥。故随着(现在均匀的)各个层在此加热步骤中被减薄,相对的锗份额增大。
已经确定在本发明中,大多数氧化在大约1230℃以上进行,以便减少SF缺陷在硅锗层被减薄时的产生。因此,考虑在稀释氧或非氧化气氛下将温度逐步下降,以便避免SF缺陷的产生速率由于在低于大约1230℃的氧化而增大。氧化温度在1230-1350℃之间,1250-1325℃更为优选。
注意,若氧化发生得太迅速,则锗无法从表面氧化物/硅锗界面足够快地扩散出去,锗就通过氧化物被输运(并损失),或锗的界面浓度变得如此的高,以致将达到合金的熔化温度。
本发明加热步骤的作用是(1)消除由应变弛豫形成的缺陷;(2)使锗原子能够更迅速地扩散,从而在退火过程中保持均匀的分布;以及(3)使原始层结构经受以尽可能减少氧化过程中产生的缺陷数目的方式促进平衡结构的热循环。在已经执行此加热步骤之后,此结构包括夹在阻挡层12与表面氧化物层22之间的均匀且基本上弛豫的低缺陷硅锗合金层亦即层20,见图1D或2D。
在本发明的一个实施方案中,氧化温度被控制在约为1230-1320℃,且执行短于大约2小时的氧化。更优选的是,在大约1230-1300℃的温度下执行短于大约1.5小时的氧化。当执行本发明的这一实施方案时,就提供了具有改进了的表面特性(就表面凹坑和交叉影线而言)的高质量的基本上弛豫的SGOI衬底。用本发明这一实施方案提供的SGOI衬底具有小于15埃RMS的表面粗糙度,坑的深度小于30,且坑的宽度小于300nm。本发明的这一实施方案可以结合上述各种实施方案被采用。
根据本发明,弛豫的硅锗层20的厚度约为2000nm或以下,约为10-100nm的厚度更为优选。注意,本发明形成的弛豫硅锗层20比现有技术的硅锗缓冲层更薄,且TD密度低于1200℃下形成的SGOI大约2-10倍,SF缺陷密度降低大约100倍。见图8。与138申请公开的工艺相比,这表示缺陷密度二个数量级的降低。
本发明形成的弛豫硅锗层的最终锗含量约为0.1-30原子百分比,约为10-30的锗原子百分比更为优选。弛豫硅锗层22的另一特点在于具有约为1-99%的实测晶格弛豫,约为50-80%的实测晶格弛豫更为优选。
如上所述,在本发明此时可以剥离表面氧化物层22,以便提供例如图1E或2E所示的绝缘体上硅锗衬底材料(注意,此衬底材料不包括帽层,因为此层已经被用于形成弛豫的硅锗层)。
图4A-B示出了分别在图1E和2E的硅锗层顶部形成硅层24之后得到的结构。用本技术领域众所周知的常规外延淀积工艺来形成硅层24。外延硅层24的厚度可以变化,但外延硅层24的典型厚度约为1-100nm,约为1-30nm的厚度更为优选。
在某些情况下,可以用上述加工步骤在弛豫的硅锗层20顶部形成额外的硅锗,然后可以形成外延硅层24。由于与外延硅层24相比,层20具有大的共平面晶格参数,故外延硅层24将被张应变。
如上所述,本发明还尝试了至少包括本发明的绝缘体上硅锗衬底材料的超晶格结构以及晶格失配结构。在超晶格结构的情况下,这种结构可以至少包括本发明的基本上弛豫的绝缘体上硅锗衬底材料以及形成在衬底材料的基本上弛豫的硅锗层顶部的交替的硅和硅锗。
在晶格失配结构的情况下,GaAs、GaP、或其它相似的化合物可以被形成在本发明绝缘体上硅锗衬底材料的基本上弛豫的硅锗层顶部。
图6(现有技术)和7(本发明)示出了用本发明的方法来减少缺陷的例子。在二种情况下,原始结构是生长在550埃的Si SIMOXSOI晶片上的600埃的17%赝应变硅锗层。图6示出了被热混合和在1200℃下被氧化成26%的锗含量的样品的平面TEM显微结果。根据138申请的加热低于硅锗合金层的熔点大约100℃。缺陷密度约为每平方厘米5×107穿透缺陷,一些失配区(或堆垛层错)是明显的。图7示出了被相同热混合并在1290℃下被氧化到大约19%锗含量的样品平面TEM显微结果(加热的温度处于或接近硅锗合金层的熔点,见图5)。在后一图象中未见缺陷,实际上,深入的TEM分析未显现缺陷,表明缺陷密度处于或低于每平方厘米106范围。
图8示出了以与138申请和本发明一致的方式在1200、1250、以及1320℃下制造的SGOI中的实测SF缺陷密度对最终厚度的关系。所有数据都是生长在550埃SOI衬底上的600埃-17%硅锗原始层的。此图示出了采用本发明的SF缺陷接近降低了二个数量级。附图还表明借助于控制最终SGOI厚度来控制SF缺陷密度的能力。
用其中氧化已经被保持在约为1230-1320℃内短于大约2小时的本发明的实施方案产生的SGOI衬底,与其中未遵从上述约定的现有技术SGOI衬底相比,具有尽可能减小了的交叉影线。而且,此实施方案产生的SGOI衬底具有基本上弛豫的硅锗层,其堆垛层错和/或微孪晶密度小于大约1×105。图9和10分别是用现有技术热混合工艺在大约1200℃的氧化温度下形成的SGOI衬底以及用本发明的工艺在大约1250℃的温度下执行30分钟氧化所形成的SGOI衬底的10×10微米原子力显微镜图象。注意,与图9相比,图10中的交叉影线已经被尽可能减小。图11示出了在形成400埃25%的SGOI层的过程中凹坑深度如何随1250℃下的氧化时间延长而增大。应该指出的是,在大约1.5小时的氧化时间以下,无法从原来(热混合之前)的表面粗糙度区分出凹坑,且30分钟的数据点代表了凹坑深度的上限。
虽然根据其优选实施方案已经具体地描述了本发明,但本技术领域的熟练人员可以理解的是,可以作出上述的其它的形式和细节方面的改变而不偏离本发明的范围和构思。因此认为本发明不局限于所述的准确形式和细节,而是在所附权利要求的范围内。

Claims (58)

1.一种制造绝缘体上硅锗衬底材料的方法,它包含下列步骤:
在第一单晶硅层的表面上形成含锗层,所述第一单晶硅层存在于阻挡锗扩散的阻挡层顶部;以及
将所述各层加热到处于或接近选择的硅锗合金的熔点的温度,引起应变弛豫缺陷明显减少,同时使锗能够在整个所述第一单晶硅层和所述含锗层中相互扩散,从而在所述阻挡层顶部形成低缺陷的基本上弛豫的单晶硅锗层,所述温度处于或高于限制堆垛层错缺陷产生的温度。
2.权利要求1的方法,其中,所述第一单晶硅层和所述阻挡层是绝缘体上硅(SOI)衬底的组成部分。
3.权利要求1的方法,其中,所述第一单晶硅层和所述阻挡层是非SOI衬底的组成部分。
4.权利要求1的方法,其中,所述阻挡层是图形化的阻挡层。
5.权利要求1的方法,其中,所述阻挡层是未被图形化的阻挡层。
6.权利要求1的方法,其中,所述阻挡层包含结晶或非结晶的氧化物或者结晶或非结晶的氮化物。
7.权利要求1的方法,其中,所述阻挡层是埋置的氧化物区。
8.权利要求1的方法,其中,所述含锗层是硅锗合金或纯锗。
9.权利要求8的方法,其中,所述含锗层是包含直至99.99原子百分比的锗的硅锗合金。
10.权利要求9的方法,其中,所述硅锗层包含大约10-35原子百分比的锗。
11.权利要求1的方法,其中,用选自低压化学气相淀积、大气压化学气相淀积、超高真空化学气相淀积、分子束外延、以及等离子体增强化学气相淀积的外延生长工艺,来形成所述含锗层。
12.权利要求1的方法,还包含在加热之前,于所述含锗层顶部形成硅帽层。
13.权利要求12的方法,其中,所述硅帽层包含外延硅、非晶硅、单晶或多晶硅、或它们的任何组合和多层。
14.权利要求13的方法,其中,所述硅帽层包含外延硅。
15.权利要求1的方法,还包含在加热之前执行注入步骤,所述注入步骤包括离子,这些离子能够在第一单晶硅层与阻挡层之间的界面处或附近形成可增强弛豫的缺陷。
16.权利要求12的方法,还包含在加热之前执行注入步骤,所述注入步骤包括离子,这些离子能够在第一单晶硅层与阻挡层之间的界面处或附近形成可增强弛豫的缺陷。
17.权利要求15的方法,其中,所述离子包含氢、氘、氦、氧、氖、或它们的混合物和同位素。
18.权利要求16的方法,其中,所述离子包含氢、氘、氦、氧、氖、或它们的混合物和同位素。
19.权利要求1的方法,其中,表面氧化物层在所述加热步骤中形成。
20.权利要求18的方法,还包含用湿法化学腐蚀工艺清除所述表面氧化物层。
21.权利要求1的方法,其中,所述形成步骤和加热步骤被重复任何次数。
22.权利要求1的方法,其中,所述加热步骤在至少包含一种含氧气体的氧化气氛中进行。
23.权利要求1的方法,其中,所述加热步骤在1230-1350℃之间。
24.权利要求22的方法,其中,所述至少一种含氧气体包含O2、NO、N2O、臭氧、空气、或它们的混合物。
25.权利要求22的方法,还包含惰性气体,所述惰性气体被用来稀释所述至少一种含氧气体。
26.权利要求1的方法,其中,所述温度依赖于存在于硅锗层中的锗份额。
27.权利要求1的方法,其中,所述基本上弛豫的硅锗层的厚度约为2000nm或以下。
28.权利要求1的方法,其中,所述基本上弛豫的硅锗层的缺陷密度约为每平方厘米107或以下。
29.权利要求1的方法,其中,所述基本上弛豫的硅锗层的实测晶格弛豫约为1-99%。
30.权利要求1的方法,还包含在所述基本上弛豫的硅锗层顶部生长额外的硅锗层。
31.权利要求30的方法,还包含在所述额外的硅锗层顶部形成应变硅层。
32.权利要求1的方法,还包含在所述基本上弛豫的硅锗层顶部形成应变硅层。
33.权利要求1的方法,其中,用氧化工艺来执行所述加热,其中,在大约1230-1320℃的温度下执行短于大约2小时的氧化。
34.权利要求33的方法,还包含在加热之前执行注入步骤,所述注入步骤包括离子,这些离子能够在第一单晶硅层与阻挡层之间的界面处或附近形成可增强弛豫的缺陷。
35.权利要求34的方法,其中,所述离子包含氢、氘、氦、氧、氟、氖、或它们的混合物和同位素。
36.一种制造绝缘体上硅锗衬底材料的方法,它包含下列步骤:
在第一单晶硅层的表面上形成含锗层,所述第一单晶硅层存在于阻挡锗扩散的阻挡层顶部;以及
将所述各层加热到处于或接近选择的硅锗合金的熔点的温度,使应变弛豫诱发的缺陷明显减少,同时使锗能够在整个所述第一单晶硅层和所述含锗层中相互扩散,从而在所述阻挡层顶部形成低缺陷的基本上弛豫的单晶硅锗层,所述温度处于或高于限制堆垛层错缺陷产生的温度,所述加热包括在大约1230-1325℃的温度下执行短于大约2小时的氧化工艺。
37.权利要求36的方法,还包含在加热之前执行注入步骤,所述注入步骤包括离子,这些离子能够在第一单晶硅层与阻挡层之间的界面处或附近形成可增强弛豫的缺陷。
38.权利要求37的方法,其中,所述离子包含氢、氘、氦、氧、氟、氖、或它们的混合物和同位素。
39.一种衬底材料,它包含:
含硅衬底;
存在于所述含硅衬底顶部的阻挡锗扩散的绝缘区;以及
存在于所述绝缘区顶部的基本上弛豫的硅锗层,其中,所述基本上弛豫的硅锗层的厚度约为2000nm或以下,且缺陷密度约为每平方厘米107或以下。
40.权利要求39的衬底材料,其中,所述绝缘区被图形化。
41.权利要求39的衬底材料,其中,所述绝缘区未被图形化。
42.权利要求39的衬底材料,其中,所述绝缘区包含结晶或非结晶的氧化物或者结晶或非结晶的氮化物。
43.权利要求39的衬底材料,其中,所述绝缘区是埋置的氧化物区。
44.权利要求39的衬底材料,其中,所述基本上弛豫的硅锗层的实测晶格弛豫约为1-99%。
45.权利要求39的衬底材料,其中,所述基本上弛豫的硅锗层的表面具有小于大约15埃RMS的实测表面粗糙度。
46.权利要求39的衬底材料,其中,所述基本上弛豫的硅锗层的堆垛层错和/或微孪晶缺陷密度小于大约每平方厘米1×105
47.权利要求45的衬底材料,其中,所述弛豫的硅锗层具有深度小于30埃且宽度小于300nm的表面凹坑。
48.一种异质结构,它包含:
含硅衬底;
存在于所述含硅衬底顶部的阻挡锗扩散的绝缘区;
存在于绝缘区顶部的基本上弛豫的硅锗层,其中,所述基本上弛豫的硅锗层的厚度约为2000nm或以下,且缺陷密度约为每平方厘米107或以下;以及
形成在基本上弛豫的硅锗层顶部的应变硅层。
49.权利要求48的异质结构,其中,所述绝缘区被图形化。
50.权利要求48的异质结构,其中,所述绝缘区未被图形化。
51.权利要求48的异质结构,其中,所述绝缘区包含结晶或非结晶的氧化物或者结晶或非结晶的氮化物。
52.权利要求48的异质结构,其中,所述绝缘区是埋置的氧化物区。
53.权利要求48的异质结构,其中,所述基本上弛豫的硅锗层的实测晶格弛豫约为1-99%。
54.权利要求48的异质结构,其中,所述应变硅层包含外延硅层。
55.权利要求48的异质结构,其中,交替的弛豫硅锗层和应变硅层位于所述应变硅层顶部。
56.权利要求48的异质结构,其中,用选自GaAs和GaP的晶格失配化合物来替换所述应变硅层。
57.权利要求48的异质结构,其中,所述基本上弛豫的硅锗层的表面具有小于大约15埃RMS的实测表面粗糙度。
58.权利要求57的衬底材料,其中,所述弛豫的硅锗层具有深度小于30埃且宽度小于300nm的表面凹坑。
CNA2004800149716A 2003-05-30 2004-05-27 通过在硅锗合金熔点附近进行退火而制造sgoi的方法 Pending CN1799136A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/448,948 US7049660B2 (en) 2003-05-30 2003-05-30 High-quality SGOI by oxidation near the alloy melting temperature
US10/448,948 2003-05-30

Publications (1)

Publication Number Publication Date
CN1799136A true CN1799136A (zh) 2006-07-05

Family

ID=33451647

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800149716A Pending CN1799136A (zh) 2003-05-30 2004-05-27 通过在硅锗合金熔点附近进行退火而制造sgoi的方法

Country Status (8)

Country Link
US (4) US7049660B2 (zh)
EP (1) EP1629524A2 (zh)
JP (1) JP4701181B2 (zh)
KR (1) KR100763676B1 (zh)
CN (1) CN1799136A (zh)
IL (1) IL172252A (zh)
TW (1) TWI282117B (zh)
WO (1) WO2004112102A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102227802A (zh) * 2008-11-28 2011-10-26 住友化学株式会社 半导体基板的制造方法、半导体基板、电子器件的制造方法、和反应装置
CN106356303A (zh) * 2015-07-24 2017-01-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN113539792A (zh) * 2021-07-09 2021-10-22 中国科学院上海微系统与信息技术研究所 全环绕栅极晶体管的制备方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10318284A1 (de) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US7169226B2 (en) * 2003-07-01 2007-01-30 International Business Machines Corporation Defect reduction by oxidation of silicon
US7029980B2 (en) 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7202142B2 (en) * 2004-05-03 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing low defect density strained -Si channel MOSFETS
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7241647B2 (en) * 2004-08-17 2007-07-10 Freescale Semiconductor, Inc. Graded semiconductor layer
US7235812B2 (en) * 2004-09-13 2007-06-26 International Business Machines Corporation Method of creating defect free high Ge content (>25%) SiGe-on-insulator (SGOI) substrates using wafer bonding techniques
FR2876220B1 (fr) * 2004-10-06 2007-09-28 Commissariat Energie Atomique Procede d'elaboration de structures empilees mixtes, a zones isolantes diverses et/ou zones de conduction electrique verticale localisees.
US7585792B2 (en) * 2005-02-09 2009-09-08 S.O.I.Tec Silicon On Insulator Technologies Relaxation of a strained layer using a molten layer
JP2006270000A (ja) * 2005-03-25 2006-10-05 Sumco Corp 歪Si−SOI基板の製造方法および該方法により製造された歪Si−SOI基板
JP4867225B2 (ja) * 2005-07-27 2012-02-01 セイコーエプソン株式会社 半導体基板の製造方法及び、半導体装置の製造方法
FR2897982B1 (fr) 2006-02-27 2008-07-11 Tracit Technologies Sa Procede de fabrication des structures de type partiellement soi, comportant des zones reliant une couche superficielle et un substrat
US7977221B2 (en) * 2007-10-05 2011-07-12 Sumco Corporation Method for producing strained Si-SOI substrate and strained Si-SOI substrate produced by the same
US7923098B2 (en) * 2008-01-02 2011-04-12 The Board Of Regents Of The University Of Oklahoma Low-defect-density crystalline structure and method for making same
US20100116329A1 (en) * 2008-06-09 2010-05-13 Fitzgerald Eugene A Methods of forming high-efficiency solar cell structures
DE102008027521B4 (de) * 2008-06-10 2017-07-27 Infineon Technologies Austria Ag Verfahren zum Herstellen einer Halbleiterschicht
EP2161742A1 (en) 2008-09-03 2010-03-10 S.O.I.TEC. Silicon on Insulator Technologies S.A. Method for Fabricating a Locally Passivated Germanium-on-Insulator Substrate
TW201019375A (en) * 2008-10-02 2010-05-16 Sumitomo Chemical Co Semiconductor wafer, electronic device, and method for making a semiconductor wafer
US20110124146A1 (en) * 2009-05-29 2011-05-26 Pitera Arthur J Methods of forming high-efficiency multi-junction solar cell structures
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8604330B1 (en) 2010-12-06 2013-12-10 4Power, Llc High-efficiency solar-cell arrays with integrated devices and methods for forming them
US8518807B1 (en) 2012-06-22 2013-08-27 International Business Machines Corporation Radiation hardened SOI structure and method of making same
US9583378B2 (en) 2014-05-01 2017-02-28 International Business Machines Corporation Formation of germanium-containing channel region by thermal condensation utilizing an oxygen permeable material
US10573719B2 (en) * 2015-05-11 2020-02-25 Applied Materials, Inc. Horizontal gate all around device isolation
TWI723993B (zh) 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
US9818761B2 (en) 2015-06-25 2017-11-14 International Business Machines Corporation Selective oxidation for making relaxed silicon germanium on insulator structures
FR3086096B1 (fr) * 2018-09-14 2021-08-27 Soitec Silicon On Insulator Procede de realisation d'un substrat avance pour une integration hybride

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221413A (en) * 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5792679A (en) * 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US6083324A (en) * 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
JP3884203B2 (ja) * 1998-12-24 2007-02-21 株式会社東芝 半導体装置の製造方法
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
WO2002015244A2 (en) * 2000-08-16 2002-02-21 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US6890835B1 (en) * 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
WO2002082514A1 (en) 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
JP4854871B2 (ja) * 2001-06-20 2012-01-18 株式会社Sumco 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法
JP2003031495A (ja) 2001-07-12 2003-01-31 Hitachi Ltd 半導体装置用基板の製造方法および半導体装置の製造方法
JP2003128494A (ja) * 2001-10-22 2003-05-08 Sharp Corp 半導体装置の製造方法及び半導体装置
JP3970011B2 (ja) * 2001-12-11 2007-09-05 シャープ株式会社 半導体装置及びその製造方法
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6723622B2 (en) * 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
KR100466312B1 (ko) * 2002-08-07 2005-01-13 삼성전자주식회사 유전막을 갖는 반도체 장치의 제조방법

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102227802A (zh) * 2008-11-28 2011-10-26 住友化学株式会社 半导体基板的制造方法、半导体基板、电子器件的制造方法、和反应装置
US8709904B2 (en) 2008-11-28 2014-04-29 Sumitomo Chemical Company, Limited Method for producing semiconductor substrate, semiconductor substrate, method for manufacturing electronic device, and reaction apparatus
CN106356303A (zh) * 2015-07-24 2017-01-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN106356303B (zh) * 2015-07-24 2019-12-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN113539792A (zh) * 2021-07-09 2021-10-22 中国科学院上海微系统与信息技术研究所 全环绕栅极晶体管的制备方法
CN113539792B (zh) * 2021-07-09 2024-03-01 中国科学院上海微系统与信息技术研究所 全环绕栅极晶体管的制备方法

Also Published As

Publication number Publication date
TW200503077A (en) 2005-01-16
TWI282117B (en) 2007-06-01
IL172252A0 (en) 2006-04-10
US20040238885A1 (en) 2004-12-02
KR20060085169A (ko) 2006-07-26
WO2004112102A2 (en) 2004-12-23
JP4701181B2 (ja) 2011-06-15
US20040259334A1 (en) 2004-12-23
EP1629524A2 (en) 2006-03-01
US20080116483A1 (en) 2008-05-22
US7473587B2 (en) 2009-01-06
IL172252A (en) 2010-12-30
KR100763676B1 (ko) 2007-10-04
US7679141B2 (en) 2010-03-16
US7049660B2 (en) 2006-05-23
US20050208780A1 (en) 2005-09-22
JP2007502030A (ja) 2007-02-01
US7348253B2 (en) 2008-03-25
WO2004112102A3 (en) 2005-02-24

Similar Documents

Publication Publication Date Title
CN1799136A (zh) 通过在硅锗合金熔点附近进行退火而制造sgoi的方法
EP0843345B1 (en) Method of manufacturing a semiconductor article
CN100345246C (zh) 制造绝缘体上硅锗衬底材料的方法以及该衬底
KR100587997B1 (ko) 반도체 재료의 필름 또는 층, 및 그 필름 또는 층의제조방법
CN1265431C (zh) 弛豫、低缺陷绝缘体上SiGe及其制造方法
US7226504B2 (en) Method to form thick relaxed SiGe layer with trench structure
US6143629A (en) Process for producing semiconductor substrate
US7855127B2 (en) Method for manufacturing semiconductor substrate
JP4386333B2 (ja) 半導体基板の製造方法
US6054363A (en) Method of manufacturing semiconductor article
EP0867922A2 (en) Semiconductor substrate and method of manufacturing the same
US20040241459A1 (en) Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
CN1615541A (zh) 制备用于应变SiCMOS应用中的高质量弛豫的绝缘体上SiGe的方法
CA2220600C (en) Method of manufacturing semiconductor article
WO2007024277A2 (en) Method of transferring a thin crystalline semiconductor layer
CN100350561C (zh) 制作亚稳绝缘体上sige衬底材料的方法及衬底材料
US7977221B2 (en) Method for producing strained Si-SOI substrate and strained Si-SOI substrate produced by the same
EP2053650B1 (en) Method for producing semiconductor substrate
KR20070084075A (ko) 반도체 웨이퍼의 제조방법
US7902043B2 (en) Method of producing bonded wafer
CN1514472A (zh) 一种厚膜图形化绝缘体上的硅材料的制备方法
Liu et al. Microstructure and crystallinity of porous silicon and epitaxial silicon layers fabricated on p+ porous silicon
JP2005050984A (ja) 歪Si−SOI基板の製造方法及び該方法により製造された歪Si−SOI基板
JP4649918B2 (ja) 貼り合せウェーハの製造方法
Tsuji et al. Epitaxial Lift-off Technology for Solar Cell Application

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication