CN1823180A - 具有均匀轴向分布的等离子体的电容耦合等离子体反应器 - Google Patents

具有均匀轴向分布的等离子体的电容耦合等离子体反应器 Download PDF

Info

Publication number
CN1823180A
CN1823180A CNA038249960A CN03824996A CN1823180A CN 1823180 A CN1823180 A CN 1823180A CN A038249960 A CNA038249960 A CN A038249960A CN 03824996 A CN03824996 A CN 03824996A CN 1823180 A CN1823180 A CN 1823180A
Authority
CN
China
Prior art keywords
reactor
frequency
plasma
impedance
radio frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038249960A
Other languages
English (en)
Other versions
CN100532637C (zh
Inventor
杨江贵
丹尼尔·J·霍夫曼
詹姆斯·D·卡尔杜奇
道格拉斯·A·小布赫贝格尔
罗伯特·B·哈根
马修·L·米勒
江康丽
杰拉尔多·A·德尔加迪奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1823180A publication Critical patent/CN1823180A/zh
Application granted granted Critical
Publication of CN100532637C publication Critical patent/CN100532637C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J7/00Details not provided for in the preceding groups and common to two or more basic types of discharge tubes or lamps
    • H01J7/24Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space

Abstract

一种制造半导体晶片的等离子体反应器,其包含界定一处理室的边墙和顶板、工件支撑器阴极于处理室内、制程气体入口用以引导制程气体进入处理室内、与射频偏压功率产生器具有偏压功率频率,而此工件支撑器阴极具有工作表面面对顶板以支撑半导体工件。一偏压功率供应点位于工作表面,及一射频导体连接于射频偏压功率产生器和工作表面之间。介电套筒环绕射频导体一部分,此套筒具有沿着射频导体的轴长、介电常数和沿着射频导体的轴向定位,此套筒的轴长、介电常数和轴向定位是使得套筒提供电抗以增进工作表面上等离子体离子密度的均匀性。在进一步的方案中,反应器还可包含环状射频耦接环,其具有大致对应晶片周围的内径,射频连结环于工作表面和顶部电极之间延伸一段充分距离以接近晶片的周围,增进等离子体离子密度。

Description

具有均匀轴向分布的等离子体的电容耦合等离子体反应器
技术领域
本发明是有关于一种生产半导体晶片的等离子体反应器。
背景技术
本申请案是延续部份未定案的美国专利申请案第11/192271号,在2002年7月9日,标题为CAPACITIVELY COUPLED PLASMA REACTORWITH MAGNETIC CONTROL,由Daniel Hoffman等人提出。
本申请案也延续关于以下标题的申请案:美国专利申请案第09/527,342号,提出于2000年3月17日,标题为PLASMA REACTOR WITHOVERHEAD RF ELECTRODE TUNED TO THE PLASMA,由DanielHoffman等人提出;美国专利申请案第10/007,367号,提出于2001年10月22日,标题为MERIE PLASMA RECTOR WITH OVERHEAD RFELECTRODE TUNED TO THE PLASMA WITH SUPPRES SION,由DanielHoffman等人提出,其为延续部份的美国专利申请案第09/527,342号,提出于2000年3月17日;以及美国专利申请案第10/028,922号,提出于2001年12月19日,标题为PLASMA RECTOR WITH OVERHEAD RFELECTRODE TUNED TO THE PLASMA WITH ARCING SUPPES SION,由Daniel Hoffman等人提出,其为延续部份的美国专利申请案第09/527,342号,提出于2000年3月17日。
上述引用的申请案是描述一种等离子体反应装置,其超高频等离子体源电力是由顶部电极供应,而高频等离子体偏压电力则连接至支撑半导体晶片的平台内的阴极。超高频顶部电极有助于提升中心-高等离子体离子密度分布。偏压电力所产生的电场易为非均匀电场,它也会导致晶片或制品表面非均匀的等离子体离子密度分布。
均匀的等离子体离子密度分布有高度需求,因为它促进横越全部晶片表面的特征尺寸、蚀刻轮廓和蚀刻速率的均匀控制,导致广泛的制程范围。因此,可校正非均匀等离子体离子密度分布的特性是有益的。在寻找此特性中,我们发现非均匀等离子体离子密度放射分布有特殊原因,因此我们计划投入解释此特性。特定地说,因中心导体以垂直向上延伸通过工件支撑器的存在为一项原因,此中心导体引导高频等离子体偏压功率至工件。首先,中心导体借着顶部超高频电极影响功率储存的均匀度:它穿过晶片支撑晶座,在超高频功率中的接地回复路径里,建立阻抗的非均匀放射分布。其次,中心导体影响供应至工件支撑座的高频等离子体偏压功率产生的电场的放射分布。我们建议建立一种特性,可同时说明超高频接地回复路径的非均匀性,以及高频偏压电场的非均匀性,或者,在不考虑超高频电源下,说明高频偏压电场的非均匀性。
发明内容
一种处理半导体晶片的等离子体反应器,其包含界定一处理室的一边墙与一顶板、一工件支撑阴极在处理室内,此工件支撑阴极具有面对顶板的工作表面,以支撑半导体工件与一在工作表面下以静电接触晶片的传导台、用来引导制程气体进入处理室之制程气体入口、以及一具有偏压功率频率的射频偏压功率产生器。在传导台有一偏压功率供应点,且一射频导体连接于射频偏压功率产生器与传导台的偏压功率供应点之间。一介电套筒环绕部份的射频导体,此套筒具有沿着射频导体的轴长、介电常数和沿着射频导体的轴向定位,套筒的轴长、介电常数及定位使得套筒能提供可提高该工作表面上等离子体离子密度均匀度的电抗。
在一实施例中,通过介电套筒产生的电抗是使得偏压功率频率供应点的阻抗达到一值,该值较接近环绕此射频导体与套筒的工件支撑晶座的一部份的阻抗。在另一实施例中,顶板是一顶部电极,且反应器更包含一具有来源频率的来源功率产生器、一阻抗匹配组件连接于来源功率产生器和顶部电极之间、具有阻抗于来源功率频率的供应点、以及套筒的电抗降低供应点阻抗趋近于零。两者实施例可以被结合描述。
在进一步实施例中,反应器可包含一内径大致对应一工件周围的环状射频耦接环,此射频耦接环在工作表面和顶部电极之间延伸一充分距离,以加强接近工件周围的等离子体离子密度。射频耦接环可以装附于工作表面,并具有一上表面借耦接环厚度延伸于工作表面上。或者,射频耦接环可以装附于顶板,并具有一下表面借耦接环厚度延伸于顶板下。或者在工作表面的环可以结合顶板上的环。射频耦接环(或环组)可以结合介电套筒。
附图说明
为让本发明的上述和其它目的、特征、和优点能更明显易懂,下文特举一较佳实施例,并配合附图,作详细说明如下:
图1A、图1B与图1C所示为一等离子体反应器,具有控制等离子体离子均匀度的顶部超高频电极与顶部线圈。
图2所示为一示范性装置,以控制图1的顶部线圈。
图3A与图3B所示为图1的顶部线圈之电场图标法,而图3C为其空间描述法。
图4A、图4B、图4C以及图4D所示为图1的反应器的晶片表面蚀刻速率(纵轴),与不同操作模式的放射分布(横轴)的函数关系图。
图5A、图5B、图5C以及图5D所示为图1的反应器的晶片表面蚀刻速率(纵轴),与更进一步的操作模式的放射分布(横轴)的函数关系图。
图6所示为磁场与蚀刻速率的函数关系图。
图7与图8所示为具有MERIE磁铁的图1A的反应器。
图9是描述操作图1A的反应器的方法。
图10所示为磁压与离子或电子密度与图1A的反应器内晶片表面放射位置的函数关系图。
图11所示为蚀刻速率非均匀性与线圈电流的函数关系图。
图12所示为图11例子中,零线圈电流时的放射离子分布。
图13A与图13B比较在图11例子中,11安培线圈电流下测量到的与预测的蚀刻速率分布。
图14A与图14B比较在图11例子中,35安培线圈电流下测量到的与预测的蚀刻速率分布。
图15描述一更进一步操作图1A的反应器的方法。
图16所示为在对应图1A的反应器得到的磁场分布。
图17描述在晶片平面上,图16的磁场平方的斜率。
图18所示为另一在对应图1A的反应器得到的磁场分布。
图19描述在晶片平面上,图18的磁场平方的斜率。
图20所示为又一在对应图1A的反应器得到的磁场分布。
图21描述在晶片平面上,图20的磁场平方的斜率。
图22描述另一个操作图1A的反应器的方法。
图23描述一示范性控制图1A的反应器的微控制器操作。
图24所示为一等离子体反应器,包括图1A的反应器包含的特征。
图25所示为另一等离子体反应器,包括图1A的反应器包含的特征。
图26、图27、图28、图29A与图29B所示为图1A、图24与图25的反应器的气体分布平面。
图30与图31所示为如图26的在气体分布平面的温度控制特征。
图32与图33所示为对应图26的具有双区域气体流控制的气体分布平面。
图34所示为对应图1A的具有双区域气体流控制的等离子体反应器。
图35与图36所示为示范性的双区域气体流控制器。
图37所示为对应图34的等离子体反应器,其具有三个顶部线圈以控制等离子体离子分布。
图38与图39描述图26中,气体分布平面分别产生中心-低或中心-高的气体流分布的不同的气体注射孔图形。
图40、图41、图42与图43所示为不同安置的顶部线圈,以控制等离子体离子分布。
图44与图45所示为对应于图1A的等离子体反应器,其中反应器处理室上方与下方的高低磁线圈代替顶部线圈,以产生图45所见的尖形磁场。
图46所示为如何以结构磁场(CMF)线圈取代图44的上下线圈,以产生图45的尖形磁场。
图47所示为一图46的结构磁场线圈的操作模式,以产生一需求的磁场结构。
图48、图49与图50所示为图1A的反应器内的一环状有孔平面,以防止等离子体离子进入反应器的泵环。
图51所示为图1A的反应器的一方形实施例,以产生方形工件。
图52所示为对应于图1A的一反应器,其具有一可伸缩的晶片支撑晶座。
图53所示为一电容耦合等离子体反应器,其具有一介电套筒环绕连接至晶片偏压供应点的导体。
图54为介电套筒的放大侧视图。
图55为套筒相对于反应器的其它部份的对应俯视图。
图56为介电套筒侧视图。
图57A所示为可机械性调整的介电套筒的侧视图。
图57B所示为皆可机械性调整的多套筒段的侧视图。
图58是比较在不同的蚀刻制程里,有无介电套筒之调谐的蚀刻速率图。
图59为图58提到的制程的均匀性比较图。
图60为一具有底部射频耦接环的等离子体反应器的侧视图。
图61为一具有顶部与底部射频耦接环的等离子体反应器的侧视图。
图62为图61或图62中,受射频耦接环影响的反应器的不同放射制程区域的俯视图。
图63是比较不同材质的射频耦接环的电容放射分布图。
图64是比较无耦接环、有单耦接环和双耦接环时的蚀刻速率的放射分布图,其中耦接环的材质为铝,且仅供应晶片偏压功率。
图65是比较无耦接环、有单耦接环和双耦接环时的蚀刻速率的放射分布图,其中耦接环的材质为铝,且借顶部电极供应480瓦的超高频来源功率。
图66是比较无耦接环、有单耦接环和双耦接环时的蚀刻速率的放射分布图,其中耦接环的材质为石英,且仅供应晶片偏压功率。
图67是比较无耦接环、有单耦接环和双耦接环时的蚀刻速率的放射分布图,其中顶部电极供应480瓦的超高频来源功率,且耦接环的材质为石英。
附图标记说明:
5:圆柱边墙                10:顶板
11:阻抗匹配组件           12:超高频信号产生器
15:支撑晶座               20:工件
25:气体供应器             30:真空泵
40:射频产生器             45:匹配电路
60:内线圈                 64:线圈
65:外线圈                 70:直流电流供应器
75:直流电流供应器         76:直流电流供应器
82a:电位计                82b:电位计
84a:开关                  84b:开关
90:控制器                 91:微处理器
92:MERIE电磁铁            94:MERIE电磁铁
96:MERIE电磁铁            98:MERIE电磁铁
99:电流控制器             100:反应器处理室
105:晶片支撑晶座          110:晶片
115:导体或半导体环        120:介电环
125:传导电极              126:电极组件
127:处理室主体            130:介电密封垫
135:同轴短截线            135a:同轴远程
135b:同轴近端             140:内圆柱导体
145:外圆柱导体            147:绝缘体
150:射频产生器            150a:射频回复终端
150b:射频功率终端         160:同轴阀门
162:同轴线                165:终端导体
170:气体线                172:气体进口
173:冷却剂线              174:冷却剂信道
175:传导外罩              176:传导圆柱体
180:介电环                300:气体注射口
302:中心部份              303:圆柱指针
902:MERIE磁铁             904:MERIE磁铁控制器
910:分析测定区块         901’:分析测定区块
920:测量离子密度         920’:测量离子密度
930:区块                 930’:区块
940:区块                 940’:区块
950:区块                 950’:区块
960:区块                 960’:区块
1020:支撑架              1025:转体
1402:盖子                1404:基底
1406:盘面                1408:环状墙
1410:承担器              1414:歧管
1414a:中心歧管           1414b:外歧管
1414c:外歧管             1416:气体入口
1418:气体出口            1420:气体入口
1502:碳化硅覆膜          1504:聚合物黏接层
1520:温度控制组件        1522:晶片护套
1524:热交换器            1602:环隔板
1604:内隔板              1606:外隔板
1610:双区域控制器        1612:气体供应器
1618:叶片                1640:流体控制器
1651:阀                  1652:阀
2210:定义分析模式        2220:选择磁场
2230:寻找模式            2240:储存电流
2250:选择电流值          2260:应用电流值
2310:蚀刻晶片表面        2320:洁净顶板
2330:区块                2350:区块
2360:区块                2370:区块
2380:区块                2390:区块
4060:线圈                4062:线圈
4064:线圈                4066:线圈
4068:线圈                4420:线圈
4440:线圈                  4610:电磁铁
4610a:上导体               4610b:下导体
4620:电磁铁                4620a:上导体
4620b:下导体               4620c:垂直导体
4630:电磁铁                4630a:上导体
4630b:下导体               4630d:垂直导体
4640:电磁铁                4640a:上导体
4640b:下导体               4650:MERIE反应器
4660:电流控制器            4810:栅栏
4820:开口                  4910:处理室衬垫
4920:上水平段              4930:垂直段
4940:下水平段              4950:整体部份
4960:基底                  4962:环围栏
4964:环围栏                4972:温度控制组件
4974:温度控制组件          4976:温度控制组件
5210:下线圈                5220:外线圈
5505:金属垫层              5510:隔离层
5515:传导网层              5520:上隔离层
5525:延长导体(射频导体)    5525a:上终端
5530:内部部份              5535:外部部份
5540:外部射频部份          5545:射频回复路线
5550:电介质圆柱套筒        5552:上段
5554:中段                  5556:下段
5558:套筒引导              5560:控制钮
5560a:上控制钮             5560b:中控制钮
5560c:下控制钮             5565:线杆
5570:支撑套筒              5570a:上支撑套筒
5570b:中支撑套筒           5570c:下支撑套筒
5580:环                    5580a:环上表面
5585:接地环                5585a:接地环上表面
5590:第一射频耦接环        5595:第二射频耦接环
具体实施方式
特定等离子体反应器所显示的等离子体离子密度分布,为处理室压力、气体混合与扩散、以及来源功率辐射图案的函数。在本发明中,此分布是磁性地改变为趋近一预定改善制程均匀性的选定或理想分布。磁性地改变过或校正过的等离子体离子密度分布可改善横越晶片或工件表面的制程均匀性。为了此目的,磁性校正过的等离子体分布可依使用者需求为均匀或非均匀。我们发现通过一平均磁场强度施加压力于一等离子体上,可增进改变等离子体分布至需求水准的效率。根据这发现,通过增加磁场梯度的放射组成,可达到此意外的结果。应了解的是,放射方向是围绕圆柱形处理室的对称轴。因此,所需要的一磁场结构,是具有一大放射梯度,以及在其它方向的小磁场强度。此种磁场是尖形的,且其对称轴与圆柱形反应器处理室的轴一致。形成尖形磁场的方法为在圆柱形处理室的上方及下方提供线圈,并在相反的方向以直流电流通过这些线圈。
依据此处理室的设计,在晶片平台下提供线圈可能不切实际,因此,在第1个例子中,上述线圈可满足这些目的。此外,需要使尖形磁场可安装或可调整,以精确控制或变更在给定的等离子体反应器处理室内(“周遭的”等离子体离子分布)固有的等离子体离子分布。因为在不同组合的反应器内的等离子体离子分布不同,此可调整性在某些状况中是必要的。选择磁场斜率的放射组成,以提供改变周遭分布至欲求分布所需的磁压力。举例而言,若欲求分布为均匀分布,则选择供应的磁场,是抵销无磁场时反应器内等离子体离子密度放射分布的非均匀性。在此状况中,例如,若反应器欲有中心-高等离子体离子密度分布,则选择的磁场梯度,是维持晶片支撑晶座中心的等离子体密度,并提高其周围的等离子体密度,以达到均匀性。
根据我们的发现,通过使用至少一不同于第一线圈半径(例如:较小的半径)的第二顶部线圈,可实现尖形磁场的可调整性。在各线圈中的直流电流可独立调整,以在相当弹性的方式中,容许尖形磁场的形成,以改变几乎任何周遭等离子体离子分布至近似所要求的等离子体离子分布。可设计磁场结构的选择,以修改中心-高或中心-低的等离子体离子密度分布。
可知的一项优点是双-折叠,其中尖形磁场具有相对于磁场强度(如上述的)显得大的放射梯度,因而高效率于运用校正的压力于等离子体上。然而,因磁场是不变的,不易产生电弧,因此当必要时,也可以使用有更大修正电容的较强磁场。如同本说明书稍后将描述的,此特征在较高的处理室压力中相当有帮助。
图1A所示为一可调整的尖形磁场的等离子体反应器。图1A的反应器包括一圆柱形边墙5、一气体分布板的顶板10、以及一握持半导体工件20的晶片支撑晶座15。具传导性的顶板10或气体分布板,是作为正极,或有一正极与其相连。顶板10或气体分布板典型是铝材,并在面对处理室的内表面具有内部气体歧管和气体注入孔。制程气体供应25供应制程气体至顶板10。真空泵30控制处理室内部的压力。穿过阻抗匹配电路45连接到晶片支撑晶座15的射频产生器40,产生等离子体来源功率,其点燃并维持反应器处理室内的等离子体,因此晶片支撑晶座当作一射频电极使用。正极(其可为导体材料形成之顶板10)连接到射频地线,因此它当作反电极。此类反应器易有非常不均匀的等离子体离子密度分布,此通常为中心-高的等离子体离子密度分布。
图1B所示为一特征,其顶板10穿过阻抗匹配组件11(仅示意性地表示),连接至等离子体来源功率的超高频信号产生器12,而非如图1A般,直接连至接地。在此例子中,射频产生器40只控制在半导体晶片或半导体工件20上的射频偏压。(阻抗匹配组件11可为固定调整组件,例如:同轴调谐短截线或分线电路)。此特征在本说明书稍后的部份会有较详细的说明。
为了控制等离子体离子密度的分布,在顶板10上使用一组线圈。在图1A的例子中,这组线圈包含一内线圈60和一外线圈65,它们与圆柱形处理室同轴,并各有单缠绕的导体。图1A所示为的内线圈60和外线圈65只绕一圈,但他们也可以垂直地绕许多圈,如图1B所示。或如图1C所示,内线圈60和外线圈65可同时垂直及水平延伸。在图1A的图例中,内线圈60离顶板10比外线圈65离顶板10远。然而,在其它例子中,此安排可能会相反,或内线圈60与外线圈65可能在顶板10上方同高度处。
在图1A和图1B的图例中,控制器90借控制分别与内线圈60与外线圈65相接的独立的直流电流供应器70与直流电流供应器75,决定分别流至内线圈60与外线圈65的电流的强度和极性。现请参考图2,所示为一例子,其控制器90决定自直流电流供应器76,通过控制器90,供应至内线圈60与外线圈65的直流电流,其中控制器90分别连接内线圈60与外线圈65。在两例子中,控制器90能够使不同极性和强度的直流电流流至不同的内线圈60与外线圈65。在图2的例子中,控制器90包含一对可调整直流电流的电位计82a与电位计82b,其直流电流分别供应至内线圈60与外线圈65,以及一对独立决定供应到内线圈60和外线圈65的直流电流极性的开关84a与开关84b。控制器90可包含一个可程序化组件,如微处理器91,以控制电位计82a、电位计82b、开关84a与开关84b。
图1A、图1B与图1C所示为的内线圈60与外线圈65的置放方式有些优点,其中内线圈60比外线圈65离顶板10的高度更大。确切地说,任一线圈所提供磁场梯度的放射组成至少粗略地正比于线圈半径,且反比于线圈的轴向位移。因此,内线圈60与外线圈65因其不同的尺寸和位移,而扮演不同的角色:外线圈65因其较大半径与较接近晶片20,支配横越晶片20的整个表面,而内线圈60对晶片中心有最大的影响力,故可当作调整线圈,以做磁场的调整与造形。其它的置放方式可藉不同线圈的不同半径和与等离子体的不同距离,以实现此差异控制。如本说明书稍后会参考实作例子所作的描述,对周遭等离子体离子密度分布所做的改变可得自于选择在各顶部线圈(60、65)内流动的电流的不同大小、极性与方向。
图3A所示为内线圈60所产生的磁场放射强度(实线)与方位角(虚线),与图1A的晶片20上半径位置的函数关系。图3B所示为外线圈65所产生的磁场放射强度(实线)与方位角(虚线),与晶片20上半径位置的函数关系。所示为于图3A与图3B的数据得自于一实例,其晶片20的直径为300mm、内线圈60的直径为12英寸且置于等离子体上方约10英寸、外线圈65的直径为22英寸且置于等离子体上方约6英寸。图3C为一简化的图,所示为内线圈60与外线圈65所产生的半尖形磁场线图。
图2的控制器90可改变分别供应至内线圈60与外线圈65的电流,以调整晶片表面的磁场,并借以改变等离子体离子密度的空间分布。现将说明内线圈60与外线圈65提供的不同磁场的效力,以说明控制器90借改变这些磁场,如何深切地影响与改善在处理室内的等离子体离子分布。在随后的例子中,是直接测量横越晶片表面的蚀刻速率的空间分布,而非等离子体离子分布。蚀刻速率分布随着等离子体离子分布的改变直接改变,因此,其中之一有变化亦会影响到另一者。
图4A、图4B、图4C与图4D所示为仅在低处理室压力(30mT)下使用内线圈60的有益效用。图4A所示为测量的蚀刻速率(垂直Z轴)与晶片20表面上的位置(水平X和Y轴)的函数关系图。因此,图4A所示为蚀刻速率在晶片表面平面上的空间分布。图4A可清楚见到蚀刻速率分布的中心-高非均匀性。图4A对应未使用磁场的状况,故其所示为反应器内固有的非均匀蚀刻速率分布,且其需要修正。在此例中,蚀刻速率的标准差为5.7%。在第4图及第5图中,磁场强度将被描述如靠近晶片中心的轴向场,虽然已知其放射场是一作用于等离子体离子密度分布的放射分布,以改善均匀度。在描述中选择此轴向场,因为其能实时测量。在晶片边缘的放射场大约为此位置的轴向场的三分之一。
图4B所示为当内线圈60产生9高斯的磁场时,蚀刻速率分布如何改变。非均匀性的标准差减少至4.7%。
图4C的内线圈60的磁场增加至18高斯,可清楚见到其中心的突峰剧减,故横越晶片的蚀刻速率标准差减少至2.1%。
图4D的内线圈60的磁场更进一步增加至27高斯,因此图4A的中心-高图形几乎转化成一中心-低图形。在图4D例子中的横越晶片表面的蚀刻速率标准差为5.0%。
图5A、图5B、图5C与图5D所示为在较高处理室压力(200mT)下使用内线圈60与外线圈65的有益效用。图5A对应于图4A,并描述未以磁场校正的反应器的中心-高蚀刻速率非均匀性。在此例子中,横越晶片表面的蚀刻速率标准差为5.2%。
在图5B中,外线圈65可产生一22高斯的磁场,其于蚀刻速率分布中减少一点中心突峰。在此例子中,蚀刻速率标准差减少到3.5%。
在图5C中,内线圈60与外线圈65可产生一24高斯的磁场。其结果可见于图5C,当蚀刻速率接近周围有增加时,蚀刻速率分布中的中心突峰有明显减少。总结结果为一较均匀的蚀刻速率分布伴随一低标准差3.2%。
在图5D中,两线圈可产生一40高斯的磁场,与一过校正,因此,横越晶片表面的蚀刻速率分布转换为中心-低分布。其蚀刻标准差在此例子有较轻微提升(与图5C例子相比)到3.5%。
比较从图4A到图4D的低压力测试与从图5A到图5D的高压力测试所得的结果,可见较高处理室压力需要一大很多的磁场,以获得蚀刻速率非均匀分布的相似修正。举例而言,在30mT,只在内线圈60使用18高斯,可得一理想的校正,而在300mT,需要使用内线圈60与外线圈65的24高斯磁场以达到一理想校正。
图6显示顶部线圈的磁场对等离子体离子密度的均匀性或蚀刻速率分布有较大影响,但对蚀刻速率本身没有大影响。这是有益的,当需要改善蚀刻速率分布的均匀性时,不要改变一选定的半导体制程的蚀刻速率较佳。在图6中,菱形代表测量的蚀刻速率(左手垂直轴)与磁场(水平轴)的函数关系,而方形描述蚀刻速率(右手垂直轴向)的标准差(非均匀性)与磁场的函数关系。在所示为范围中的非均匀性的改变约为大小的一次方,蚀刻速率的改变只约为25%。
图1A、图1B与图1C的内线圈60与外线圈65可能使用已知的MERIE反应器。图7和图8所示为一例子,对应于图1A,但多四个已知的MERIE电磁铁92、电磁铁94、电磁铁96与电磁铁98,以及一MERIE电流控制器99。电流控制器99提供交流电至各电磁铁92、电磁铁94、电磁铁96与电磁铁98。各电流为相同低频率,但相位相差90°,以在传统的方式中提供一缓慢旋转的磁场于处理室内。
以顶部线圈控制等离子体分布
依照本发明的一种方法,在特定反应器内固有的横越晶片表面的等离子体离子密度分布,是以特定方式量身订做,其为借选择内线圈60与外线圈65产生的特定磁场。举例而言,可设计等离子体分布以产生一较均匀的蚀刻速率分布横越晶片表面。举例而言,此设计可实现于编写控制器90的程序,以选择在顶部线圈中的直流电流的理想极性和振幅。
当现在的例子考虑仅有两个集中的顶部线圈(亦即内线圈60与外线圈65)的反应器,多于两个线圈也可使用此方法,并用较多的顶部线圈可提供较准确的结果。磁场通过控制器90来订做,已改变横越晶片表面的等离子体离子密度分布,其依序影响蚀刻速率分布。
第一步是在没有内线圈60与外线圈65提供磁场校正下,测量横越晶片表面的蚀刻速率分布。下一步是在等离子体离子密度分布中决定一改变,等离子体离子密度分布使蚀刻速率分布较均匀。最后一步是决定一将产生改变于等离子体离子密度分布的磁场。在这磁场下,在内线圈60与外线圈65中电流的大小与方向可由已知的静磁场方程式导出。
我们有发现一种计算的方法,从磁场和等离子体上的内线圈60与外线圈65的磁场的压力(也称为磁压)。此将在以下讨论。等离子体上的磁压产生等离子体离子密度分布的改变。此等离子体离子密度分布的改变产生一正比于横越晶片表面的蚀刻速率分布,其可直接观察到。横越晶片表面的等离子体离子密度分布以及蚀刻速率分布因而至少粗略有一比例关系。
起初,横越晶片表面的蚀刻速率的空间分布测量先于内线圈60与外线圈65的磁场应用。从这点,可决定在蚀刻速率分布所需的一改变(以达到均匀分布)。其次,可从各线圈的几何,决定内线圈60与外线圈65产生的磁场空间分布,与处理室内位置,以及线圈内电流的函数关系。然后,借着在线圈上应用已知的电流组,接着测量在横越晶片表面的蚀刻速率分布的改变结果,可演绎出一线性刻度系数,其连接从所有晶片表面的线圈的磁场向量总合至晶片表面的蚀刻速率分布的改变。(此刻度系数一般是在等离子体的中压函数且约500mT处理室压力)。因此,假设在蚀刻速率分布有一改变或校正(为了达到较好的均匀度),可发现必要的磁场(在本说明书稍后描述此方式),且可使用事先定义分析的磁场空间分布函数,从此推论对应的线圈电流。
可用多种方式设定蚀刻速率分布内非均匀性的校正。举例而言,可从一均匀或平均蚀刻速率减少横越晶片表面的二维蚀刻速率分布,以产生一「差异」分布。以此方法校正蚀刻速率分布的非均匀性是在反应器处理室内许多因素的结果,包括组合的来源功率的非均匀应用、非均匀制程气体分布、与非均匀等离子体离子密度分布。在前述的方法,借磁压改变的等离子体离子密度分布校正非均匀性。
也可以使用接下来的方法以建立一「校正的」等离子体分布,其在一些方面为非均匀性。在此例子中,校正被造成于「非校正」或围绕等离子体离子密度分布和要求分布之间的差异(此为本身非均匀性)。因此,此方法有用于产生较均匀或不一定均匀的特别选定的密度分布图形的等离子体密度分布。
现参考图9描述一连串可实现前述方法的步骤。
第一步骤(图9的区块910)分析定义每一个内线圈60与外线圈65,其表现晶片表面的磁场与线圈电流及晶片表面半径位置的函数。使用圆柱形坐标,此表现法可将第ith线圈写成Bi(r,z=wafer,Ii)。此由Biot-Savart定律而来。
下一步骤(图9的区块920)在内线圈60与外线圈65不具电流下实现。在此步骤中,测量横越晶片表面的等离子体离子密度的空间分布。此空间分布可写成n(r,z=wafer)。在此步骤中,等离子体离子密度分布可借着测量横越测试晶片表面的蚀刻速率而间接测量。熟悉此技术的人可以很快地自蚀刻速率分布推导出等离子体离子密度分布。
接着,在区块930的步骤中,决定前一步骤测量的等离子体离子密度空间分布函数n(r,z=wafer)的一修正函数c(r)。修正函数c(r)可以很多恰当方式定义。举例而言,其可以被定义为最大值n(r,z=wafer)max减去n(r,z=wafer)。在此方法中,加入c(r)到n(r,z=wafer)中产生一具有相当于n(r)max的均匀振幅的「校正」分布。当然,修正函数c(r)可被不同定义,以产生不同的均匀振幅。或者,如上简短的描述,若所要求的分布是非均匀的,则修正则为所需分布与n(r,z=wafer)之间的差异。
下一步骤(区块940)是为内线圈60与外线圈65选择一「测试」电流Ii,并应用此电流到适当的线圈和测量等离子体离子分布,其可写成n(r,z=wafer)test。离子分布的改变Δn(r)可得自于减去伴随或不伴随磁场的离子分布:
Δn(r)≡n(r,z=wafer)-n(r,z=wafer)test
下一步(区块950)是去计算一比例因子S,使磁场使用的压力斜率(亦即磁压)与离子分布的改变Δn(r)有关。此计算是以磁压斜率除以Δn(r)。根据磁流体方程式,计算第ith线圈的磁场B(r,z=wafer,Ii)的磁压斜率:
rP≡-r〔B(r,z=wafer,Ii)2/2μ0〕
其中下标符号r标记放射组成。相加各线圈所得到的结果。因此,总磁压斜率为:
-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}因此,比例因子S是:
S={-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}}/Δn(r)
此除法运算可以在r的不同值中导出,而其结果被平均以获得S于数量形式。否则,比例因子S将为r的函数且被使用在适当的方式。
区块950的步骤中所发现的比例因子S,为决定磁压的线圈电流Ii和离子分布的改变结果之间的连结。确切地说,给予一线圈电流Ii的设定,可计算在离子分布n(r)中的对应改变可借着增加自借着比例因子S的Ii的设定所定义的磁压:
Δn(r)={-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}}/S
此事实为接下来的步骤提供了基础(区块960),其中一计算机(如微处理器91)使用前述的方程式去搜寻一组线圈电流Ii,其产生最接近先前特定或要求的等离子体离子密度分布中的改变Δn(r)。在此例子中,所要的改变相当于在区块930的步骤中计算的修正函数c(r)。换言之,计算机搜寻一组满足以下情形的线圈电流Ii
{-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}}=c(r)S
此搜寻可通过已知的最佳化技术导出,例如,大幅下降的方式。此技巧可由熟悉此技术的人轻易解出,在此不需加以说明。
搜寻发现的这组线圈电流Ii的大小和极性随后传送到控制器90,其依序供应这些电流到各内线圈60与外线圈65。
图10比较磁压(实线)与在等离子体离子分布测量的改变(虚线),为晶片表面放射位置的函数。如上所述,此磁压是顶部线圈磁场平方的斜率。图10指出在磁压和离子分布密度的改变之间有很好的相关性。
此种方法的应用所示为于图11到第14图。图11所示为晶片表面的蚀刻速率空间分布的非均匀化或标准差(垂直轴向)如何随着一顶部线圈内的线圈电流而变化。在零线圈电流中,标准差约12%,而离子分布为如图12所示的为中心-高。
约3%的最小非均匀性完成于约17安培的线圈电流。此代表一四倍的改善(亦即,蚀刻速率分布12%到3%的标准差)。实际或测量到的蚀刻速率分布如图13A所示,而以图9预测的蚀刻速率分布如图13B所示。
在35安培的高线圈电流中,蚀刻速率分布标准差约14%。测量到的蚀刻速率分布如图14A所示,而预测的蚀刻速率分布如图14B所示。
再次参考图13A,所获得的最均匀离子分布当然是非平坦的,且事实上是具有「碗」状,接近周围内凹且接近中心凸面。这是可能的,随着多数的独立顶部线圈(例如三个或更多),电流的最佳化将伴随较多数的结果和较佳的均匀度产生。因此,本发明并不局限事实上具两个线圈的例子。本发明可以使用小于或多于两个顶部线圈的不同的结果来实现。
可应用同样的方法以控制顶板表面的等离子体离子密度分布或蚀刻速率分布。此方法可使用在处理室清洁操作期间,例如,图15所示为图9一种方法,其中离子密度(或蚀刻速率)空间分布的均匀度是最佳的。图15的步骤,即为区块910′、区块920′、区块930′、区块940′、区块950′以及区块960′,其相同于图9之区块910、区块920、区块930、区块940、区块950以及区块960,除了它们是以顶板平面而非晶片平面:
第一步骤(图15的区块910′)分析定义每一个内线圈60与外线圈65,其表现顶板表面的磁场与线圈的电流以及于晶片表面半径位置的函数。使用圆柱形坐标,此表现法可将第ith线圈写成Bi(r,z=wafer,Ii)。此由简单静磁场方程式而来,且不但为顶板表面的线圈电流Ii与放射分布r的函数,更为如线圈半径与线圈和顶板内表面之间的距离,z=顶板的常数的函数。
下一步骤(图15的测量离子密度920′)在内线圈60与外线圈65不具电流下实现。在此步骤中,测量横越顶板表面的等离子体离子密度的空间分布。此空间分布可写成n(r,z=ceiling)。在此步骤中,等离子体离子密度分布可以借已知的探针或其它间接技术测量。
接着,在区块930’的步骤中,决定前一步骤测量的等离子体离子密度空间分布函数n(r,z=ceiling)的一修正函数c’(r)。(值得注意的是,这里使用的此标记「’」,是为了区别图15与上述图9的这些计算,不暗示在此的推导)。修正函数c’(r)可以很多恰当方式定义。举例而言,其可以被定义为最大值n(r,z=ceiling)max减去n(r,z=ceiling)。在此方法中,加入c’(r)到n(r,z=ceiling)中产生一具有相当于n(r)max的均匀振幅的「校正」分布。当然,修正函数c’(r)可被不同定义,以产生不同的均匀振幅。若要求特定的非均匀分布,则修正为未修正或周遭等离子体分布n(r,z=ceiling)与需求的非均匀分布之间的差异。因此,该方法可以被使用于建立一个所需的特定非均匀图形的等离子体离子分布,或一均匀的等离子体离子密度分布。
下一步骤(区块940’)是为内线圈60与外线圈65选择一「测试」电流Ii,并应用此电流到适当的线圈和测量等离子体离子分布,其可写成n(r,z=ceiling)test。离子分布的改变Δn(r)可得自于减去伴随或不伴随磁场的离子分布:
Δn′(r)=n(r,z=ceiling)-(r,z=ceiling)test
下一步(区块950’)是去计算一比例因子S,使磁场使用的压力斜率(亦即磁压)与离子分布的改变Δn’(r)有关。此计算是以磁压斜率除以Δn’(r)。根据磁流体方程式,计算第ith线圈的磁场B(r,z=ceiling,Ii)的磁压斜率:
rP≡-r〔B(r,z=ceiling,Ii)2/2μ0〕
其中下标符号r标记放射组成。相加各线圈所得到的结果。因此,总磁压斜率为:
-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}
因此,比例因子S为:
S′={-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}}/Δn′(r)
区块950’的步骤中所发现的比例因子S’,为决定磁压的线圈电流Ii和离子分布的改变结果之间的连结。确切地说,给予一线圈电流Ii的设定,可计算在离子分布n’(r)中的对应改变可借着增加自借着比例因子S’的Ii的设定所定义的磁压:
Δn′(r)={-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}}/S′
此事实为接下来的步骤提供了基础(区块960’),其中一计算机(如微处理器91)使用前述的方程式去搜寻一组线圈电流Ii,其产生最接近先前特定或要求的等离子体离子密度分布中的改变Δn’(r)。在此例子中,所要的改变相当于在区块930’的步骤中计算的修正函数c’(r)。换言之,计算机搜寻一组满足以下情形的线圈电流Ii
{-r{∑i〔B(r,z=wafer,Ii)2/2μ0〕}}=c′(r)S′
此搜寻可借已知的最佳化技术导出,例如,大幅下降的方式。此技巧可由熟悉此技术的人轻易解出,在此不需加以说明。
搜寻发现的这组线圈电流Ii的大小和极性随后传送到控制器90,其依序供应这些电流到各内线圈60与外线圈65。
若只用一个顶部线圈,可以使用仪器来最佳化等离子体离子分布均匀性,可以在晶片上或顶板上,但非同时。若至少用两顶部线圈(例如内线圈60与外线圈65),等离子体离子分布均匀化可至少接近理想地同时形成于晶片和顶板上。
用顶部线圈操作等离子体
我们发现可以选择线圈电流Ii,以操作等离子体朝向顶板及/或边墙,或至晶片表面。也可选择线圈电流Ii来改善等离子体密度分布于顶板表面的均匀性,以一种近似图9的方式。结果,等离子体可于制程期间被集中在晶片上,并在清洁期间可以集中于顶板及/或边墙。借着集中等离子体于顶板,清洁时间会减少。
在一例子中,借着控制器90操作等离子体至处理室的边墙,供应-17.5安培电流到内线圈60和12.5安培电流到外线圈65。图16所示为处理室内部的一放射部份,沿着水平轴向自零半径延伸到处理室周围,以及沿着垂直轴向自晶片表面延伸到顶板。图16的小箭头指出于处理室不同的位置之磁场的大小与方向,当借着控制器90操作等离子体至处理室的边墙,供应-17.5安培电流到内线圈60和12.5安培电流到外线圈65。图17所示为晶片表面磁场平方的对应斜率与放射位置的函数关系。
在另一例子中,借着控制器90操作等离子体至处理室的顶部,供应-12.5安培电流到内线圈60和5安培电流到外线圈65。图18所示为处理室内部的一放射部份,沿着水平轴向自零半径延伸到处理室周围,以及沿着垂直轴向自晶片表面延伸到顶板。图18的小箭头指出在处理室不同的位置的磁场的大小与方向,当借着控制器90操作等离子体至处理室的边墙,供应-12.5安培电流到内线圈60和5安培电流到外线圈65。图19所示为晶片表面磁场平方的对应斜率与放射位置的函数关系。
在更进一步的例子中,借着控制器90操作等离子体沿着磁力线,从顶板中心延伸至边墙,供应-25安培电流到内线圈60和2.75安培电流到外线圈65。图20所示为处理室内部的一放射部份,沿着水平轴向自零半径延伸到处理室周围,以及沿着垂直轴向自晶片表面延伸到顶板。图20的小箭头指出在处理室不同的位置的磁场的大小与方向,当借着控制器90操作等离子体至处理室的边墙,供应-25安培电流到内线圈60和2.75安培电流到外线圈65。图21所示为晶片表面磁场平方的对应斜率与放射位置的函数关系。
图17所示为当操作等离子体至边缘时,在处理室边缘使用等离子体的高正值的磁压。图19所示为当操作等离子体至顶板边缘时,在处理室边缘使用等离子体的高正值的磁压。图21所示为当磁力线从顶板延伸至边缘时,在处理室边缘显示出一高负值的磁压。
因此,可选择内线圈60与外线圈65的电流,以操作等离子体到处理室也许需要清洁的不同位置,如顶板与边墙。或者,等离子体可被集中更靠近晶片。为了操作等离子体到晶片或顶板,或者根据一些操作比SR,分配等离子体于晶片和顶板之间,一种如图22所示为的方法可被导出。
现请参考图22,第一步骤(图22的区块2210)是定义一处理室内的磁场的分析模型,与顶部线圈(如内线圈65与外线圈65)内所有电流的函数关系。熟悉此项技术的人可使用静磁场方程式完成,不需在此描述。磁场是每一线圈的个别磁场的总合。个别的磁场是各线圈的直径、各线圈的位置、各线圈的电流以及在处理室内位置的函数。因此,第ith线圈产生的磁场可写成:
B(x,y,z,Ii)
总磁场写成:
i{B(x,y,z,Ii)}
下一步骤(区块2220)为选择一组磁场,其满足一组所需的制程状况。举例而言,为了操作等离子体到顶板,选择一个磁场以产生一磁压于等离子体上,其推动等离子体朝向顶板,如图18所示。为了操作等离子体到边墙,选择一个磁场产生一磁压于等离子体上,其推动等离子体朝向周围,如图16所示为。
对于定义在上述区块2220满足一特定的情况的步骤中的每一磁场,一计算机搜寻定义于区块2210的步骤中的模式,以产生所需的磁场的线圈电流。此为区块2230的下一步骤。每一组在区块2230步骤中找到的电流,以对应的名字存入一内存位置,其与对应的制程情况连结(图22的区块2240)。每当选择一特定的制程情况时(例如操作等离子体到顶板),微处理器91从对应的内存位置(区块2250)发出这组电流值,并使相应的电流到适当的线圈(区块2260)。
图23显示微处理器91可被设计以响应使用者的输入。必须先确定,制程是否包含晶片表面的蚀刻(区块2310),以及制程是否包含清除(蚀刻)顶板(区块2320)。若只有蚀刻晶片,则操作等离子体至晶片(区块2330),且在晶片表面的等离子体分布均匀性被最佳化(区块2350)利用图9的方法。如果同时清除顶板与蚀刻晶片,则等离子体密度被分配于顶板和晶片(区块2360)之间,且等离子体密度均匀性被最佳化利用于晶片表面,如图9所示为,与于顶板,如图15(区块2370)。如果只有顶板被清除,则操作等离子体到顶板(区块2380),且等离子体密度均匀性于顶板被最佳化运用(区块2390)。
使用顶部电极
图24所示为内线圈60与外线圈65如何与一反应器结合,此反应器具有一穿过固定调谐短截线,连接一超高频等离子体来源功率产生器的顶部电极。此反应器描述于美国专利申请案第No 10/028,922号,提出于2001年12月19日,标题为PLASMA REACTOR WITH OVERHEAD RFELECTRODE TUNED TO THE PLASMA,由Daniel Hoffman等人提出,并转让至现申请人,在此一并公开参考。
请参考图24,一等离子体反应器包含一在处理室底部具有支撑半导体晶片110的晶片支撑器105的反应器处理室100。在一实际实施例中,一制程设备可包含一导体或半导体环115,通过一介电环120支撑于接地的处理室主体127上。借助磁盘形顶部电极125界定处理室100的顶部,磁盘形顶部电极125是借一介电封环支撑于接地的处理室主体127上的晶片110上方的一间隙长度处。在一完成的例子中,晶片支撑器105可在垂直方向移动,因而可改变间隙长。在其它完成的例子中,间隙长可为固定的预设长度。顶部电极125可为镀上半金属材料(如硅或碳化硅)在其内表面的金属(如铝),或者它本身可为半金属材料。一射频产生器150供应射频功率给传导电极125。自射频产生器150产生的射频功率穿过一匹配至射频产生器150的同轴线162,进入连接至顶部电极125的同轴短截线135。同轴短截线135具有一特性阻抗,具有一共振频率,并提供一阻抗匹配在传导电极125和同轴线162或射频功率产生器150的输出之间,如下会详细描述的。处理室主体连接至射频产生器150的射频回路(射频地线)。介电环120的电容与介电密封垫130的电容会影响从传导电极125至射频地线的射频路径。晶片支撑器105、晶片110与制程设备导体或半导体环115提供供应到传导电极125的射频功率的基本射频回路。
如图1A的例子,内线圈60少于外线圈65直径的一半,且其所在的平面较外线圈65离处理室远。外线圈65位于或接近于传导电极125的上方的平面,而内线圈60位于传导电极125上方。如图1的例子,控制内线圈60与外线圈65的电流供应器70与电流供应器75的等离子体操作控制器90控制内线圈60与外线圈65的直流电流。
在一示范的例子中,电极组件126的电容包含传导电极125、导体或半导体环115、介电环120以及介电密封垫130,与射频回路或地线测量为180法拉。电极区域和间隙宽(在晶片支撑和电极之间的距离)影响电极组件电容,且借因素影响偏离电容,特别是介电密封垫130与介电环120的介电值,其依序被介电常数及使用材料的厚度影响。电极组件126的电容(一未定数目或数量)相同或近似于在特定的来源功率频率、等离子体密度以及操作压力下的等离子体的阴极电容强度(一多的数量),如以下的描述。
影响前述关系的许多因素大部份是预设的,由于等离子体制程需要反应器与晶片尺寸的需求,以及在晶片上的均匀化要求。因此,等离子体电容是等离子体密度与来源功率频率的函数,而电极电容是晶片支撑电极间隙(高)、电极直径、以及组合物的绝缘体的介电值的函数。等离子体密度、操作压力、间隙、以及电极直径必须满足反应器的等离子体制程要求。特别是,离子密度必须在某一范围内。举例而言,硅和介电等离子体蚀刻制程通常需要等离子体离子密度在109到1012ions/cc之间。举例而言,若间隙约2寸,则晶片电极间隙提供最佳等离子体离子分布均匀性为8寸晶片。电极直径至少在不超过的范围下,越接近晶片直径较佳。典型的蚀刻和其它等离子体制程的操作压力同样具有实际范围。
但又发现可选择其它因素以达成上述较佳的关系,来源频率的特定选择,与顶部电极组件126的电容的选择。在前述的尺寸在电极上的限制,与等离子体上的限制(例如密度范围),电极电容可与等离子体的阴极电容强度匹配,若选择来源功率频率为超高频频率,且若选择适当的电极组件126的绝缘体组件的介电值。此选择可于来源功率频率和等离子体-电极共振频率之间达到匹配或接近匹配。
所以在一示范的例子中,以一8寸晶片,顶部电极直径大约为11寸,间隙约为2寸,等离子体密度以及操作压力为上述的典型蚀刻制程,超高频来源功率频率是210MHz(虽然其它超高频频率同样有效),且来源功率频率、等离子体电极共振频率,以及短截线共振频率都匹配或接近匹配。
更特别的是,在来源功率频率为210MHz,电极等离子体共振频率大约为200MHz以及短截线频率约220MHz下,这三个频率可彼此稍微补偿,以达成一有利地减少系统Q的减少调整结果。系统Q的此减少使反应器表现对处理室内情况的改变较不敏感,因此全部的制程会更稳定,且可实现较宽的制程窗口。
一目前较偏好的模式使处理室与晶座直径适用于12寸直径晶片、1.25寸晶片至顶板间隙、以及162MHz超高频来源功率频率(而非上述的210MHz)。
同轴短截线135是一个特别结构的设计,可更进一步促进整个系统的稳定、宽的制程窗口能力、与许多其它宝贵优点。它包含内圆柱形导体140与外圆柱形导体145。例如一具有相对介电常数1的绝缘体147(在图24以交叉细线标示),填满内圆柱导体140与外圆柱导体145之间的空隙。例如,内圆柱导体140与外圆柱导体145可为镀镍的铝。在一代表性的例子中,外圆柱导体145的直径约4寸,而内圆柱导体140的直径约1.5寸。短截线特性阻抗决定于内圆柱导体140与外圆柱导体145的半径,以及绝缘体147的介电常数。上述例子中的同轴短截线135有一65欧姆的特性阻抗。一般而言,短截线特性阻抗超过来源功率输出阻抗约20%-40%,且约为30%较佳。同轴短截线135具有一轴长约29寸(一半波长于220MHz),以在与210MHz的超高频来源功率频率轻微补偿时,具有接近220MHz的共振来匹配。
在沿着同轴短截线135轴长的特定点使用同轴阀门160,以从射频产生器150供应射频功率到同轴短截线135,如下将描述。射频产生器150的射频功率终端150b和射频回复终端150a分别连接于内圆柱导体140与内圆柱导体145的同轴短截线135上的同轴阀门160。这些连接由产生器至短截线同轴线162形成,此产生器至短截线同轴线162具有匹配于射频产生器150的输出阻抗(通常为50欧姆)的特性阻抗。在同轴短截线135远程135a的终端导体165短路内圆柱导体140与内圆柱导体145,因此同轴短截线135短路于自身的远程135a。在同轴短截线135近端135b(非短路端),内圆柱导体145通过一环状导体支座或支架175连接至处理室主体,而内圆柱导体140通过一导体圆柱或支架176连接至传导电极125的中心。一介电环180置放于导体圆柱176和传导电极125之间,并分开它们。
内圆柱导体140提供一导管,以做如制程气体和冷却剂的用途。此特征的主要优点为,不同于典型的等离子体反应器,气体线170与冷却剂线173并没有大的电压差。因此它们可为能达到此目的的金属,一种较不贵且较可靠的材料。金属的气体线170供应与顶部电极125紧邻或在其内的气体进口172,而金属的冷却剂线173供应在顶部电极125内的冷却剂174。
通过射频产生器150和电极组件126之间的特殊结构短截线匹配,提供一主动共振的阻抗转换,以及处理等离子体负荷,缩小反射功率并提供非常广的阻抗匹配空间以容纳负荷阻抗的广泛改变。因此,可提供广泛制程范围以及制程弹性,与先前难以获得的功率上的效率,当缩小或避免典型阻抗匹配设备的需求。如上所述,短截线共振频率也自理想的匹配补偿到更进一步提高全部的系统Q,系统稳定、制程范围以及多制程能力。
电极-等离子体共振频率与超高频来源功率频率的匹配
如上所提,一主要的特征为构成电极组件126,以和等离子体共振于电极等离子体共振频率,以及为了匹配(或接进匹配)来源功率频率与电极等离子体频率。电极组件126具有一主要的电容电抗,而等离子体电抗为频率、等离子体密度以及其它参数的复合函数。(将更详细描述如下,以包含假设的条件并通常对应于阴极电容的复合函数的电抗分析一等离子体)。电极等离子体共振频率是由电极组件126和等离子体的电抗决定(类似于电容和电感的电抗决定电容/电感共振电路的共振频率)。因此,电极等离子体共振频率可不必为来源功率频率,取决其在等离子体密度。所以,问题是找出一来源功率频率,其等离子体电抗可使电极等离子体共振频率与来源功率频率相等或几乎相等,假设实用上的压力限制等离子体密度部份的范围与电极尺寸。此问题甚至更困难,因为等离子体密度(其影响等离子体电抗)以及电极尺寸(其影响电极电容)必须符合特定的制程限制。确切地说,对介电层与导体等离子体蚀刻制程,等离子体密度应于109-1012ions/cc的范围内,其为等离子体电抗的限制。更甚者,例如制作一8寸晶片的较均匀的等离子体离子密度分布,可借晶片到电极间隙或约2寸实现,以及一电极直径为晶片直径的次方倍,或更大,其为电极电容的压力。另一方面,一不同的间隙可利用于12寸晶片。
所以,借着匹配(或接近匹配)电极电容到等离子体的阴极电容的强度,电极等离子体共振频率以及来源功率频率至少接近匹配。对于上述测量的一般导体以及介电蚀刻制程条件(例如,等离子体密度于109-1012ions/cc,一2寸的间隙和一约11寸的电极尺寸),该匹配是可能的,如果来源功率频率为一超高频频率。另外的情况(例如,不同的晶片尺寸、不同的等离子体密度等)可要求一不同的频率范围,以实现反应器的特征的匹配。如下所描述,在一些主要的应用内的偏好的8寸晶片的等离子体制程情况下,其包含介电与金属等离子体蚀刻,以及化学汽相沉积,在一典型工作例子中的等离子体电容具有如前述的等离子体分布约为兆分之-50到-400法拉第。在一代表性的例子中,电极组件126匹配于其强大的阴极等离子体电容,通过使用11寸电极尺寸、大致于2寸的间隙长度(电极到晶座空间)、选择为了介电密封垫130具有介电常数9的介电材料、1寸的指定厚度;以及介电环120具有介电常数4的介电材料、10mm的指定厚度。
电极组件126和等离子体的结合在一电极等离子体共振频率共振,其电极等离子体共振频率至少接近匹配供应至传导电极125的来源功率频率,假设其电容匹配才刚描述。我们发现良好的蚀刻等离子体制程参数、环境以及等离子体,这电极等离子体共振频率以及来源功率频率可以匹配或接近匹配于超高频频率;并且此频率匹配或接近匹配的执行具高度优点。在一代表性的例子中,电极等离子体共振频率相当于前述的等离子体阴极电容的值,大约为200MHz,将于下方描述。来源功率频率为210MHz,为一近似匹配,其中来源功率频率和电极等离子体共振频率有轻微补偿,以实现下述的其它优点。
等离子体电容是等离子体电子密度的函数。这关系到等离子体离子密度,为了提供良好的制程环境,等离子体离子密度一般必须保持于109-1012ions/cc的范围内。这个密度与来源功率频率和其它参数决定等离子体阴极电容,因此,这选择是基于要最佳化等离子体制程环境,下面会有进一步的描述。但是许多物理因素影响顶部电极组件电容,如间隙长(于传导电极125和晶片之间的空隙)、传导电极125的区域、介电密封垫130的介电损失切线范围、在传导电极125和处理室主体127之间的介电密封垫130的介电常数的选择、制程设备介电密封垫130的介电参数的选择、介电密封垫130和介电环120的厚度、以及介电环180的介电常数。此借选择这些与其它影响顶部电极电容的物理因素,调整电极组件电容。我们发现这调整足以达到顶部电极组件电容匹配至阴极等离子体电容的大小。特别的是,选择介电密封垫130和介电环120的介电材料和尺寸,以提供所需的介电常数和结果介电值。可随后达成电极电容的匹配与等离子体电容,尽管一些影响电极电容,尤其是间隙长度的物理因素,会随实际情况指定或限制:需要控制较大直径的晶片、在全晶片表面作等离子体离子密度的良好均匀分布、以及具有离子密度对离子能量的良好控制。
假定前述的等离子体电容的范围与顶部电极电容的匹配,电极等离子体共振频率大约200MHz,来源功率频率为210MHz。
依此方法选择电极组件126电容的优点,与随后电极等离子体共振频率和来源功率频率的匹配,为电极与靠近来源功率频率的等离子体共振,提供较大的阻抗匹配与制程范围,也因此更免于制程情况中的改变,亦因此有较大的操作稳定性。整个制程系统对操作情况的变化较不敏感,例如,等离子体阻抗的偏移,所以制程应用有更大的范围与可靠性。如随后会描述的,此优点借电极等离子体共振频率和来源功率频率之间的小补偿而更进一步被加强。
图25所示为内线圈60与外线圈65如何与一反应器结合,此反应器具有一通过固定调谐短截线,连接一超高频等离子体来源功率产生器的顶部电极,并具有MERIE电磁铁环绕其周围。此反应器描述于美国专利申请案第No.10/028,922号,提出于2001年12月19日,标题为PLASMAREACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THEPLASMA,由Daniel Hoffman等人提出,并受让至现申请人,于此一并公开参考。
参考图25,一超高频等离子体反应器包含后述发现于图1A的反应器的组件:一在处理室底部具有支撑半导体晶片110的晶片支撑器105的反应器处理室100。在所示的范例中,一制程设备可包含一导体或半导体环115,借一介电环120支撑于接地的处理室主体127上。借磁盘形顶部电极125界定处理室100的顶部,磁盘形顶部电极125是借助一介电封环130支撑于接地的处理室主体127上的晶片110上方一预设的间隙长度处。顶部电极125也可为镀上半金属材料(如硅或碳化硅)于其内表面的金属(如铝),或者它本身可为半金属材料。一射频产生器150供应射频功率给传导电极125。自射频产生器150产生的射频功率穿过一匹配至射频产生器150的同轴线162,进入连接至顶部电极125的同轴短截线135。同轴短截线135具有一特性阻抗,具有一共振频率,并提供一阻抗匹配于传导电极125和同轴线162或射频功率产生器150的输出之间,如下会详细描述的。处理室主体连接至射频产生器150的射频回路(射频地线)。介电环120的电容与介电密封垫130的电容会影响从传导电极125至射频地线的射频路径。晶片支撑器105、晶片110与制程设备导体或半导体环115提供供应到传导电极125的射频功率的基本射频回路。
如图1A的例子,内线圈60少于外线圈65直径的一半,且所在的平面较外线圈65离处理室远。外线圈65位于或接近于传导电极125的上方的平面,而内线圈60位于传导电极125上方。如图1的例子,控制内线圈60与外线圈65的电流供应器70与电流供应器75的等离子体操作控制器90控制内线圈60与外线圈65的直流电流。
等离子体密度分布均匀性的改善是借引入一组MERIE电磁铁902,置于相当于晶片支撑晶座的周围与反应器处理室的外部(如图7和图8所示为)而达成。这些MERIE电磁铁适用于产生一磁场,其缓慢的绕着通常横越晶片支撑晶座表面的圆柱体的对称轴向旋转。在一例子中,此特征是由具有电磁铁绕组绕在正切于晶片支撑晶座周长的各轴上的MERIE电磁铁902显现。在此例子中,一MERIE电流控制器904控制到每一个MERIE电磁铁的电流。借MERIE电流控制器904提供交流电至每一个频率相同但相位相差90度(或相差360度除以MERIE电磁铁的数量)的磁线圈,一环磁场产生于工件支撑器平面。在另一例中,旋转磁场的特征是由支撑架1020(虚线)支撑所有的MERIE磁铁,其有一转体1025(虚线)绕着对称轴旋转。在此例子中,MERIE磁铁是一永久磁铁。
亦提供一MERIE磁铁906(虚线)的第2配置,同样环绕工件或晶片支撑晶座放置,但在一比第1组MERIE磁铁902高的平面。两组磁铁在分别靠近工件支撑器平面的平面。
控制器910供应一低频(0.5到10Hz)交流电流到MERIE磁铁902和MERIE磁铁906,供应到邻近磁铁的电流相位如前述的相差90度。此结果为以交流电的低频率,绕着工件支撑晶座的对称轴旋转的磁场。磁场使等离子体朝接近工件表面的磁场靠近,并环绕着磁场。此驱动等离子体,以致于其密度分布变的更均匀。结果,反应器的效能显著地改善,因为获得更多横越晶片全部表面的均匀蚀刻结果。
结合顶部电极与气体分布平台:
需要从顶板供应制程气体,以改善处理室内的气体分布。为了此目的,在图24与图25例子中的顶部电极125可为气体分布喷头,因此在其面对工件支撑器105的下表面具有很多的气体注射埠或气体注射口300。在一示范性的例子中,气体注射口300的直径介于0.01至0.03寸之间,且它们的中心均匀相距约3/8寸。
顶部电极/气体分布平台125(在此与其后是指气体分布平台125)已经增加对电弧的抵抗性。这是由于引入一电弧抑制特性,其自每一个开放中心或气体注射口300排除制程气体及/或等离子体。此电弧抑制特性是一组在气体注射口300的中心的中心块或中心部302,支撑于各圆柱体手指或细棒303的末端,如图26的剖面图与图27的放大剖面图所示。在典型气体分布平台内的电弧容易发生于靠近气体注射孔的中心。因此,在每一个气体注射口300的中心放置中心部302可防止制程气体到达气体注射口300的中心,所以可减少电弧的发生。如图28的平面图所示,气体注射口300引入中心部302转换了其它圆形开口或气体注射300成环状开口。
请参考图29A,气体分布平台125与改善的电弧抑制构成一盖子1402与一基底1404。基底1404是一具有气体注射开口的盘面1406,围绕其为一具有一内部肩部1410的环状墙1408。盖子1402也是一平圆状平面。中心部份302为圆柱体细棒303的末段,其从盖子1402的下表面向下延伸。盖子1402的外边支持于基底1404的肩部1410,以形成在盖子1402和基底1404之间的歧管1414(图26)。制程气体自盖子1402的中心气体入口1416流入歧管1414。
气体分布平台125在处理室连接制程气体或等离子体的部份,可由金属形成,如镀上半导体制程兼容材料,如碳化硅,的铝。在此例子中,气体分布平面的所有表面,除了盖子1402的上表面,都被碳化硅覆模1502覆盖,如图29B放大的部份剖面图。如图30所示,盖子1402的铝上表面连接一温度控制组件1520,其可借晶片护套1522与热交换器1524的冷却循环冷却,以使气体分布平台125的热传导铝材具有可控制的温度。如图31所示,水护套可在气体分布平台125内。
然而,为了使碳化硅覆模1502具有同样的控制温度,必需有一导热导体连接于碳化硅覆盖和铝之间。否则,碳化硅覆盖的温度会无法控制地变动。为了在气体分布平台125的铝材和碳化硅覆盖之间达到良好的热传导,一种聚合物黏接层1504形成于铝气体分布平面与碳化硅覆模1502之间,如图29A所示。图29A所示为聚合物黏接层1504于碳化硅覆膜1502和基底1404之间。聚合物黏接层1504于铝和碳化硅覆膜1502之间提供良好的热传导,以致于碳化硅覆膜1502的温度可通过热交换器1524控制。
图32、图33与图34所示为图29A的气体分布平台125如何校正以提供双区域气体流控制。可利用此特性,通过选择互补的制程气体分布,去协助修改中心-高或中心-低的蚀刻速率或沉积速率空间分布。确切地说,一环状部份或环隔板1602将歧管1414分为中心歧管1414a与外歧管1414b。除了供应中心歧管1414a的气体入口1416,另一气体出口1418在气体分布平台125的中心及周围供应外歧管1414b。一双区域控制器1610自气体入口1416和气体出口1418之间的气体供应器1612分配气体流。图35所示为一双区域控制器1610的实现,其中一叶片1618控制相对气体流量至气体分布平台的中心歧管1414a与外歧管1414b。一流体控制器1640支配叶片1618的位置。在另一所示为于图36的实施例中,一对阀1651、阀1652控制各处理室放射区域的气体流。
图37所示为一例子,其中气体分布平台125具有三个气体流区域,歧管1414借内隔板1604和外隔板1606分割成中心歧管1414a、外歧管1414b、与外歧管1414c。三个气体入口1416、气体出口1418与气体入口1420分别提供气体流到各中心歧管1414a、外歧管1414b与外歧管1414c。
在本说明书中已描述许多具有一对顶部线圈内线圈60与外线圈65的不同例子,图37所示为可具有多于两个顶部线圈。事实上,图37的例子所示为具有三个集中的顶部线圈或内线圈60、线圈64以及外线圈65。借着增加独立控制的顶部线圈,可感觉增加解决制程非均匀的校正。
图34与图37的多数区域气体分布平台拥有弹性控制气体分配于晶片的内及外制程区域的优点。然而,定制气体流的另一方式是借着于气体分布平台125上,不同的气体注入孔使用不同的半径。举例而言,如果反应器易于有中心-高的空间蚀刻速率分布,借着在中心使用较小的气体注射口300,以及于周围使用较大的,则中心附近有较少的气体,而处理室周围有较多的气体。此一气体分布平台所示为于图38的平面图。对一中心-低的蚀刻分布,相反的注入孔安置将如图39所示为。
图9反应器内的等离子体控制
上述并参考图11到第14图的等离子体控制操作于图9的例子。借助供应-13安培的电流到内线圈60与1.4安培的电流到外线圈65产生指向边墙的一磁场。藉供应-13安培的电流到内线圈60与5.2安培的电流到外线圈65产生指向顶板或传导电极125的周围的一磁场。藉供应-13安培的电流到内线圈60与9.2安培的电流到外线圈65产生一边墙的密集磁场。我们发现通过使用上述的指向顶板或传导电极125的周围的一磁场,可改善清除期间的处理室表面蚀刻速率到约40%。
线圈结构
当参考内线圈60与外线圈65而描述前述的状况时,可使用更多量的线圈。举例而言,图40的例子具有五个线圈4060、线圈4062、线圈4064、线圈4066、线圈4068,各有自己藉控制器90控制的电流。线圈4060、线圈4062、线圈4064、线圈4066、线圈4068可在传导电极125上的同样高度或不同高度(如图40)。图41所示为一例子,其内线圈60与外线圈65位于同高度。在图41,内线圈60与外线圈65的绕组堆栈在垂直与放射方向。图42及图43所示为不同的例子,其内线圈60与外线圈65具有延伸于垂直方向与放射方向的绕组。
如本说明书先前并参考图1A所述,校正非均匀分布的等离子体磁压正比于磁场平方斜率的放射组成。因此,最有效的方法是使用一尖形磁场。如上述更进一步的描述,尖形磁场更大的效能是减少一已知的磁压下,磁场的必需强度,借以减少或排除高磁场下的仪器损坏。图44所示为一例子,藉一对分别位于处理室上方或下方的线圈4420、线圈4440,提供一相当尖形的磁场。上方线圈4420与下方线圈4440内的电流分别为顺时针及逆时针。图45是一简化的图标,所示为一藉一对线圈4420与线圈4440产生的相当尖形的磁场的磁场线图形。
图46所示为一例子,使用已知的MERIE反应器4650的四个电磁铁4610、电磁铁4620、电磁铁4630、电磁铁4640,以产生图45的尖形磁场。程序设计一控制每一个电磁铁4610、电磁铁4620、电磁铁4630、电磁铁4640的电流控制器4660,以供应直流电到所有的电磁铁4610,电磁铁4620,电磁铁4630,电磁铁4640的同样方向(如顺时针),如图46箭头所示。在此方法中,直流电于上导体4610a,上导体4620a,上导体4630a,上导体4640a来自一顺时针电流回路,直流电于下导体4610b,下导体4620b,下导体4630b,下导体4640b来自一逆时针电流回路,当在每一个角落排列的电流于连接电磁铁的垂直导体(如每一对的垂直导体4620c,垂直导体4630c)去除另一个在晶片表面的磁场。结果是分别产生顺时针与逆时针电流回路于处理室的上方及下方,类似图44例子,与图45的尖形磁场的结果相同。图46的反应器操作于三种模式的任一个:
(1)磁压模式,其中产生尖形磁场;
(2)正弦波模式,其中供应四个正弦波至电磁铁4610、电磁铁4620、电磁铁4630、电磁铁4640,以在晶片表面上产生一缓慢旋转磁场;
(3)结构磁场模式(CMF),其中将四个电磁铁4610、电磁铁4620、电磁铁4630、电磁铁4640分为紧邻对的不同组,一对具有直流电,相反的一对具有相反的直流电,以产生延伸在相对于四个电磁铁4610、电磁铁4620、电磁铁4630、电磁铁4640的对角线方向的晶片表面大致直的磁场线。此分组借切换电流而转动,以使磁场旋转过四个对角方位。这些方位的时序所示为于图47A、图47B、图47C以及图47D。
在图47A中,电磁铁4610与电磁铁4620具有一正值的直流电流,而电磁铁4630与电磁铁4640具有负值的直流电流,而结果平均磁场方向一般是自图标的左上角到右下角。在图47B,切换此分组,以使电磁铁4620与电磁铁4630具有一正值电流,而电磁铁4640与电磁铁4610具有负值电流,且平均磁场转动90度。图47C和图47D完成此循环。磁场线的强度藉正值和负值的直流电的差异而决定,并可借对MERIE反应器4650编写程序来调整。
图9的方法可以使用于CMF模式,以精确地选择电磁铁4610,电磁铁4620,电磁铁4630,电磁铁4640的直流电,以产生第47A-D图的非均匀蚀刻速率或等离子体离子密度分布的最佳校正。在应用图9的方法在图47A-D的CMF模式下,每一个电磁铁的线圈或电磁铁4610、电磁铁4620、电磁铁4630、电磁铁4640替代内线圈60与外线圈65,并根据此替代,执行图9的所有步骤。唯一不同是,把每一个线圈的磁场当作对应于图47A-D的四个周期的平均计算。
图48所示为一反应器,包含一特殊的栅栏4810安装于泵环上。栅栏4810由半导体材料如碳化硅,或导体材料如铝形成,并具有开口4820,以使气体自处理室经过泵环退出。此特殊的栅栏4810不包含来自泵环的等离子体,只有需要的保护和制程控制。为了此一目的,放射平面内各开口4820内部的距离不大于等离子体套子厚度的两倍。如果等离子体不可能穿过栅栏4810,此方法是非常困难的。此减少或排除在泵环内等离子体与处理室表面互动。
图49及图50所示为一可去除的处理室衬垫4910,其并入图48的栅栏4810。处理室衬垫4910覆盖部份的处理室,其在传导电极125下方与晶片110上方的地区之外。因此,处理室衬垫4910包含一上水平段4920覆盖于一处理室顶板的外周围,一垂直段4930覆盖于处理室边墙,以及一较低的下水平段4940,其包含栅栏4810以及覆盖泵环,与紧邻晶片110的一环表面。在一例子中,各上水平段4920、垂直段4930、下水平段4940一起形成,如整体的碳化硅整体部份4950。处理室衬垫4910更进一步包含一铝基底4960,在整体部份4950的下水平段4940的下方并连接于此。铝基底4960包含一对向下延伸的环围栏4962与环围栏4964,其相对长和薄,并提供好的电传导至晶片支撑晶座105下方的处理室的接地结构组件。
反应器可以具有温度控制组件4972、温度控制组件4974,其与向下延伸的环围栏4962,环围栏4964作热接触,以及一温度控制组件4976,其与电磁铁4930作热接触。每一个温度控制组件4972、温度控制组件4974、温度控制组件4976可包含冷却剂通过的冷却设备,以及包含一电热器的热设备。其可充分保持处理室衬垫4910在十分高的温度(如120度F),以缩小化或防止聚合物或碳氟化合物沉淀于处理室衬垫4910的内表面。
处理室衬垫4910提高制程稳定度,因为其提供良好的地线回路。这是由于沿着整体部份4950内表面(包含上水平段4920,垂直段4930,下水平段4940的内面表面)的电势是均匀的。结果,处理室衬垫4910在其所有的内面表面提供均匀的射频回路,不管是从传导电极125或从晶片支撑器105来的功率。一项优点是当等离子体集中射频回电流分布在处理室衬垫4910的内表面的不同部份,此阻抗完全不变。此特征提升制程稳定度。
图51所示为修改图7的例子,其中内线圈60与外线圈65定义一方形图形对称于MERIE电磁铁92、MERIE电磁铁94、MERIE电磁铁96、MERIE电磁铁98的方形图形,并特别适合于方形半导体或介电工件4910的均匀制程,例如微影光罩。
图52所示为图42的变化反应器,其中晶片支撑晶座105可上下移动。除了两个控制等离子体离子放射分布的内线圈60与外线圈65,还有一下线圈5210在晶片支撑晶座105的平台下方。此外,还有一外线圈5220在处理室周围。外线圈65和下线圈5210可具有相反的直流电流,以在处理室内形成相当尖形磁场。
当已描述内线圈60、外线圈65与一反应器,此反应器具有当作顶部来源功率电极与气体分布平台的顶板,但随着制程气体是以其它已知方式(如穿过边墙)被引入,顶板可以不具气体分布平台的形式。更甚者,内线圈60、外线圈65可使用于反应器中,且来源功率并非藉顶板电极耦合。并且,顶部电极的阻抗匹配组件已描述为固定的组件,如同轴调谐短截线。然而,阻抗匹配组件可为任何合适或已知的阻抗匹配设备,如一已知的动态阻抗匹配电路。
均匀放射等离子体分布的偏压电路调谐:
图53所示为一等离子体反应器,包含一特征,可提升超高频来源功率沉积的均匀放射分布以及接近工件表面的高频偏压电场的均匀放射分布。图53的反应器包含已描述于前述的图24的反应器的组件,包含传导电极125。此外,图53更详细所示为晶片支撑晶座105的结构。晶片支撑晶座105包含一金属垫层5505支撑一较低的下线圈5510,一传导网层5515覆盖于隔离层5510以及一上隔离层5520覆盖于传导网层5515。半导体工件或晶片110置于上隔离层5520的上方。传导网层5515与金属垫层5505可分别由钼与铝形成。隔离层5510和上隔离层5520可由例如氮化铝或铝形成。传导网层5515供应射频偏压电压,以控制在晶片110表面的离子轰击能。传导网层5515也可使用于静电抛出或非抛出晶片110,且在此例中,可以已知的方式连接至一抛出电压来源。因此,传导网层5515并非一定要接地,且可具有浮动的电势或固定的直流电电势,根据已知的抛出及非抛出操作。如先前于此说明书提到的,晶片支撑晶座105与金属垫层5505通常(但非必须)连接至接地,并借传导电极125形成超高频功率放射的部份回路。
射频偏压产生器40于高频频带(如13.56MHz)提供功率。其射频偏压阻抗匹配组件是借延伸过晶片支撑晶座105的延长导体5525(在此指射频导体),连结至工件110。射频导体5525与接地导体,如金属垫层5505,绝缘。射频导体5525具有一上端或偏压功率终端5525a与传导网层5515电性相接。
图54为一电路的图标,包括超高频顶部电极,供应通过工件支撑电极105的射频偏压以及电极105的组件。图55是晶片110的一平面的俯视图,随着末端或延长导体(射频导体)5525的上终端5525a显示于隐藏线。射频回路藉晶片支撑器105提供包含两部份于晶片110的平面,也就是放射内部部份5530中心周围以及上终端5525a外部延伸以及放射外部环状部份5535。借两内部部份5530、外部部份5535提供的射频回路是不同的,因此,内部部份5530、外部部份5535表现对传导电极125放射的超高频功率的不同阻抗。
现描述两个射频回路内的阻抗不同的主因。首要的回路是由传导网层5515提供,其连接通过金属垫层5505与射频导体5525。外部射频部份5540(图54)通过图55的外部部份5535,藉反应联结支配通过晶片110以及越过传导网层5515到金属垫层5505。相反地,射频回路5545(图54)藉上终端5525a的反应阻抗支配通过图55的内部部份5530。如果阻抗没有均匀的越过晶片,两个射频回路引发射频功率的非均匀连接。
因为两个射频回路为物理性的不同,它们易于对传导电极125放射的超高频功率,有不同的阻抗。此差异可引发横越晶片表面的阻抗到超高频功率于放射分布的非均匀性,使来源功率联结至等离子体非均匀,并在接近工件的表面提升等离子体离子密度的非均匀放射分布。此依序可以引发不当窄化制程范围的制程非均匀性。为了解决这个问题,图53的反应器包含特定的特征,其调整射频导体5525到超高频功率的供应点阻抗,以达到横越晶片表面的阻抗的较均匀放射分布,因此,横越晶片表面有超高频功率的较均匀连接。
这些在供应点阻抗的调整的主要目的是使上终端5525a的阻抗在来源功率频率至少接近零(亦即传导电极125的超高频频率约为162MHz)。此调整的结果,射频电流回路是藉传导网层5515支配穿过延长导体(射频导体)5525,当最小的电流穿过金属垫层5505。结果,内部部份5530、外部部份5535的阻抗可至少接近相同。
为了调整如前述的供应点阻抗,一金属垫层5550围绕延长导体(射频导体)5525。材料的轴长与介电常数构成介电圆柱体套筒5550,藉延长导体(射频导体)5525到超高频功率决定供应点阻抗存在。在一例子中,在超高频来源功率频率(例如162MHz),选择介电圆柱体套筒5550的长度与介电常数使供应点阻抗接近零。在一实作的例子中,没有介电圆柱体套筒5550的供应点阻抗是(0.9+j41.8)欧姆,而有介电圆柱体套筒5550的是接近一短路电路为(0.8+j0.3)欧姆。环绕上终端5525a的外部部份5535的阻抗在162MHz接近一短路(主要由于传导网层5515的存在)。因此,在稍后的例子中,介电圆柱体套筒5550可使来源功率频率的供应点阻抗到一接近其环绕区域的值。环绕供应点的区域的阻抗主要由传导网层5515决定。结果,达到阻抗更均匀的放射分布,更均匀的电容连接超高频来源功率。
介电圆柱体套筒5550可以包含额外的特征,增进前述超高频功率沉积,当同时解决不同的问题,换句话说,改善供应到晶片110的射频偏压功率(例如13.56MHz)产生的电场的均匀性,射频偏压功率借延长导体(射频导体)5525供应到晶片110。问题是如何为了最大的等离子体离子密度的均匀性,调整超高频功率连接的放射分布,当同时为了最大均匀性而调整横越晶片表面的高频偏压功率场分布。如果高频偏压功率频率的供应点的阻抗接近传导网层5515(没有在来源功率频率的供应点的阻抗改变超高频)支配环绕的外部部份5535,将达到最大均匀性。借助沿着圆柱体轴向分离介电圆柱体套筒5550至多个圆柱体段,并调整或选择每一独立段的长度与介电常数可解决此问题。此提供许多独立变量,以允许供应点阻抗与同时在偏压频率(例如13.56MHz)与来源频率(例如162MHz)的环绕地区的阻抗匹配。
参考图56实际例子,介电圆柱体套筒5550可以分成三段,即一上段5552、一中段5554以及一下段5556。上段5552为聚合体并为3寸长,中段5554为铝并为4寸长,下段5556为聚合体并为3寸长。在此实际例子中,我们发现用供应到传导电极125的大来源功率,可增进等离子体离子密度分布(如藉蚀刻速率分布所测量的)的均匀性,指出改善的超高频来源功率沉积。也观察到高频偏压功率沉积的改善,因为等离子体离子密度分布的均匀被改善,当在此实际例子中只供应偏压功率。因此,前述的实际例子是同时解决(a)在超高频来源功率频率(例如162MHz)非均匀阻抗以及(b)在横越晶片支撑平台的高频偏压功率(例如13.56MHz)非均匀阻抗的代表。
可以选择并固定上段5552的长度和介电常数,以最佳化高频偏压功率沉积,而随后可选择中段5554与下段5556的长度和介电常数,以藉顶部电极最佳化超高频来源功率沉积,当离开最佳化的高频偏压功率沉积。
图57A所示为介电圆柱体套筒5550如何在使用期间调整。在图57A,使用一外部控制的下段5560于反应器上。此控制钮转动一线杆5565贯穿咬合一支撑套筒5570连接图53的下方。当下段5560旋转时,支撑套筒5570传导轴沿着贯穿线杆5565的轴向,强迫全部的介电圆柱体套筒5550在套统引导5558内,朝同样的方向旋转(向上或向下)。下段5560允许使用者在反应器操作期间(或较短的前期时),沿着延长导体(射频导体)5525上下移动介电圆柱体套筒5550,以调整供应点阻抗。支撑套筒5570可移动介电圆柱体套筒5550(例如所有的上段5552,中段5554,下段5556当作一个一起的单位)。或者,支撑套筒5570可以连接仅一或两个上段5552,中段5554,下段5556,以藉转动控制钮5560,使仅一或两个上段5552,中段5554,下段5556移动。最后,如图57B所示,三个上控制钮5560a、中控制钮5560b、下控制钮5560c,分别使用三个上支撑套筒5570a、中支撑套筒5570b、下支撑套筒5570c。三个上支撑套筒5570a、中支撑套筒5570b、下支撑套筒5570c个别的连接至分别的三段上段5552、中段5554、下段5556,以便三段上段5552、中段5554、下段5556的每一个位置可藉三个上控制钮5560a、中控制钮5560b、下控制钮5560c分别决定于套筒引导5558a内。
图58与图59为反应器执行比较资料,以证明介电圆柱体套筒5550的功效的图表。图58的表格显示介电圆柱体套筒5550非减弱蚀刻速率。垂直轴是蚀刻速率而水平轴为不同的制程。沿着水平轴的不同制程的标记包含(由左到右)HAR RIE(高方向比反应离子蚀刻),HAR RIE+SP(来源功率)以及PR(光阻剂)删去+SP。此术语反应离子蚀刻指制程只使用偏压功率供应到传导网层5515,且没有来源功率来自于传导电极125。有斜线的条状指出有介电圆柱体套筒5550的调整的结果,没斜线的条状指出没有介电圆柱体套筒5550调整的结果。自图58的调查,只有高方向比制程由于使用介电圆柱体套筒5550,经历蚀刻速率减少,且此减少只是轻微的。但是,光阻剂的蚀刻速率大大的增加。
图59的表显示使用介电圆柱体套筒5550明显地改善所有的三个前述制程的均匀度。蚀刻速率的非均匀度的放射分布如图58所示,1%标准差描述于垂直轴向以及三个前述的制程结果置于水平轴。图59显示使用来源功率,光阻删去制程在均匀度有大大的改善。
蚀刻速率分布均匀的显著改善达成于广泛的制程。在一仅使用偏压功率的蚀刻制程,使用介电圆柱体套筒5550是减少4.9%到2.4%的非均匀性。在一使用500瓦来源功率的蚀刻制程,使用介电圆柱体套筒5550减少13.7%到12%的非均匀性。最大的改善发现于光阻剂灰制程,使用高超高频来源功率。在2500瓦的来源功率下,使用介电圆柱体套筒5550减少30.0%到5.8%的非均匀性。在1500瓦同样的反应器内,非均匀性藉使用介电圆柱体套筒5550而自30.1%改善到16.0%。
随着制程使用高超高频功率(亦即功率供应到顶部电极)的均匀性改善,证明介电圆柱体套筒5550有最佳化超高频回路的电容耦合分布。仅有偏压功率制程的均匀性改善证明介电圆柱体套筒5550具有最佳化射频产生器40产生的电场的分布。因此,同样的介电圆柱体套筒5550同时解决超高频回路最佳化,与高频电场最佳化的问题。
增加等离子体均匀性的射频耦接环:
在先前提到中,在一反应器的等离子体离子密度分布具有一高超高频电极,如传导电极125易有约10%的非均匀中心-高。此非均匀性是借选择性地增加从传导电极125到邻近工件周围的等离子体的电容耦合减少。请参考图60,一环状的耦接环置于上方并与晶片支撑晶座105的外周围电性相接。如图60所示为,一可移动的环5580环绕上隔离层5520,其上表面和晶片的上表面为共面。此可移动的环5580可为制程兼容材料,例如硅。选择性地,可移动的金属接地环5585环绕环5580,它的上表面5585a成为可移动的金属接地环5580的共面。提供一般的平面表面,以横越晶片支撑晶座105的上方而藉接地环5585的周围结合,面对传导电极125的下方的一般的平面的表面。结果,横越全部的制程区域的电容耦合藉传导电极125而结合,且晶片支撑晶座105一般为均匀的。为了克服原有在中心-高等离子体离子密度分布的反应器的非均匀性,电容耦合藉传导电极125增加而接近晶片110的外部,借以放置一第一射频耦接环5590于环5580和接地环5585的上方。第一射频耦接环5590可以为导体,半导体或介电材质。如果第一射频耦接环5590是介电材质,则电容耦合至等离子体接近晶片周围借助介电材料的存在而增加。如果第一射频耦接环5590是导体,它有效的缩小电极至反电极空间,并因此增加电容靠近晶片110的周围范围。所以,电极至反电极空间横越制程区域为h1,除了藉第一射频耦接环5590占用的周围,其空间减少自h1到第一射频耦接环5590的h2高度。此增进的来源功率电容耦合在周围增加离子密度。其增加于离子密度自第一射频耦接环5590延长于内,以及延长于一晶片110的部份周围上。因此,等离子体离子密度于晶片110上是少于中心-高并易于向接近均匀发展,或可能少许的边缘-高。此情况借助一第一射频耦接环5590的高(厚度)h2的小心选择最佳化。
图61为图60的反应器的校正,其中第二射频耦接环5595是连接于传导电极125的上表面的周围以及压在第一射频耦接环5590的上面,如果第一射频耦接环5590,第二射频耦接环5595具有一厚度(高)h3,则电极至反电极距离接近晶片周围为减少两倍的h3,在此范围的电容正比地增加,如图60的反应器。
图62是一具有晶片110固定其上的晶片支撑晶座105的上平面图,并显示第一射频耦接环5590占有的周围范围。图62所示为制程区域分成三区域,即一内中区域(区域A)围绕晶片110的中心,一环状区域(区域B)延伸至晶片110的周围,以及一外区域(区域C)由第一射频耦接环5590占有。图63显示第一射频耦接环5590的不同材料(石英、铝)与不同厚度(0.4寸与0.8寸)的电容放射分布。比较的基础为没有环(空气)。图63显示于区域C最大的电容。因此,等离子体离子密度因为第一射频耦接环5590或第一射频耦接环5590与第二射频耦接环5595的存在,而增加于晶片110的多数周围范围(区域B)。
图64比较没有耦接环、一个耦接环(图60)以及两个耦接环(图61)的蚀刻速率放射分布,其耦接环材料为铝,且唯一的功率是供应到晶片支撑晶座105的偏压功率。图65比较没有耦接环、一个耦接环以及两个耦接环的蚀刻速率的放射分布,其耦接环材料为铝,并有传导电极125供应480瓦的超高频来源功率。图66比较没有耦接环、一个耦接环以及两个耦接环的蚀刻速率的放射分布,其耦接环材料为石英,且只有供应偏压功率(没有从顶部电极来的来源功率)。图67比较没有耦接环、一个耦接环以及两个耦接环的蚀刻速率的放射分布,并有传导电极125供应480瓦的超高频来源功率,其耦接环材料为石英。在这些例子中得到最大的改善,其中提供超高频来源功率(图65与图67)。两个耦接环(图61)产生最大的均匀性。在这些实际例子中,每个耦接环的厚度约为0.4寸。
在只利用射频偏压功率的制程中(没有来自传导电极125的超高频来源功率),借着使用底部第一射频耦接环5590的0.4寸厚的石英,非均匀性自6.5%减少到4.6%,以及借着使用铝质的第一射频耦接环5590,非均匀性减少到5.0%。当第一射频耦接环5590的厚度增加到0.8寸时,非均匀性随石英减少到2.3%。同样的结果随着铝质第一射频耦接环5590达成。
在使用顶部电极供应的500瓦的超高频来源功率制程中,借着使用底部第一射频耦接环5590的0.4寸厚的石英,非均匀性从11.1%减少到9.42%,而借着使用铝质的第一射频耦接环5590,非均匀性减少到8.5%。当第一射频耦接环5590的厚度增加到0.8寸时,非均匀性随石英减少到4.5%,而随铝质减少到3.9%。
一般而言,值得注意的是,待修正的离子密度分布的非均匀性随着增加的传导电极125供应的超高频来源功率增加。举例而言,当来源功率增加于一具有0瓦、500瓦与1000瓦三个步骤的传导电极125的反应器内,非均匀性(没有射频耦接环)分别为6.9%、12.7%与15.8%。当有使用第一射频耦接环5590时,非均匀性分别为3.4%、5.3%与5.1%。图53与图56(使用第一射频耦接环5590)的介电圆柱体套筒5550的使用,更进一步分别减少非均匀性至3.5%、3.9%与4.3%。这对应于图60与图61的观点,其中图53与图56的介电圆柱体套筒5550与第一射频耦接环5590一起存在。然而,在没有介电圆柱体套筒5550环绕射频导体5525的情况下,还是可使用第一射频耦接环5590或成对的第一射频耦接环5590、第二射频耦接环5595。
当在同样的等离子体反应器(如图60图61所所示为)内分别或一起使用第一射频耦接环5590、第二射频耦接环5595与介电圆柱体套筒5550,这些特征可分别显现或与使用上述控制内线圈60、外线圈65方法的图24所示为的等离子体均匀控制内线圈60、外线圈65显现。
第68图所示为一等离子体反应器,其结合图24(包含等离子体均匀控制内线圈60、外线圈65)、图53(包含介电圆柱体套筒5550)与图61(包含第一射频耦接环5590、第二射频耦接环5595)的特征。当单独或一起使用第一射频耦接环5590与介电圆柱体套筒5550,可改善等离子体离子分布均匀性,以致于任何剩下的必须借内线圈60、外线圈65修正的非均匀性大大地减少。因此,与介电圆柱体套筒5550与第一射频耦接环5590及/或第二射频耦接环5595的结构组件实现的等离子体离子密度分布修正是起初的修正,而最后修正是藉内线圈60、内线圈65完成。此最后修正明显小于没有介电圆柱体套筒5550及/或第一射频耦接环5590及/或第二射频耦接环5595的修正。第68图的反应器内的内线圈60、外线圈65表现的此对等离子体分布的较小修正,可导致在内线圈60、外线圈65实现的最大的等离子体离子分布内的较大精确度或均匀性。
虽然本发明已以一较佳实施例公开如上,然其并非用以限定本发明,任何熟悉此项技术的人,在不脱离本发明的精神和范围内,当可作各种的变动与修饰,因此本发明的保护范围应当以权利要求书所界定的为准。

Claims (53)

1.一种等离子体反应器,包含:
一边墙以及一顶板,以界定一处理室;
一工件支撑阴极,是在该处理室内,该工件支撑阴极具有一工作表面面对该顶板部份,以支撑一半导体工件;
一制程气体入口,用以导入一制程气体至该处理室;
一射频偏压功率产生器,具有一偏压功率频率;
一偏压功率供应点,位于该工作表面;
一射频导体,连接于该射频偏压功率产生器和位于该工作表面的该偏压功率供应点之间;以及
一介电套筒,环绕该射频导体的一部份,该套筒具有沿着射频导体的一轴长、一介电常数和沿着该射频导体的一轴向定位,该套筒的轴长、介电常数与轴向定位,使得该套筒提供一电抗,该电抗增进工作表面上等离子体离子密度的均一性。
2.如权利要求1所述的反应器,其特征在于,所述的供应点在该偏压功率频率处具有一阻抗,而所述的介电套筒所提供的电抗,是使得该偏压功率频率处该供应点的该阻抗达到一值,该值接近环绕该射频导体和套筒的工件支撑阴极一部份的一阻抗。
3.如权利要求1所述的反应器,其特征在于:
该阴极包含一平隔离层以及于该平隔离层内的一平传导层,该平隔离层具有包含该工作表面的一上表面;
该供应点,于该偏压功率频率处具有一阻抗;以及
通过该介电套筒所提供的电抗,使得在该偏压功率频率处该供应点的阻抗达到一值,该值接近于该偏压功率频率的导体层的一阻抗。
4.如权利要求2所述的反应器,其特征在于,所述的顶板包含一顶部电极,该反应器进一步包含:
一来源功率产生器,具有一来源频率;
一阻抗匹配组件,连接于该来源功率产生器和顶部电极之间;
该供应点,在该来源功率频率处具有一阻抗;
其特征在于,所述的套筒的电抗使得该来源频率处该供应点的阻抗接近一零阻抗。
5.如权利要求3所述的反应器,其特征在于,所述的顶板包含一顶部电极,该反应器进一步包含:
一来源功率产生器,具有一来源频率;
一阻抗匹配组件,连接于该来源功率产生器和顶部电极之间;
其特征在于,所述的供应点在该来源功率频率处具有一阻抗;以及
其中藉该介电质套筒提供的电抗,使得该来源功率频率处该供应点的阻抗达到一值,该值是在来源功率频率处接近一零阻抗。
6.如权利要求1所述的反应器,其特征在于,所述的套筒沿着其轴长分为多个段,每一段的轴长和介电常数独立于其它段。
7.如权利要求6所述的反应器,其特征在于,所述的段的介电常数和轴长,使得该偏压频率处该供应点的阻抗接近于该偏压频率处该导体层的阻抗,同时使得该来源功率频率处该供应点阻抗接近一零阻抗。
8.如权利要求7所述的反应器,其特征在于,所述的偏压功率频率是一高频(HF)频率,而该来源功率频率是一超高频(VHF)频率。
9.如权利要求8所述的反应器,其特征在于,所述的多个套筒段的至少一者以铝制成,同时该多个套筒段的另一者以聚四氟乙烯(polytetrafluoroethylene)形成。
10.如权利要求8所述的反应器,其特征在于,所述套筒的一上段包含一第一介电材料,所述套筒的一中段包含一第二介电材料,所述套筒的一下段包含该第一介电材料。
11.如权利要求10所述的反应器,其特征在于,所述的第一介电材料包含聚四氟乙烯以及所述的第二介电材料包含铝。
12.如权利要求.8所述的反应器,其特征在于,所述的顶部电极在一等离子体共振频率是与该处理室内的一等离子体共振,以及其特征在于,所述的超高频来源功率频率系为或接近该等离子体共振频率。
13.如权利要求12所述的反应器,其特征在于,所述的阻抗匹配组件连接于该来源功率产生器和该顶部电极之间,该阻抗匹配组件包含一固定调谐短截线(fixed tuning stub),该固定调谐短截线在或接近该超高频来源功率频率处具有一短截线共振频率。
14.如权利要求13所述的反应器,其特征在于,所述的短截线共振频率、该超高频来源功率频率与该等离子体共振频率都彼此接近并彼此补偿(offset)。
15.如权利要求5所述的反应器,其进一步包含:
多个顶部线圈,为不同半径且位于该顶板上不同高度,以及一控制器,是用以供应个别直流电流至该多个线圈,以便增进该处理室内等离子体离子密度分布的均匀性。
16.如权利要求1所述的反应器,其进一步包含:
一环状射频耦接环,具有大致对应该工件周围的一内径,该射频耦结环在该工作表面和顶部电极之间延伸一充分距离以增进接近该工件一周围的等离子体离子密度。
17.如权利要求16所述的反应器,其特征在于,所述的射频耦接环装附于该工作表面并具有一上表面,该上表面是通过该耦接环的一厚度延伸于该工作表面上。
18.如权利要求16所述的反应器,其特征在于,所述的射频耦接环装附于该顶板并具有一下表面,该下表面是通过该耦接环的一厚度延伸于该顶板下。
19.如权利要求17所述的反应器,其特征在于,进一步包含一第二射频耦接环,它是在第一射频耦接环上并装附于该顶板。
20.如权利要求16所述的反应器,其特征在于,进一步还包含:
多个顶部线圈,为不同半径且位于该顶板上不同高度,以及一控制器,用以供应个别直流电流至多个顶部线圈,以增进该处理室内等离子体离子密度分布的均匀性。
21.一种等离子体反应器,其特征在于,至少包含:
一边墙以及一顶板,界定一处理室,该顶板包含一顶部电极;
一工件支撑阴极,是在该处理室内,该工件支撑阴极具有一工作表面面对该顶板部份以支撑一半导体工件;
制程气体入口,用以导入一制程气体至该处理室;
一射频偏压功率产生器,具有一偏压功率频率;
一偏压功率供应点,位于该工作表面;
一射频导体,连接于该射频偏压功率产生器和该偏压功率供应点之间,以及一介电套筒,环绕该导体的一段长度;
一来源功率产生器,具有一来源频率;
一阻抗匹配组件,连接于该来源功率产生器和顶部电极之间;
该供应点在该来源功率频率处具有一阻抗;
其特征在于,所述的介电套筒的电抗,降低来源频率处该供应点的阻抗到至少接近零阻抗。
22.如权利要求21所述的反应器,其特征在于:
该阴极包含一平隔离层以及在该平隔离层内的一平传导层,该平隔离层具有一上表面,该上表面包含该工作表面;
该介电套筒的电抗,使得该偏压功率频率处该供应点的阻抗达到一值,该值接近偏压功率频率处该传导层的一阻抗。
23.如权利要求21所述的反应器,其特征在于,所述的顶部电极在一等离子体共振频率是与一等离子体共振,以及所述的来源功率频率系为或接近该等离子体共振频率。
24.如权利要求23所述的反应器,其特征在于,所述的阻抗匹配组件连接于该来源功率产生器和该顶部电极之间,该阻抗匹配组件包含一固定调谐短截线,该固定调谐短截线在或接近该来源功率频率处具有一短截线共振频率。
25.如权利要求24所述的反应器,其特征在于,所述的短截线共振频率、该来源功率频率与该等离子体共振频率都彼此接近并彼此补偿。
26.如权利要求24所述的反应器,其特征在于,所述的等离子体共振频率、该来源功率频率与该短截线共振频率都是超高频频率。
27.如权利要求21所述的反应器,其特征在于,进一步包含:
多个顶部线圈,为不同半径并位于该顶板上不同高度,以及一控制器,用以供应个别直流电流至该多个线圈,以增进该处理室内等离子体离子密度分布的均匀度。
28.如权利要求21所述的反应器,其特征在于,进一步包含:
一环状射频耦接环,具有大致对应该工件周围的一内径,该射频耦结环在该工作表面和该顶部电极之间延伸一充分距离,以增进邻近该工件周围的等离子体离子密度。
29.如权利要求28所述的反应器,其特征在于,所述的射频耦接环装附于该工作表面并具有一上表面,该上表面系通过该耦接环的一厚度延伸于该工作表面上。
30.如权利要求28所述的反应器,其特征在于,所述的射频耦接环装附于该顶板并具有一下表面,该下表面通过该耦接环的一厚度延伸于该顶板下。
31.如权利要求29所述的反应器,其特征在于,进一步包含一第二射频耦接环,它是在该第一射频耦接环上并且装附于该顶板。
32.如权利要求28所述的反应器,其特征在于,进一步包含:
多个顶部线圈,为不同半径并位于该顶板上不同高度,以及一控制器,用以供应个别直流电流至该多个线圈,以增进该处理室内等离子体离子密度分布的均匀度。
33.一等离子体反应器,其特征在于,至少包含:
一真空围绕体,包含一边墙和一顶板,以界定一真空处理室,以及一工件支撑器在该处理室内,该工件支撑器具有一工作表面面对该顶板以支撑一平面工件,该工件支撑器和该顶板一起在该工件支撑器和顶板之间界定一制程区域;
制程气体注入口,使制程气体进入该处理室;
一射频偏压功率产生器,具有一偏压频率;
至少一第一顶部螺线管电磁铁,邻近该顶板,所述的顶部螺线管电磁铁、顶板、边墙和工件支撑器是沿着一对称共享轴设置;以及
一电流来源,连接该第一螺线管电磁铁并且通入一第一电流于该第一螺线管电磁铁,借此在该处理室内产生一磁场,该磁场为该第一电流的一函数,该第一电流具有一值,以致该磁场邻近该工作表面环绕该对称轴增加等离子体离子密度放射分布;
一偏压功率供应点,位于该工作表面;
一射频导体,连接于该射频偏压功率产生器和该工作表面处偏压功率供应点之间;以及
一介电套筒,环绕该射频导体一部份,该套筒具有一沿着该射频导体的轴长、一介电常数和沿着该射频导体的一轴向定位,该套筒的该轴长、介电常数与轴向定位,使得该套筒提供一电抗,该电抗增进该工作表面上等离子体离子密度的均匀性。
34.如权利要求33所述的反应器,其特征在于,所述的供应点在该偏压功率频率处具有一阻抗,以及其中藉该介电套筒提供的电抗,使得该偏压功率频率处该供应点的阻抗达到一值,该值接近环绕该射频导体和套筒的该工件支撑器阴极一部份的一阻抗。
35.如权利要求33所述的反应器,其中:
该阴极包含一平隔离层以及于该平隔离层内之一平传导层,该平隔离层具有包含该工作表面之一上表面;
该供应点于该偏压功率频率具有一阻抗;以及
藉该介电质套筒所提供之该电抗,系使得该偏压功率频率处该供应点的阻抗达到一值,该值接近该偏压力频率处该导体层的一阻抗值。
36.如权利要求35所述的反应器,其特征在于,所述的顶板包含一顶部电极,该反应器更包含:
一来源功率产生器,具有一来源频率;
一阻抗匹配组件,连接于该来源功率产生器和该顶部电极之间;
该供应点在该来源功率频率具有一阻抗;
其中所述的套筒的电抗,使得该来源功率频率处该供应点的阻抗达到接近零阻抗的一值。
37.如权利要求33所述的反应器,其特征在于,所述的第一螺线管电磁铁在该处理室外侧并面对该顶板一外部表面。
38.如权利要求36所述的反应器,其特征在于,所述的来源功率产生器提供一射频功率水准,以维持在该处理室内一等离子体位于一欲求等离子体离子密度水准;
该顶部电极具有一电抗,而在一电极-等离子体共振频率与该等离子体形成一共振,该电极-等离子体共振频率系为或接近该产生器的该频率。
39.如权利要求33所述的反应器,其特征在于,进一步包含多个顶部螺线管电磁铁邻近该顶板,其具有一结合静电磁场在该处理室内,该处理室包含藉该多个螺线管电磁铁的个别一个产生的个别静电磁场的一总合磁场,其中所述的顶部螺线管电磁铁、顶板、边墙以及工件支撑器沿着一共享对称轴设置,该第一顶部螺线管电磁铁包含在该多个顶部螺线管电磁铁内;以及
其中所述的结合磁场,是增加邻近该工件支撑器一表面围绕该对称轴向的等离子体离子放射分布的均匀度。
40.如权利要求39所述的反应器,其特征在于,所述的多个螺线管电磁铁为同心圆,并以增加直径和降低该顶板上的轴高来设置。
41.如权利要求40所述的反应器,其特征在于:
所述的多个电磁铁的最外侧者相较于该电磁铁的最内侧者,是横越一较大径向区域施加一相对大的放射磁压于等离子体;
该电磁铁的最内侧者,邻近该处理室中心的一限制范围内施加一放射磁压于等离子体。
42.如权利要求36所述的反应器,其特征在于,所述的等离子体具有一电抗以及该电极的电抗相当于该等离子体的电抗。
43.如权利要求42所述的反应器,其特征在于,所述的电极的电抗与该等离子体的电抗共轭(conjugate)。
44.如权利要求42所述的反应器,其特征在于,所述的等离子体的电抗包含一负极电容,以及所述的电极的电容强度与该等离子体的该负极电容强度一样。
45.如权利要求42所述的反应器,其特征在于,所述的射频产生器的该频率以及该电极-等离子体共振频率为超高频频率。
46.如权利要求42所述的反应器,进一步包含一固定阻抗匹配组件连接于该产生器和该顶部电极之间,该固定阻抗匹配组件具有一匹配组件共振频率。
47.如权利要求46所述的反应器,其特征在于,所述的匹配组件共振频率和该电极-等离子体共振频率系彼此补偿以及该产生器的频率介于该电极-等离子体共振频率和该匹配组件共振频率之间。
48.如权利要求47所述的反应器,其特征在于,所述的产生器的频率、等离子体频率以及匹配组件共振频率都为超高频频率。
49.如权利要求47所述的反应器,其特征在于,所述的射频功率产生器的频率、匹配组件共振频率以及电极-等离子体共振频率都为彼此补偿的超高频频率。
50.如权利要求33所述的反应器,其特征在于,进一步包含:
一环状射频耦接环,具有大致对应该工件周围的一内径,该射频耦结环在该工作表面和该顶部电极之间延伸一充份距离,以增进邻近该工件一周围的等离子体离子密度。
51.如权利要求50所述的反应器,其特征在于,所述的射频耦接环装附在该工作表面并具有一上表面,该上表面藉该耦接环的一厚度延伸于该工作表面上。
52.如权利要求50所述的反应器,其特征在于,所述的射频耦接环装附在该顶板并具有一下表面,该下表面藉该耦接环的一厚度延伸于该顶板下。
53.如权利要求51所述的反应器,其特征在于,进一步包含一第二射频耦接环,是在该第一射频耦接环上并装附于该顶板。
CNB038249960A 2002-09-04 2003-09-03 具有均匀轴向分布的等离子体的电容耦合等离子体反应器 Expired - Fee Related CN100532637C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/235,988 2002-09-04
US10/235,988 US6900596B2 (en) 2002-07-09 2002-09-04 Capacitively coupled plasma reactor with uniform radial distribution of plasma

Publications (2)

Publication Number Publication Date
CN1823180A true CN1823180A (zh) 2006-08-23
CN100532637C CN100532637C (zh) 2009-08-26

Family

ID=31977594

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038249960A Expired - Fee Related CN100532637C (zh) 2002-09-04 2003-09-03 具有均匀轴向分布的等离子体的电容耦合等离子体反应器

Country Status (6)

Country Link
US (1) US6900596B2 (zh)
JP (1) JP4698222B2 (zh)
KR (1) KR100882757B1 (zh)
CN (1) CN100532637C (zh)
TW (1) TWI348333B (zh)
WO (1) WO2004023510A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102203908A (zh) * 2008-10-17 2011-09-28 应用材料股份有限公司 具有圆形地对称于溅射靶材的rf及dc馈给的物理气相沉积反应器
CN102573262A (zh) * 2010-10-19 2012-07-11 周星工程股份有限公司 用于产生等离子体的天线单元和包括所述天线单元的基板处理装置
CN103249241A (zh) * 2012-02-06 2013-08-14 台湾积体电路制造股份有限公司 新型多线圈靶设计
CN105431924A (zh) * 2014-04-09 2016-03-23 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
CN109811298A (zh) * 2019-03-19 2019-05-28 中南大学 一种沉积金刚石涂层前硬质合金刀具预处理方法及装置
CN110121760A (zh) * 2016-12-27 2019-08-13 瑞士艾发科技 真空等离子体工件处理设备

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60036582T2 (de) * 1999-08-06 2008-06-26 Puricore International Ltd. Elektrochemische Behandlung einer wässrigen Lösung
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR101038204B1 (ko) * 2004-02-25 2011-05-31 주성엔지니어링(주) 플라즈마 발생용 안테나
JP2005270850A (ja) * 2004-03-25 2005-10-06 Canon Inc プラズマ処理方法および装置
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070091541A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using feed forward thermal control
JP5150053B2 (ja) * 2006-02-03 2013-02-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US8956500B2 (en) * 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
JP2009277889A (ja) * 2008-05-15 2009-11-26 Hitachi High-Technologies Corp プラズマ処理装置及びプラズマ処理装置の制御方法
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8133809B2 (en) 2010-08-11 2012-03-13 Magic Technologies, Inc. Method to fabricate thin metal via interconnects on copper wires in MRAM devices
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
TW202230814A (zh) 2011-05-05 2022-08-01 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
CN102625559B (zh) * 2012-03-30 2014-06-04 安徽航天环境工程有限公司 长寿命双重点火的等离子体点火枪
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN103425893A (zh) * 2013-08-26 2013-12-04 浪潮电子信息产业股份有限公司 一种解决pcb板阻抗测试数据统计分析的方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106816397A (zh) * 2015-12-01 2017-06-09 北京北方微电子基地设备工艺研究中心有限责任公司 下电极组件及半导体加工设备
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
TWI758589B (zh) * 2018-03-01 2022-03-21 美商應用材料股份有限公司 電漿源組件和提供電漿的方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7257918B2 (ja) * 2019-08-29 2023-04-14 東京エレクトロン株式会社 プラズマ処理システムおよびプラズマ着火支援方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
JPH01218106A (ja) * 1988-02-25 1989-08-31 Sony Corp スリーブアンテナ
US5115167A (en) 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
EP0343500B1 (en) 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5223457A (en) 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5397427A (en) * 1989-10-06 1995-03-14 Moore Business Forms, Inc. Pressure seal adhesive system with rollers
JPH04901A (ja) * 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
JPH04247878A (ja) * 1990-07-31 1992-09-03 Applied Materials Inc Vhf/uhf反応装置
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
DE69204670T2 (de) 1991-05-21 1996-04-18 Materials Research Corp Sanftaetz-einheit fuer modulare bearbeitungsanlagen und ecr-plasmaerzeuger fuer eine solche einheit.
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (zh) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
TW293983B (zh) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
WO1995034916A1 (fr) 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
IT1269413B (it) 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP4655385B2 (ja) * 2000-03-01 2011-03-23 株式会社日立製作所 プラズマ処理装置および処理方法
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP3600144B2 (ja) * 2000-09-22 2004-12-08 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、及び性能管理システム、並びにプラズマ処理装置及びプラズマ処理装置の性能確認システム
JP2002203840A (ja) * 2001-01-04 2002-07-19 Tokyo Electron Ltd プラズマ処理装置

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102203908B (zh) * 2008-10-17 2013-10-02 应用材料公司 具有圆形地对称于溅射靶材的rf馈给及dc馈给的物理气相沉积反应器
CN102203908A (zh) * 2008-10-17 2011-09-28 应用材料股份有限公司 具有圆形地对称于溅射靶材的rf及dc馈给的物理气相沉积反应器
CN102573262A (zh) * 2010-10-19 2012-07-11 周星工程股份有限公司 用于产生等离子体的天线单元和包括所述天线单元的基板处理装置
US9117634B2 (en) 2010-10-19 2015-08-25 Jusung Engineering Co., Ltd. Antenna unit for generating plasma and substrate processing apparatus including the same
CN102573262B (zh) * 2010-10-19 2016-03-23 周星工程股份有限公司 用于产生等离子体的天线单元和包括所述天线单元的基板处理装置
CN103249241B (zh) * 2012-02-06 2017-06-16 台湾积体电路制造股份有限公司 新型多线圈靶设计
CN103249241A (zh) * 2012-02-06 2013-08-14 台湾积体电路制造股份有限公司 新型多线圈靶设计
CN105431924A (zh) * 2014-04-09 2016-03-23 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US10446418B2 (en) 2014-04-09 2019-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US10727096B2 (en) 2014-04-09 2020-07-28 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
CN110121760A (zh) * 2016-12-27 2019-08-13 瑞士艾发科技 真空等离子体工件处理设备
CN110121760B (zh) * 2016-12-27 2022-08-05 瑞士艾发科技 真空等离子体工件处理设备
US11469085B2 (en) 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus
CN109811298A (zh) * 2019-03-19 2019-05-28 中南大学 一种沉积金刚石涂层前硬质合金刀具预处理方法及装置

Also Published As

Publication number Publication date
WO2004023510A2 (en) 2004-03-18
JP4698222B2 (ja) 2011-06-08
JP2006507665A (ja) 2006-03-02
TW200414831A (en) 2004-08-01
WO2004023510A3 (en) 2006-07-13
US6900596B2 (en) 2005-05-31
KR100882757B1 (ko) 2009-02-09
US20040056602A1 (en) 2004-03-25
KR20050057231A (ko) 2005-06-16
TWI348333B (en) 2011-09-01
CN100532637C (zh) 2009-08-26

Similar Documents

Publication Publication Date Title
CN1823180A (zh) 具有均匀轴向分布的等离子体的电容耦合等离子体反应器
CN1812683A (zh) 改善等离子体均匀性和减少器件损伤的等离子体反应室
CN1314085C (zh) 等离子体装置
CN1669108A (zh) 磁等离子体控制电容耦合等离子体反应器
CN101038859A (zh) 等离子体处理装置及其所使用的电极
CN1314072C (zh) 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
CN1187786C (zh) 获取施加到射频负载上的射频功率波的电压和电流值的方法和装置
CN1215912A (zh) 等离子体处理装置及等离子体处理方法
US9945033B2 (en) High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
CN100341107C (zh) 抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器
CN1693536A (zh) 保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺
CN1057349C (zh) 等离子体加工方法和等离子体加工装置
US6825618B2 (en) Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
CN1717789A (zh) 等离子体处理装置和方法以及等离子体处理装置的电极板
CN1540738A (zh) 等离子体处理装置、聚焦环和基座
CN1555482A (zh) 电磁流量计
CN1476057A (zh) 等离子体处理装置和可变阻抗装置的校正方法
US20130154175A1 (en) Process kit components for use with an extended and independent rf powered cathode substrate for extreme edge tunability
CN1419720A (zh) 天线装置及通信系统
CN1959932A (zh) 在等离子体反应装置中以均匀温度冷却晶片支撑的方法
TW200402795A (en) Capacitively coupled plasma reactor with magnetic plasma control
CN1670912A (zh) 等离子体处理设备和等离子体处理方法
CN1812684A (zh) 等离子反应器顶置源功率电极
CN1534303A (zh) 电介质的复数介电常数的测量方法和设备
CN103650127A (zh) 静电夹具组件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: APPLIED MATERIALS, Inc.

Address before: California, USA

Patentee before: APPLIED MATERIALS, Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090826

CF01 Termination of patent right due to non-payment of annual fee