CN1830090A - 利用自对准后栅极控制前栅极绝缘体上硅mosfet的器件阈值 - Google Patents

利用自对准后栅极控制前栅极绝缘体上硅mosfet的器件阈值 Download PDF

Info

Publication number
CN1830090A
CN1830090A CNA2004800216833A CN200480021683A CN1830090A CN 1830090 A CN1830090 A CN 1830090A CN A2004800216833 A CNA2004800216833 A CN A2004800216833A CN 200480021683 A CN200480021683 A CN 200480021683A CN 1830090 A CN1830090 A CN 1830090A
Authority
CN
China
Prior art keywords
gate
layer
polycrystalline
grid
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800216833A
Other languages
English (en)
Other versions
CN100568535C (zh
Inventor
R·登纳德
W·亨施
H·哈纳菲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1830090A publication Critical patent/CN1830090A/zh
Application granted granted Critical
Publication of CN100568535C publication Critical patent/CN100568535C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76275Vertical isolation by bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Abstract

本发明提供了SOI CMOS技术,利用该技术将多晶硅后栅极用于控制前栅极器件的阈值电压,并且nMOS和pMOS后栅极彼此和与所述前栅极无关地切换。具体地说,本发明提供了一种制造后栅极型完全耗尽的CMOS器件的方法,其中所述器件的后栅极与所述器件的前栅极以及源极/漏极延伸自对准。这样的结构使电容最小,同时提高了器件和电路性能。利用现有的SIMOX(氧离子注入隔离)或接合SOI晶片、晶片接合和减薄、多晶Si蚀刻、低压化学气相沉积以及化学机械抛光,制造本发明的后栅极型完全耗尽的COMS器件。

Description

利用自对准后栅极控制前栅极 绝缘体上硅MOSFET的器件阈值
技术领域
本发明涉及半导体器件制造,更具体地说,涉及一种制造完全耗尽的互补型金属氧化物半导体(COMS)器件的方法,该COMS器件包括与前栅极以及源极/漏极延伸自对准的后栅极。
背景技术
随着静态功率消耗变为总功率等式的显著部分,对于低功率绝缘体上硅(SOI)COMS的设计,同时降低供电和阈值电压而不经受性能降低将最终达到收益下降的极限。为了满足在电路/系统工作阶段期间对高性能以及在电路/系统空闲阶段期间对低功率的相反要求,需要动态阈值电压控制方案。
对于SOI金属氧化物场效应晶体管(MOSFET),存在两种工作模式:1)完全耗尽(FD),以及2)部分耗尽(PD)沟道区(即主体)。在常规的强完全耗尽的SOI器件中,硅膜厚度通常小于或等于体器件的耗尽宽度的一半。在前和后界面的表面电势相互强耦合,并通过前栅极介质和掩埋氧化物分别电容性耦合到前栅极和衬底。因此,贯穿硅膜的电势以及因此电荷取决于前栅极和衬底两者上的偏压条件。通过用后栅极代替衬底,该器件变为双栅极器件。
完全耗尽的设计是SOI独有的设计,因为前栅极和后栅极都具有在硅膜中的电荷控制。在强部分耗尽器件中,衬底的后栅极对前表面电势没有影响。在中间情况下,器件名义上部分耗尽,通过施加偏压可变为完全耗尽,因此,仍会发生前和后表面电势的耦合。
为了使低功率后栅极型SOI CMOS工作,必须使后栅极电压最小。这需要使用后栅极介质,对于不足50nm的器件,该介质的厚度约为3至6nm。不幸地,这样薄的后栅极介质导致栅极至源极/漏极电容的增大;除非使后栅极结构与前栅极和源极/漏极延伸自对准,从而使该电容最小化,这反过来提高了器件和电路性能。
到目前为止,没有提供可制造这样的后栅极型完全耗尽的CMOS器件的合适方法,其中后栅极与器件前栅极以及源极/漏极自对准。考虑到上述现有技术的情况,仍然需要提供包括这样的在后栅极、前栅极以及源极/漏极之间自对准的SOI MOSFET。
发明内容
因此本发明提供了一种制造半导体器件的方法,包括以下步骤:提供一结构,所述结构包括载体晶片,位于所述载体晶片上的氧化物层,位于所述氧化物层上的多晶Si后栅极,位于所述多晶Si后栅极上的后栅极介质,以及位于所述后栅极介质上的含Si层;在部分所述含Si层中形成沟道区;在所述沟道区上形成包括前栅极介质、前多晶Si栅极和牺牲隔离物的前栅极区;在所述结构中形成底切浅沟槽隔离区;去除所述牺牲隔离物,并在所述沟道区中形成源极/漏极延伸;以及在所述沟道区的顶部形成栅极隔离物和在所述沟道区中形成源极/漏极区,其中所述多晶Si后栅极与所述前多晶Si栅极以及所述源极/漏极延伸自对准。
优选地,通过在多晶Si层中注入掺杂剂并退火所述注入的掺杂剂形成所述多晶Si后栅极,所述多晶Si层在所述后栅极介质上形成。
优选地,通过热生长方法或沉积在初始绝缘体上硅(SOI)衬底的所述含Si层上形成所述后栅极介质。
优选地,所述接合结构还包括深沟槽隔离区,各深沟槽隔离区的上表面与所述含Si层的上表面共面。
优选地,通过平面化方法减薄所述接合结构的所述含Si层。
优选地,通过定位所述载体晶片以与所述氧化物层接触,并进行接合步骤,形成所述接合结构。
优选地,所述接合步骤包括在约900℃至约1100℃的温度下加热约1.5小时至约2.5小时的时间。
优选地,在存在惰性气氛的情况下在约18℃至约27℃的温度下进行所述接合步骤。
优选地,通过离子注入和退火形成所述沟道区。
优选地,在所述离子注入之前在所述含Si层上形成牺牲氧化物层。
优选地,所述牺牲隔离物的宽度为约50至约100nm。
优选地,通过以下步骤形成所述底切浅沟槽隔离区:化学蚀刻,各向同性反应离子蚀刻,氧化和第二各向同性蚀刻。
优选地,利用化学蚀刻剂去除所述牺牲隔离物;通过沉积和蚀刻形成所述栅极隔离物。
优选地,通过利用所述栅极隔离物作为注入掩膜离子注入并退火形成所述源极/漏极区。
优选地,通过沉积外延Si或Si层、离子注入和退火,形成所述源极/漏极区。
优选地,所述方法还包括在所述抬升源极/漏极区上提供硅化物区。
优选地,所述方法还包括在所述结构上形成具有导电填充接触孔的绝缘层。
因此,本发明优选地提供了SOI CMOS技术,利用该技术将多晶硅,即多晶Si后栅极用于控制前栅极器件的阈值电压,并且nMOS和pMOS后栅极彼此和与所述前栅极无关地切换。具体地说,本发明提供了一种制造后栅极型完全耗尽的CMOS器件的方法,其中所述器件的后栅极与所述器件的前栅极以及源极/漏极延伸自对准。这样的结构使电容最小,同时提高了器件和电路性能。
利用SIMOX(氧离子注入隔离)或接合SOI晶片、晶片接合和减薄、多晶Si蚀刻、低压化学气相沉积以及化学机械抛光,制造本发明的后栅极型完全耗尽的COMS器件。
具体地说,本发明的方法优选地包括以下步骤:
提供一结构,所述结构包括载体晶片,位于所述载体晶片上的氧化物层,位于所述氧化物层上的多晶Si后栅极,位于所述多晶Si后栅极上的后栅极介质,以及位于所述后栅极介质上的含Si层;
在部分所述含Si层中形成沟道区;
在所述沟道区上形成包括前栅极介质、前多晶Si栅极和牺牲隔离物的前栅极区;
在所述结构中形成底切浅沟槽隔离区;
去除所述牺牲隔离物,并在所述沟道区中形成源极/漏极延伸;以及
在所述沟道区的顶部和所述沟道区中的源极/漏极区上形成栅极隔离物,其中所述多晶Si后栅极与所述前多晶Si栅极以及所述源极/漏极延伸自对准。
附图说明
现在将参考附图,仅仅通过实例说明本发明的优选实施例,其中:
图1(通过截面图)示出了可在本发明中采用的初始SOI晶片;
图2(通过截面图)示出了在SOI衬底的上表面上形成后栅极介质后的图1的结构;
图3(通过截面图)示出了包括在后栅极介质上形成的多晶Si层的图2的结构;
图4(通过截面图)示出了在形成隔离区和后栅极注入并退火后的结构;
图5(通过截面图)示出了支撑(或载体)晶片与图4所示的结构的接合;
图6(通过截面图)示出了在进行顶部到底部的倒装步骤后的图5的结构;
图7(通过截面图)示出了在抛光、氧化和蚀刻后形成的结构;
图8(通过截面图)示出了在器件沟道即主体注入并退火后形成的结构;
图9(通过截面图)示出了在形成前栅极介质后的结构;
图10(通过截面图)示出了在形成多晶Si栅极导体后的结构;
图11(通过截面图)示出了在形成牺牲隔离物后的结构;
图12(通过截面图)示出了在形成浅沟槽后的结构;
图13(通过截面图)示出了在沟槽填充、平面化并凹切后的结构;
图14(通过截面图)示出了在牺牲隔离物去除、源极/漏极延伸并可选的卤素注入和退火后的结构;
图15(通过截面图)示出了在形成邻接多晶Si栅极的栅极隔离物后的结构;
图16(通过截面图)示出了在形成源极/漏极并形成抬升源极/漏极后的结构;以及
图17(通过截面图)示出了在进行硅化、介质沉积和接触形成之后本发明的最终结构。
具体实施方式
本发明提供了一种制造后栅极型完全耗尽的CMOS器件,其中后栅极控制前栅极的阈值电压,现在将通过参考结合本申请的附图更详细说明本发明。在附图中,相同和/或对应的部分用相同标号表示。
图1示出了可在本发明中采用的初始SOI衬底。在本申请中可互换地使用术语“SOI衬底”和“SOI晶片”。具体地说,图1的初始SOI衬底或晶片包括使含硅衬底10与含硅层14电隔离的掩埋氧化物层12。含硅层14是可在其中形成有源器件区的SOI层。在此所用的术语“含硅”表示至少包括硅的半导体材料。该含硅半导体材料的示例性实例包括但不限于:Si、SiGe、SiC、SiGeC、Si/Si、Si/SiC或Si/SiGeC。掩埋氧化物区12可以是如图1所示的连续的掩埋氧化物区,或者可以是不连续的,即构图的掩埋氧化物区(未示出)。不连续的掩埋氧化物区是由含Si层,即层10和14包围的不连续或隔离的区域或岛。
在本发明的此处,含Si层14用N或P型掺杂剂轻掺杂。在此所用的术语“轻掺杂”表示掺杂剂浓度为约1E14至约5E15原子/cm3,其中更优选约2E15原子/cm3的掺杂剂浓度。
可利用本领域技术人员公知的常规SIMOX(氧离子注入隔离)方法形成SOI衬底。可选地,可利用包括例如热接合和切割方法的其它常规方法制造SOI衬底材料。
除了上述技术外,可通过沉积方法以及光刻和蚀刻(当制造构图的衬底时采用)形成在本发明中所采用的初始SOI衬底。具体地说,可以这样形成初始结构,通过常规沉积和热生长方法,在含Si衬底表面上沉积氧化物膜;可选地通过利用常规光刻和蚀刻构图氧化物膜;以及此后利用包括例如化学气相沉积(CVD)、等离子体辅助CVD、溅射、蒸发、化学溶液沉积或外延Si生长的常规沉积方法,在氧化物层上形成含Si层。
初始SOI衬底的各层厚度可根据其制造中所用的方法变化。然而典型地,含Si层14的厚度从约100至约200nm。对于掩埋氧化物层12,其层的厚度可从约100至约400nm。含Si衬底层,即层10的厚度对本发明来说并不重要。应注意,以上提供的厚度范围是示例性的,决不限制本发明的范围。
然后,利用常规热生长方法在含Si层14的表面上形成后栅极介质16。可选地,可通过常规沉积方法形成后栅极介质16,该沉积方法包括但不限于:化学气相沉积(CVD)、等离子体辅助CVD、化学溶液沉积、溅射和蒸发。后栅极介质16可包括氧化物、氮化物和/或氮氧化物,优选氧化物。后栅极介质16的厚度可根据其制造中所用的技术变化。然而典型地,后栅极介质16的厚度从约1至约10nm。产生的包括后栅极介质16的结构示于例如图2中。在图2中,以及余下的图中,为清楚起见,省略了初始SOI晶片的含Si衬底10;除了当去除含Si衬底10时,如图7中的情况。然而含Si衬底10旨在在各图2-6中存在。为清楚起见,将图2所示的层14的厚度显示为比图1中的层14的原厚度厚。
根据本发明的下一步,利用低压化学气相沉积(LPCVD)在后栅极介质16的上暴露表面上形成多晶Si层18。在此所用的术语“低压”表示在约5托或更低的压力下进行的CVD方法。形成的多晶Si层18可具有任何厚度,但典型地多晶Si层18的厚度从约100至约200nm。产生的包括多晶Si层18的结构示于例如图3中。在本发明的一些实施例中,可在本发明的此处在多晶Si层18上形成可选的衬垫氮化物(未示出)。
图4示出了在图3所示的结构中形成深沟槽隔离区20后形成的结构。如图所示,穿过多晶Si层18和后栅极介质16,在含Si层14上或中停止,形成深沟槽隔离区20。应注意,深沟槽隔离区的实际数量可超过图中所示的数量。
通过利用常规光刻和蚀刻形成深沟槽隔离区20。形成隔离区的沟槽所用的光刻步骤包括以下步骤:对多晶Si层18(或可选的衬垫氮化物)的暴露表面施加光致抗蚀剂(未示出),曝光光致抗蚀剂至辐照图形,以及利用常规抗蚀剂显影液将图形显影至曝光的光致抗蚀剂。可在一个或多个蚀刻步骤中进行的蚀刻步骤包括利用常规干法蚀刻方法,例如反应离子蚀刻、等离子体蚀刻或离子束蚀刻;化学湿法蚀刻;或其组合。蚀刻步骤在结构中形成深沟槽,随后将用绝缘材料填充该深沟槽。该深沟槽隔离区20典型地具有约140nm或更大的沟槽深度。
形成深沟槽后,对该结构进行可选的氧化处理,该氧化处理在暴露的由含Si材料构成的沟槽侧壁上形成薄衬里(未单独标出)。利用常规沉积方法例如CVD或等离子体辅助CVD,用介质材料例如TEOS(原硅酸四乙酯)填充该沟槽(具有或不具有衬里),此后利用常规平面化方法,例如化学机械抛光(CMP)或研磨平面化该结构,在可选衬垫氮化物或多晶Si层18的上表面上停止。在填充沟槽之后,但在平面化之前,可进行可选的致密化步骤。在其中存在衬垫氮化物的实例中,利用化学蚀刻剂,例如热磷酸,在本发明的此处去除可选衬垫氮化物。
图4还示出了后栅极22的存在,后栅极22位于在两个相邻深沟槽隔离区之间的部分多晶Si层18中。通过离子注入和退火形成后栅极22。对于NMOS器件,通过在多晶Si层中离子注入N型,或更优选P型掺杂剂,形成后栅极22。对于PMOS器件,在多晶Si层18中离子注入P型,或更优选N型掺杂剂。
利用本领域的技术人员公知的常规技术,进行离子注入(在图4中用箭头23表示),而在将扩散和激活掺杂剂区的条件下进行退火。具体地说,在约800℃或更高的温度下在例如Ar、N2或He的惰性气氛中进行退火约5秒或更长的时间。
图5示出了在图4所示的包括深沟槽隔离区20和多晶Si层18(现在是后栅极22)的结构的上表面上形成氧化物层24之后形成的结构,已将处理(或载体)晶片26接合到前面形成的氧化物层24的上表面。
氧化物层24典型地为通过常规沉积方法例如CVD或等离子体辅助CVD形成的TEOS层。氧化物层24的厚度可根据沉积方法的条件和长度变化。然而,典型地,氧化物层24的厚度从约150至约250nm。
处理晶片26(可以是第二SOI晶片)包括含Si衬底100、氧化物层110,以及含Si层120。可选地,处理晶片26可以是体半导体衬底或晶片。术语“含Si”的意思与上面指出的相同。根据本发明的方法,定位处理晶片,以使含Si层120面对前面沉积的氧化物层24。定位处理晶片26后,使两个结构相互接触,然后将它们接合在一起。
在一个实施例中,本发明的接合步骤包括在约900℃至约1100℃的温度下加热两个晶片约1.5小时至约2.5小时。在本发明的一个优选实施例中,在约1050℃的温度下持续约2小时的时间将晶片接合在一起。
在本发明的另一个实施例中,利用室温接合方法进行接合步骤。术语“室温接合方法”表示在约18℃至约27℃的温度下,更优选约20℃至约25℃的温度下进行的接合方法。典型地在例如He、N2或Ar的惰性气氛中进行室温接合方法,并且可在接合方法中对该结构施加外部力进行辅助。在接合两个晶片后,倒装图5所示的结构,即上面朝下,提供例如图6所示的结构。
然后,去除初始晶片的含Si衬底10和掩埋氧化物区12,在含Si层14的表面上停止。然后平面化含Si层14,在深沟槽隔离区20的表面上停止。在进行这些步骤后形成的所得结构示于例如图7中。具体地说,利用本领域中公知的常规方法实现对初始晶片的含Si衬底10和掩埋氧化物层12的去除。例如,可利用单个抛光步骤,例如CMP或研磨,去除初始晶片的含Si衬底10和掩埋氧化物层12,或者可选地,利用不同的且独立的去除处理步骤去除各层。在此优选不同的且独立的去除方法,因为其提供更强的选择性,并确保去除方法在含Si层14上停止。
当在本发明中采用不同的且独立的去除方法时,首先通过利用与氧化物相比具有高的去除含Si材料的选择性的CMP方法,去除初始晶片的含Si衬底10,在掩埋氧化物层12上停止。然后,可以可选地进行氧化方法,以确保在接合晶片的暴露表面上仅剩下氧化物。在去除含Si衬底10,并可选地进行氧化步骤后,采用与氧化物相比具有高的去除含硅材料的选择性的常规化学湿法蚀刻方法。例如,在本发明中可采用HF蚀刻方法,以从接合结构去除掩埋氧化物层12。应注意,各种去除方法使初始晶片的含Si层14暴露。然后通过进行平面化方法,例如CMP,减薄含Si层14。在进行平面化方法后,含Si层14的厚度约为25nm或更小。
图8示出了在含Si层14和深沟槽区20的暴露表面上形成牺牲氧化物层28后形成的所得结构。可通过热氧化方法形成牺牲氧化物层28,或者可选地可通过常规沉积方法,例如CVD或等离子体辅助的CVD,形成牺牲氧化物层28。牺牲氧化物层28的厚度对于本发明并不重要,但典型地,牺牲氧化物层28的厚度为约3至约10nm。
在本发明的此处(见图8),在部分含Si层14中典型地注入离子30(N型或P型)。注入的离子类型取决于形成的器件的类型。典型地采用B作为N型掺杂剂,而采用P或As作为P型掺杂剂。注入离子30的区域变为主体,即器件的器件沟道32。在形成主体32时,采用利用标准注入条件的掩蔽离子注入方法。在主体注入后,进行在惰性气氛中进行的常规退火方法,以激活主体32内的掺杂剂。虽然在激活主体32内的掺杂剂时,在本发明中可采用各种退火温度和时间,优选在约1000℃的温度下在Ar中退火约5秒。
图9示出了在从结构中去除牺牲氧化物层28,以暴露下面的主体32,即含Si层14,并形成前栅极介质34后形成的结构。具体地说,利用常规湿法蚀刻方法从结构中去除牺牲氧化物层28,在该湿法蚀刻方法中,采用去除氧化物时高度选择性的化学蚀刻剂。例如,可将HF用于从结构去除牺牲氧化物层。
然后,至少在包括主体32的暴露的含Si表面上,形成栅极介质34。利用常规热生长方法,在主体32以及含Si层14的暴露表面上形成栅极介质34。用作前栅极介质的栅极介质34是厚度约1至约5nm的薄层。栅极介质34可包括常规氧化物,例如但不限于:SiO2、Al2O3、Ta2O3、TiO2,以及钙钛矿型氧化物。
形成栅极介质34后,见图10,在覆盖主体32的栅极介质34上形成多晶硅栅极36(用作前侧栅极)。在形成最终的栅极结构时,通过首先利用常规沉积方法在栅极介质上沉积多晶硅,然后利用光刻和蚀刻,形成由掺杂多晶硅构成的多晶Si栅极36。在沉积方法期间原地掺杂多晶硅,或者可选地,可利用常规离子注入并退火在沉积后掺杂多晶硅栅极。在本发明的一些实施例中,可延迟多晶硅栅极掺杂,直到形成源极/漏极区。在图10中,示出了在构图步骤之前在多晶硅栅极36的顶面上形成由氮化物或氮氧化物构成的硬掩膜38的实施例。
然后对多晶硅栅极36进行栅极再氧化方法,该再氧化能够在栅极的垂直侧壁周围,以及,如果不存在硬掩膜38,在栅极的水平顶面上形成氧化物衬里40。在约800℃或更高的温度下在例如O2或空气的氧化气氛中进行再氧化约5分钟或更短的时间。
然后,利用常规沉积和蚀刻,在多晶Si栅极36的各侧壁周围形成牺牲隔离物42。牺牲隔离物42包括氮化物、氮氧化物或其组合。得到的包括牺牲隔离物42的结构示于例如图11中。牺牲隔离物42为宽隔离物,当在底部测量时,其宽度为约50至约100nm。要求宽隔离物防止在本发明的下一步骤中被过多底切。牺牲隔离物42厚于稍后将要形成的栅极隔离物。
然后,利用下面的技术,在图11所示的结构中形成浅沟槽44。得到的包括浅沟槽44的结构示于例如图12中。应注意,浅沟槽44的至少一个边缘45与前面形成的多晶Si栅极36的一个边缘37对准。还应注意,后栅极22也与多晶Si栅极36的边缘37自对准。具体地说,首先通过化学蚀刻步骤,去除未用牺牲隔离物42和多晶Si栅极36保护的部分栅极介质34,形成图12所示的结构。该化学蚀刻步骤利用选择性去除栅极介质34的暴露部分的化学蚀刻剂,例如稀释的氢氟酸。该蚀刻步骤暴露出下面的含Si层14。
然后对含Si层14的暴露部分进行定时各向同性反应离子蚀刻(RIE)步骤,其中采用能够在后栅极介质16上停止的化学蚀刻剂。然后,对主体32的暴露侧壁部分进行快速热氧化方法。典型地在约800℃的温度下进行该快速热氧化方法约5至约10秒的时间。该快速热氧化方法在主体32的侧壁中和侧壁上形成薄(约4nm或更小)氧化物膜46。然后进行第二定时各向同性RIE或定时KOH湿法蚀刻,以完成底切并形成浅沟槽44。当从该结构的顶面测量时,浅沟槽44的深度约为50nm或更小。
形成浅沟槽44后,用例如TEOS的沟槽介质材料填充浅沟槽44,然后平面化并凹切该结构。得到的已进行这些处理步骤后形成的结构示于例如图13中。在图13中,参考标号47表示在本发明中形成的浅沟槽隔离区。
然后利用从该结构选择性去除牺牲隔离物42(以及,如果存在,可选的硬掩膜38)的化学蚀刻剂,例如热磷酸,从图13所示的结构去除牺牲隔离物42(以及,如果存在,可选的硬掩膜38)。去除牺牲隔离物42后,利用常规离子注入和退火,在主体32中形成源极/漏极延伸48。也可形成未示出的可选卤素注入区。虽然可利用各种条件进行退火,优选在约900℃的温度下在Ar中退火源极/漏极延伸注入5秒的时间。去除牺牲隔离物42并形成源极/漏极延伸48和可选卤素后的所得结构示于例如图14中。应注意,隔离物48与前和后栅极的边缘自对准。
然后在多晶硅栅极36的垂直侧壁周围形成可包括氮化物、氮氧化物或其组合的隔离物50。通过沉积绝缘材料随后进行蚀刻形成隔离物50。图15示出了得到的具有在多晶硅栅极36的垂直侧壁周围形成的隔离物50的结构。
形成隔离物后,利用常规离子注入和退火工艺,在与各隔离物50邻接的主体32中形成源极/漏极区52(见图16)。因为源极/漏极延伸48形成部分源极/漏极区52,也可以说源极/漏极区52与后栅极22以及前栅极,即多晶Si栅极36自对准。虽然仍可采用各种退火条件,优选在约1000℃的温度下在Ar中进行退火约5秒的时间。
如图16所示,利用本领域技术人员公知的常规方法在源极/漏极区52的表面上形成抬升源极/漏极区54。具体地说,首先利用HF浸渍或其它相关方法清洗源极/漏极区52的暴露表面。在清洗步骤后,通过在暴露的源极/漏极区上沉积外延多晶硅或Si层,并通过离子注入和退火掺杂这样沉积的外延硅或Si层,形成抬升源极/漏极区54。应注意,在多晶Si栅极36上形成外延硅或Si层(用参考标号56表示)。
然后,如图17所示,可进行常规的BEOL处理步骤,以使图16所示的器件与外部器件和/或其它可在该结构中存在的器件接触。BEOL处理步骤包括以下步骤:通过利用常规硅化方法将部分抬升源极/漏极区54和栅极上的层56转变为硅化物区58;通过沉积和平面化该结构,形成例如BPSG(硼掺杂磷硅玻璃)的绝缘材料层60;通过光刻和蚀刻在绝缘层60中设置接触开口;以及用导电材料62填充接触开口。采用的导电材料包括但不限于:Cu、Al、W、多晶硅以及其它类似的导电材料。应注意,延伸到后栅极表面的接触区为后栅极接触,而延伸到源极/漏极区的接触区称为S/D接触。
在图15、16和17的结构中,多晶Si后栅极22能够控制前栅极,即多晶硅栅极36的阈值电压,因为在前和后界面的表面电势相互强耦合,并且分别电容耦合到前和后栅极介质。因此,贯穿硅膜的电势,以及相关的电荷取决于前和后栅极上的偏压条件。换句话说,注入的后栅极控制前栅极器件的阈值电压。
应注意,本发明可用于在单个衬底上形成多个完全耗尽的CMOS器件。各完全耗尽的CMOS器件将具有上述特性。
虽然具体示出并关于其参考实施例说明了本发明,本领域的技术人员应理解,只要不脱离本发明的范围,可在形式和细节上进行上述和其它修改。

Claims (10)

1.一种制造半导体器件的方法,包括以下步骤:提供一结构,所述结构包括载体晶片,位于所述载体晶片上的氧化物层,位于所述氧化物层上的多晶Si后栅极,位于所述多晶Si后栅极上的后栅极介质,以及位于所述后栅极介质上的含Si层;在部分所述含Si层中形成沟道区;在所述沟道区上形成包括前栅极介质、前多晶Si栅极和牺牲隔离物的前栅极区;在所述结构中形成底切浅沟槽隔离区;去除所述牺牲隔离物,并在所述沟道区中形成源极/漏极延伸;以及在所述沟道区的顶部形成栅极隔离物和在所述沟道区中形成源极/漏极区,其中所述多晶Si后栅极与所述前多晶Si栅极以及所述源极/漏极延伸自对准。
2.根据权利要求1的方法,其中通过在多晶Si层中注入掺杂剂并退火所述注入的掺杂剂形成所述多晶Si后栅极,所述多晶Si层在所述后栅极介质上形成。
3.根据权利要求1的方法,其中通过热生长方法或沉积在初始绝缘体上硅(SOI)衬底的所述含Si层上形成所述后栅极介质。
4.根据权利要求1的方法,其中所述接合结构还包括深沟槽隔离区,各深沟槽隔离区的上表面与所述含Si层的上表面共面。
5.根据权利要求1的方法,其中通过平面化方法减薄所述接合结构的所述含Si层。
6.根据权利要求1的方法,其中通过定位所述载体晶片以与所述氧化物层接触,并进行接合步骤,形成所述接合结构。
7.根据权利要求6的方法,其中所述接合步骤包括在约900℃至约1100℃的温度下加热约1.5小时至约2.5小时的时间。
8.根据权利要求6的方法,其中在存在惰性气氛的情况下在约18℃至约27℃的温度下进行所述接合步骤。
9.根据权利要求1的方法,其中通过离子注入和退火形成所述沟道区。
10.根据权利要求9的方法,其中在所述离子注入之前在所述含Si层上形成牺牲氧化物层;所述牺牲隔离物的宽度为约50至约100nm;通过以下步骤形成所述底切浅沟槽隔离区:化学蚀刻,各向同性反应离子蚀刻,氧化和第二各向同性蚀刻;利用化学蚀刻剂去除所述牺牲隔离物;通过沉积和蚀刻形成所述栅极隔离物;通过利用所述栅极隔离物作为注入掩膜离子注入并退火形成所述源极/漏极区;通过沉积外延Si或Si层、离子注入和退火,形成所述源极/漏极区;还包括在所述抬升源极/漏极区上的硅化物区;以及还包括在所述结构上形成具有导电填充接触孔的绝缘层。
CNB2004800216833A 2003-08-13 2004-08-11 利用自对准后栅极控制前栅极绝缘体上硅mosfet的器件阈值 Expired - Fee Related CN100568535C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/639,942 2003-08-13
US10/639,942 US7018873B2 (en) 2003-08-13 2003-08-13 Method of making a device threshold control of front-gate silicon-on-insulator MOSFET using a self-aligned back-gate

Publications (2)

Publication Number Publication Date
CN1830090A true CN1830090A (zh) 2006-09-06
CN100568535C CN100568535C (zh) 2009-12-09

Family

ID=34135978

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800216833A Expired - Fee Related CN100568535C (zh) 2003-08-13 2004-08-11 利用自对准后栅极控制前栅极绝缘体上硅mosfet的器件阈值

Country Status (8)

Country Link
US (1) US7018873B2 (zh)
EP (1) EP1661158B1 (zh)
JP (1) JP4877629B2 (zh)
KR (1) KR100687130B1 (zh)
CN (1) CN100568535C (zh)
AT (1) ATE349773T1 (zh)
DE (1) DE602004003967T2 (zh)
WO (1) WO2005017976A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101330049B (zh) * 2007-06-18 2010-08-11 中芯国际集成电路制造(上海)有限公司 自对准浅沟槽隔离结构、存储器单元及其形成方法
CN102054703B (zh) * 2009-10-28 2012-02-22 中国科学院微电子研究所 一种无cmp的适用于后栅工艺的平坦化制备工艺
CN102479822A (zh) * 2010-11-30 2012-05-30 中国科学院微电子研究所 半导体结构及其制造方法
CN102856201A (zh) * 2011-06-29 2013-01-02 中国科学院微电子研究所 Mosfet及其制造方法
WO2013033877A1 (zh) * 2011-09-07 2013-03-14 中国科学院微电子研究所 半导体结构及其制造方法
US8426920B2 (en) 2011-06-29 2013-04-23 Institute of Microelectronics, Chinese Academy of Sciences MOSFET and method for manufacturing the same
US9178070B2 (en) 2010-11-30 2015-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for manufacturing the same
CN105680107A (zh) * 2016-03-16 2016-06-15 中国科学院上海微系统与信息技术研究所 一种基于soi工艺的电池管理芯片电路
CN107591334A (zh) * 2016-07-06 2018-01-16 格罗方德半导体公司 用于放置在具有高k介电栅极的半导体主动区内的栅极接触的方法及设备
CN107591333A (zh) * 2016-07-06 2018-01-16 格罗方德半导体公司 用于在半导体的主动区内放置栅极接触的方法及设备
CN109585301A (zh) * 2014-10-22 2019-04-05 意法半导体公司 用于包括具有低接触电阻的衬垫硅化物的集成电路制作的工艺
CN116053302A (zh) * 2023-03-07 2023-05-02 南京邮电大学 基于双soi结构的背栅辅助resurf系统及双soi结构的制造方法

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3982218B2 (ja) * 2001-02-07 2007-09-26 ソニー株式会社 半導体装置およびその製造方法
US20040235228A1 (en) * 2003-05-22 2004-11-25 Chidambaram Pr. System and method for depositing a graded carbon layer to enhance critical layer stability
KR100488546B1 (ko) * 2003-08-29 2005-05-11 삼성전자주식회사 트랜지스터의 제조방법
JP4577680B2 (ja) * 2004-04-13 2010-11-10 エルピーダメモリ株式会社 半導体装置の製造方法
US7141476B2 (en) * 2004-06-18 2006-11-28 Freescale Semiconductor, Inc. Method of forming a transistor with a bottom gate
FR2881273B1 (fr) * 2005-01-21 2007-05-04 St Microelectronics Sa Procede de formation d'un substrat semi-conducteur de circuit integre
US7387946B2 (en) * 2005-06-07 2008-06-17 Freescale Semiconductor, Inc. Method of fabricating a substrate for a planar, double-gated, transistor process
US7709313B2 (en) * 2005-07-19 2010-05-04 International Business Machines Corporation High performance capacitors in planar back gates CMOS
US7538000B2 (en) * 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7314794B2 (en) * 2005-08-08 2008-01-01 International Business Machines Corporation Low-cost high-performance planar back-gate CMOS
US7250666B2 (en) 2005-11-15 2007-07-31 International Business Machines Corporation Schottky barrier diode and method of forming a Schottky barrier diode
KR100711000B1 (ko) * 2005-11-28 2007-04-24 동부일렉트로닉스 주식회사 이중 게이트를 구비한 모스트랜지스터 및 그 제조방법
US7679125B2 (en) 2005-12-14 2010-03-16 Freescale Semiconductor, Inc. Back-gated semiconductor device with a storage layer and methods for forming thereof
US7563681B2 (en) * 2006-01-27 2009-07-21 Freescale Semiconductor, Inc. Double-gated non-volatile memory and methods for forming thereof
DE602007006507D1 (de) * 2006-08-04 2010-06-24 Nxp Bv Verfahren zur herstellung eines doppelgate-transistors
US7879663B2 (en) * 2007-03-08 2011-02-01 Freescale Semiconductor, Inc. Trench formation in a semiconductor material
US7613031B2 (en) * 2007-09-17 2009-11-03 Micron Technology, Inc. System, apparatus, and method to increase read and write stability of scaled SRAM memory cells
US20090072355A1 (en) * 2007-09-17 2009-03-19 International Business Machines Corporation Dual shallow trench isolation structure
FR2929444B1 (fr) * 2008-03-31 2010-08-20 Commissariat Energie Atomique Procede de fabrication d'une structure micro-electronique du type a semi-conducteur sur isolant et a motifs differencies, et structure ainsi obtenue.
JP5417748B2 (ja) * 2008-06-23 2014-02-19 富士通セミコンダクター株式会社 半導体装置の製造方法
FR2933234B1 (fr) * 2008-06-30 2016-09-23 S O I Tec Silicon On Insulator Tech Substrat bon marche a structure double et procede de fabrication associe
FR2933235B1 (fr) * 2008-06-30 2010-11-26 Soitec Silicon On Insulator Substrat bon marche et procede de fabrication associe
FR2933233B1 (fr) * 2008-06-30 2010-11-26 Soitec Silicon On Insulator Substrat de haute resistivite bon marche et procede de fabrication associe
US7767546B1 (en) * 2009-01-12 2010-08-03 International Business Machines Corporation Low cost fabrication of double box back gate silicon-on-insulator wafers with built-in shallow trench isolation in back gate layer
US20100176482A1 (en) * 2009-01-12 2010-07-15 International Business Machine Corporation Low cost fabrication of double box back gate silicon-on-insulator wafers with subsequent self aligned shallow trench isolation
US8587063B2 (en) * 2009-11-06 2013-11-19 International Business Machines Corporation Hybrid double box back gate silicon-on-insulator wafers with enhanced mobility channels
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8513099B2 (en) * 2010-06-17 2013-08-20 International Business Machines Corporation Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
US8421156B2 (en) 2010-06-25 2013-04-16 International Business Machines Corporation FET with self-aligned back gate
CN102456737B (zh) * 2010-10-27 2016-03-30 中国科学院微电子研究所 半导体结构及其制造方法
US20120139048A1 (en) * 2010-12-03 2012-06-07 Institute of Microelectronics, Chinese Academy of Sciences Mosfet and method for manufacturing the same
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
KR101790153B1 (ko) * 2011-12-27 2017-10-26 인텔 코포레이션 반도체 표면-근처 층의 도핑 농도를 증대시키는 방법 및 그의 제조 방법
US9349731B2 (en) 2012-10-09 2016-05-24 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device
US9214349B2 (en) 2012-10-12 2015-12-15 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US8952431B2 (en) * 2013-05-09 2015-02-10 International Business Machines Corporation Stacked carbon-based FETs
WO2015164749A1 (en) * 2014-04-24 2015-10-29 The University Of Florida Research Foundation, Inc. Tunable barrier transistors for high power electronics
US10469076B2 (en) * 2016-11-22 2019-11-05 The Curators Of The University Of Missouri Power gating circuit utilizing double-gate fully depleted silicon-on-insulator transistor
US10460944B2 (en) 2017-12-13 2019-10-29 International Business Machines Corporation Fully depleted semiconductor on insulator transistor with enhanced back biasing tunability
US10580903B2 (en) * 2018-03-13 2020-03-03 Psemi Corporation Semiconductor-on-insulator transistor with improved breakdown characteristics
KR102396533B1 (ko) 2018-04-11 2022-05-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10573674B2 (en) 2018-07-19 2020-02-25 Psemi Corporation SLT integrated circuit capacitor structure and methods
US20200043946A1 (en) 2018-07-31 2020-02-06 Psemi Corporation Low Parasitic Capacitance RF Transistors
US10903332B2 (en) 2018-08-22 2021-01-26 International Business Machines Corporation Fully depleted SOI transistor with a buried ferroelectric layer in back-gate
US10777636B1 (en) 2019-06-12 2020-09-15 Psemi Corporation High density IC capacitor structure
US11183452B1 (en) 2020-08-12 2021-11-23 Infineon Technologies Austria Ag Transfering informations across a high voltage gap using capacitive coupling with DTI integrated in silicon technology
US11923417B2 (en) * 2021-10-12 2024-03-05 Globalfoundries U.S. Inc. Lateral bipolar junction transistors with a back-gate
CN116825786B (zh) * 2023-08-31 2023-11-28 合肥晶合集成电路股份有限公司 一种半导体结构及其制备方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5292670A (en) * 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5273921A (en) * 1991-12-27 1993-12-28 Purdue Research Foundation Methods for fabricating a dual-gated semiconductor-on-insulator field effect transistor
JPH07321324A (ja) * 1994-05-19 1995-12-08 Hitachi Ltd 半導体装置およびその製造方法
JPH08162640A (ja) * 1994-11-30 1996-06-21 Sony Corp 半導体装置の製造方法
JP2877103B2 (ja) * 1996-10-21 1999-03-31 日本電気株式会社 不揮発性半導体記憶装置およびその製造方法
US5773331A (en) * 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
JPH1131743A (ja) * 1997-05-14 1999-02-02 Sony Corp 半導体装置及びその製造方法
JP2000031490A (ja) * 1998-07-10 2000-01-28 Sony Corp 半導体装置の製造方法
US6339002B1 (en) * 1999-02-10 2002-01-15 International Business Machines Corporation Method utilizing CMP to fabricate double gate MOSFETS with conductive sidewall contacts
JP4332925B2 (ja) * 1999-02-25 2009-09-16 ソニー株式会社 半導体装置およびその製造方法
US6496034B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Programmable logic arrays with ultra thin body transistors
US6465847B1 (en) 2001-06-11 2002-10-15 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device with hyperabrupt source/drain junctions
US6528376B1 (en) * 2001-11-30 2003-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sacrificial spacer layer method for fabricating field effect transistor (FET) device
US6580132B1 (en) * 2002-04-10 2003-06-17 International Business Machines Corporation Damascene double-gate FET
US6946696B2 (en) * 2002-12-23 2005-09-20 International Business Machines Corporation Self-aligned isolation double-gate FET

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101330049B (zh) * 2007-06-18 2010-08-11 中芯国际集成电路制造(上海)有限公司 自对准浅沟槽隔离结构、存储器单元及其形成方法
CN102054703B (zh) * 2009-10-28 2012-02-22 中国科学院微电子研究所 一种无cmp的适用于后栅工艺的平坦化制备工艺
CN102479822B (zh) * 2010-11-30 2014-05-07 中国科学院微电子研究所 半导体结构及其制造方法
CN102479822A (zh) * 2010-11-30 2012-05-30 中国科学院微电子研究所 半导体结构及其制造方法
WO2012071823A1 (zh) * 2010-11-30 2012-06-07 中国科学院微电子研究所 半导体结构及其制造方法
US9178070B2 (en) 2010-11-30 2015-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for manufacturing the same
WO2013000188A1 (zh) * 2011-06-29 2013-01-03 中国科学院微电子研究所 Mosfet及其制造方法
US8426920B2 (en) 2011-06-29 2013-04-23 Institute of Microelectronics, Chinese Academy of Sciences MOSFET and method for manufacturing the same
CN102856201B (zh) * 2011-06-29 2015-02-11 中国科学院微电子研究所 Mosfet及其制造方法
CN102856201A (zh) * 2011-06-29 2013-01-02 中国科学院微电子研究所 Mosfet及其制造方法
US8598666B2 (en) 2011-09-07 2013-12-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for manufacturing the same
WO2013033877A1 (zh) * 2011-09-07 2013-03-14 中国科学院微电子研究所 半导体结构及其制造方法
CN102983140B (zh) * 2011-09-07 2015-07-01 中国科学院微电子研究所 半导体结构及其制造方法
CN102983140A (zh) * 2011-09-07 2013-03-20 中国科学院微电子研究所 半导体结构及其制造方法
CN109585301A (zh) * 2014-10-22 2019-04-05 意法半导体公司 用于包括具有低接触电阻的衬垫硅化物的集成电路制作的工艺
CN109585301B (zh) * 2014-10-22 2022-01-04 意法半导体公司 一种具有低接触电阻衬垫硅化物的集成电路及其制作方法
CN105680107A (zh) * 2016-03-16 2016-06-15 中国科学院上海微系统与信息技术研究所 一种基于soi工艺的电池管理芯片电路
CN105680107B (zh) * 2016-03-16 2018-09-25 中国科学院上海微系统与信息技术研究所 一种基于soi工艺的电池管理芯片电路
CN107591334A (zh) * 2016-07-06 2018-01-16 格罗方德半导体公司 用于放置在具有高k介电栅极的半导体主动区内的栅极接触的方法及设备
CN107591333B (zh) * 2016-07-06 2020-11-24 格罗方德半导体公司 用于在半导体的主动区内放置栅极接触的方法及设备
CN107591334B (zh) * 2016-07-06 2020-11-24 格罗方德半导体公司 用于放置在具有高k介电栅极的半导体主动区内的栅极接触的方法及设备
CN107591333A (zh) * 2016-07-06 2018-01-16 格罗方德半导体公司 用于在半导体的主动区内放置栅极接触的方法及设备
CN116053302A (zh) * 2023-03-07 2023-05-02 南京邮电大学 基于双soi结构的背栅辅助resurf系统及双soi结构的制造方法

Also Published As

Publication number Publication date
US20050037582A1 (en) 2005-02-17
EP1661158B1 (en) 2006-12-27
ATE349773T1 (de) 2007-01-15
DE602004003967D1 (de) 2007-02-08
KR100687130B1 (ko) 2007-02-27
WO2005017976A3 (en) 2005-04-28
JP2007534142A (ja) 2007-11-22
WO2005017976A2 (en) 2005-02-24
CN100568535C (zh) 2009-12-09
KR20060034701A (ko) 2006-04-24
JP4877629B2 (ja) 2012-02-15
EP1661158A2 (en) 2006-05-31
DE602004003967T2 (de) 2007-08-30
US7018873B2 (en) 2006-03-28

Similar Documents

Publication Publication Date Title
CN1830090A (zh) 利用自对准后栅极控制前栅极绝缘体上硅mosfet的器件阈值
CN1252833C (zh) 绝缘体上硅金属氧化物场效应管的制作方法
US6232202B1 (en) Method for manufacturing shallow trench isolation structure including a dual trench
CN1293635C (zh) 可同时具有部分耗尽晶体管与完全耗尽晶体管的芯片及其制作方法
CN1926679B (zh) 在半导体装置制造中减少浅沟槽隔离凹陷区形成的方法
JP5039557B2 (ja) シリコン−オン−インシュレータの半導体デバイスを形成する方法
US7704808B2 (en) Methods of forming semiconductor-on-insulating (SOI) field effect transistors with body contacts
US20120235229A1 (en) Inter-poly dielectric in a shielded gate mosfet device
EP1911095A2 (en) Reduced electric field dmos using self-aligned trench isolation
CN101065840A (zh) 半导体装置以及制造包括多堆栈混合定向层之半导体装置之方法
CN102751230A (zh) 浅沟槽隔离内的隔离电容器
JP2004031753A (ja) 半導体装置の製造方法
US6548362B1 (en) Method of forming MOSFET with buried contact and air-gap gate structure
US6864547B2 (en) Semiconductor device having a ghost source/drain region and a method of manufacture therefor
US6030882A (en) Method for manufacturing shallow trench isolation structure
CN1613151A (zh) 半导体器件及其制造方法
US6642536B1 (en) Hybrid silicon on insulator/bulk strained silicon technology
CN1294657C (zh) 双栅极场效应晶体管及其制造方法
JP5743246B2 (ja) 半導体装置及び関連する製造方法
US20070293016A1 (en) Semiconductor structure including isolation region with variable linewidth and method for fabrication therof
CN1225799C (zh) 金属氧化物半导体场效应晶体管及其制造方法
CN101728268A (zh) Mos器件的制造方法和半导体器件阱区的形成方法
CN1731568A (zh) 半导体装置的制造方法
KR20050066612A (ko) 반도체 소자의 제조 방법
KR20080084291A (ko) Soi 소자 및 그의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171103

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171103

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091209

Termination date: 20180811